Commit Graph

11 Commits

Author SHA1 Message Date
naddy 5bba7c308f drop RCS Ids 2022-03-11 18:24:26 +00:00
sthen 41598eaa17 cad/opensta: drop the cmake version check for swig, it doesn't cover 4.x
which otherwise works ok.
2021-01-04 13:53:16 +00:00
rsadowski 7a91299a48 Unbreak opensta with cmake 3.19
Diff from maintainer, thanks
2021-01-02 08:49:37 +00:00
sthen cf4db000e7 update to OpenSTA-2.2.0, from maintainer Alessandro De Laurenzis 2020-09-25 19:00:10 +00:00
rsadowski d359e50cd0 Update opensta to 2.0.18.20200308
"This is a trivial update port-wise, but a big jump in terms of
features/bug-fixing."

Notable port changes:
- updated maintainer email address;
- ApiChanges.txt added to ${PREFIX}/share/doc/opensta;
- new enabled regression suite

Diff from maintainer Alessandro De Laurenzis
2020-03-14 06:42:05 +00:00
bentley 095651817d Since opensta prefers tcl-8.6 to 8.5 when both are installed, always use 8.6.
Reported by espie@
2019-12-18 07:42:27 +00:00
sthen 9fe1e38b23 replace simple PERMIT_PACKAGE_CDROM=Yes with PERMIT_PACKAGE=Yes 2019-07-12 20:43:27 +00:00
bentley 80391237ef Update to opensta-2.0.12.20190329.
Adds a missing header file used by dependent projects.

From Alessandro De Laurenzis (maintainer); thanks!
2019-03-30 11:50:06 +00:00
sthen 843bf1048b oops, tk->tcl in BUILD_DEPENDS as well 2019-03-27 09:23:51 +00:00
sthen 55d09ace43 s/WISH/TCLSH/, think-o on my part when suggesting how to fix #! line 2019-03-27 09:14:44 +00:00
bentley 96c8a0f23f Import opensta-2.0.11.20190327.
OpenSTA is a gate level static timing verifier. As a stand-alone
executable it can be used to verify the timing of a design using
standard file formats:
- Verilog netlist
- Liberty library
- SDC timing constraints
- SDF delay annotation
- SPEF parasitics

From Alessandro De Laurenzis; thanks!

ok sthen@
2019-03-27 08:45:25 +00:00