1
0
mirror of https://gitlab.xiph.org/xiph/ezstream.git synced 2024-09-15 04:08:07 -04:00

Native EOL-style, and Id tags in a couple of places.

git-svn-id: https://svn.xiph.org/trunk/ezstream@15788 0101bb08-14d6-0310-b084-bc0e0c8e3800
This commit is contained in:
moritz 2009-03-17 18:20:58 +00:00
parent 7005fde65c
commit dc547dcbbf
29 changed files with 426 additions and 407 deletions

View File

@ -4,6 +4,7 @@ dnl ###########
AC_INIT([ezstream], [0.5.4], AC_INIT([ezstream], [0.5.4],
[https://trac.xiph.org/newticket?component=ezstream]) [https://trac.xiph.org/newticket?component=ezstream])
AC_REVISION([$Id$])
AC_PREREQ([2.61]) AC_PREREQ([2.61])
AC_CONFIG_SRCDIR([src/ezstream.c]) AC_CONFIG_SRCDIR([src/ezstream.c])
AC_CONFIG_AUX_DIR([build-aux]) AC_CONFIG_AUX_DIR([build-aux])

View File

@ -1,4 +1,4 @@
dnl $Id: ccflags.m4 907 2009-03-08 10:28:51Z mgrimm $ dnl $Id$
dnl * Check if the compiler understands a certain flag or not. dnl * Check if the compiler understands a certain flag or not.
dnl Inspiration for this came from watching TagLib configure. dnl Inspiration for this came from watching TagLib configure.

View File

@ -1,4 +1,4 @@
dnl $Id: libogg.m4 729 2008-11-01 17:38:43Z mgrimm $ dnl $Id$
dnl # Check for a working installation of libogg. dnl # Check for a working installation of libogg.
dnl # Provides appropriate --with configuration options, fills and substitutes dnl # Provides appropriate --with configuration options, fills and substitutes

View File

@ -1,4 +1,4 @@
dnl $Id: libshout.m4 948 2009-03-15 17:59:37Z mgrimm $ dnl $Id$
dnl # Check for a working installation of libshout. dnl # Check for a working installation of libshout.
dnl # Provides appropriate --with configuration options, fills and substitutes dnl # Provides appropriate --with configuration options, fills and substitutes

View File

@ -1,4 +1,4 @@
dnl $Id: libvorbis.m4 735 2008-11-01 18:34:34Z mgrimm $ dnl $Id$
dnl # Check for working installations of libvorbis, libvorbisfile and dnl # Check for working installations of libvorbis, libvorbisfile and
dnl # libvorbisenc. dnl # libvorbisenc.

View File

@ -1,4 +1,4 @@
dnl # $Id: libxml2.m4 736 2008-11-01 19:04:55Z mgrimm $ dnl # $Id$
dnl # Check for a working installation of libxml (version 2.) dnl # Check for a working installation of libxml (version 2.)
dnl # Provides appropriate --with configuration options, fills and substitutes dnl # Provides appropriate --with configuration options, fills and substitutes

View File

@ -1,4 +1,4 @@
dnl $Id: progname.m4 719 2008-10-29 09:08:42Z mgrimm $ dnl $Id$
dnl Check whether libc defines __progname. Defines HAVE___PROGNAME, if dnl Check whether libc defines __progname. Defines HAVE___PROGNAME, if
dnl applicable. This check is heavily inspired by the one in OpenNTPd dnl applicable. This check is heavily inspired by the one in OpenNTPd

View File

@ -1,4 +1,4 @@
dnl $Id: tree.m4 719 2008-10-29 09:08:42Z mgrimm $ dnl $Id$
dnl Check for sys/tree.h and if it is recent enough by looking at a certain dnl Check for sys/tree.h and if it is recent enough by looking at a certain
dnl macro. Defines HAVE_SYS_TREE_H if all conditions are met. dnl macro. Defines HAVE_SYS_TREE_H if all conditions are met.

View File

@ -1,4 +1,4 @@
dnl $Id: vacopy.m4 719 2008-10-29 09:08:42Z mgrimm $ dnl $Id$
dnl Check for means to copy variable argument lists. After this check, dnl Check for means to copy variable argument lists. After this check,
dnl va_copy will at least be defined to something working. dnl va_copy will at least be defined to something working.

View File

@ -1,4 +1,4 @@
dnl $Id: vars.m4 947 2009-03-15 17:51:37Z mgrimm $ dnl $Id$
dnl # Append or prepend items to a variable, but keep the items in the dnl # Append or prepend items to a variable, but keep the items in the
dnl # variable unique. dnl # variable unique.

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* Copyright (c) 1997, 2004 Todd C. Miller <Todd.Miller@courtesan.com> * Copyright (c) 1997, 2004 Todd C. Miller <Todd.Miller@courtesan.com>
* *

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* Copyright (c) 2007 Moritz Grimm <mdgrimm@gmx.net> * Copyright (c) 2007 Moritz Grimm <mdgrimm@gmx.net>
* *

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* ezstream - source client for Icecast with external en-/decoder support * ezstream - source client for Icecast with external en-/decoder support
* Copyright (C) 2003, 2004, 2005, 2006 Ed Zaleski <oddsock@oddsock.org> * Copyright (C) 2003, 2004, 2005, 2006 Ed Zaleski <oddsock@oddsock.org>

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* ezstream - source client for Icecast with external en-/decoder support * ezstream - source client for Icecast with external en-/decoder support
* Copyright (C) 2003, 2004, 2005, 2006 Ed Zaleski <oddsock@oddsock.org> * Copyright (C) 2003, 2004, 2005, 2006 Ed Zaleski <oddsock@oddsock.org>

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* ezstream - source client for Icecast with external en-/decoder support * ezstream - source client for Icecast with external en-/decoder support
* Copyright (C) 2003, 2004, 2005, 2006 Ed Zaleski <oddsock@oddsock.org> * Copyright (C) 2003, 2004, 2005, 2006 Ed Zaleski <oddsock@oddsock.org>

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* Copyright (c) 2007 Moritz Grimm <mdgrimm@gmx.net> * Copyright (c) 2007 Moritz Grimm <mdgrimm@gmx.net>
* *

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* Copyright (c) 2007 Moritz Grimm <mdgrimm@gmx.net> * Copyright (c) 2007 Moritz Grimm <mdgrimm@gmx.net>
* *

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* Copyright (c) 2007 Moritz Grimm <mdgrimm@gmx.net> * Copyright (c) 2007 Moritz Grimm <mdgrimm@gmx.net>
* *

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* Copyright (c) 2007 Moritz Grimm <mdgrimm@gmx.net> * Copyright (c) 2007 Moritz Grimm <mdgrimm@gmx.net>
* *

View File

@ -1,3 +1,5 @@
/* $Id$ */
#ifndef __STRLFCTNS_H__ #ifndef __STRLFCTNS_H__
#define __STRLFCTNS_H__ #define __STRLFCTNS_H__

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* $OpenBSD: strlcat.c,v 1.13 2005/08/08 08:05:37 espie Exp $ */ /* $OpenBSD: strlcat.c,v 1.13 2005/08/08 08:05:37 espie Exp $ */
/* /*

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* $OpenBSD: strlcpy.c,v 1.11 2006/05/05 15:27:38 millert Exp $ */ /* $OpenBSD: strlcpy.c,v 1.11 2006/05/05 15:27:38 millert Exp $ */
/* /*

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* $OpenBSD: strtonum.c,v 1.6 2004/08/03 19:38:01 millert Exp $ */ /* $OpenBSD: strtonum.c,v 1.6 2004/08/03 19:38:01 millert Exp $ */
/* /*

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* ezstream - source client for Icecast with external en-/decoder support * ezstream - source client for Icecast with external en-/decoder support
* Copyright (C) 2003, 2004, 2005, 2006 Ed Zaleski <oddsock@oddsock.org> * Copyright (C) 2003, 2004, 2005, 2006 Ed Zaleski <oddsock@oddsock.org>

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* ezstream - source client for Icecast with external en-/decoder support * ezstream - source client for Icecast with external en-/decoder support
* Copyright (C) 2003, 2004, 2005, 2006 Ed Zaleski <oddsock@oddsock.org> * Copyright (C) 2003, 2004, 2005, 2006 Ed Zaleski <oddsock@oddsock.org>

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* Copyright (C) 2007 Moritz Grimm <mdgrimm@gmx.net> * Copyright (C) 2007 Moritz Grimm <mdgrimm@gmx.net>
* *

View File

@ -1,3 +1,4 @@
/* $Id$ */
/* /*
* libxalloc - Portable memory allocation wrapper library, with extensive * libxalloc - Portable memory allocation wrapper library, with extensive
* error checking, debugging facilities and hooks for 3rd party * error checking, debugging facilities and hooks for 3rd party

View File

@ -1,111 +1,111 @@
Microsoft Visual Studio Solution File, Format Version 8.00 Microsoft Visual Studio Solution File, Format Version 8.00
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ezstream", "ezstream.vcproj", "{4C27B249-0C17-4007-9D51-2ED145DF06C2}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ezstream", "ezstream.vcproj", "{4C27B249-0C17-4007-9D51-2ED145DF06C2}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
{376BDB5E-7092-44EC-AF16-C087D2D80FC6} = {376BDB5E-7092-44EC-AF16-C087D2D80FC6} {376BDB5E-7092-44EC-AF16-C087D2D80FC6} = {376BDB5E-7092-44EC-AF16-C087D2D80FC6}
{A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E} = {A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E} {A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E} = {A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}
{3E590C94-A4E0-4E79-839E-A7263F3CA6B1} = {3E590C94-A4E0-4E79-839E-A7263F3CA6B1} {3E590C94-A4E0-4E79-839E-A7263F3CA6B1} = {3E590C94-A4E0-4E79-839E-A7263F3CA6B1}
EndProjectSection EndProjectSection
EndProject EndProject
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libshout", "..\..\shout\win32\libshout.vcproj", "{376BDB5E-7092-44EC-AF16-C087D2D80FC6}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libshout", "..\..\shout\win32\libshout.vcproj", "{376BDB5E-7092-44EC-AF16-C087D2D80FC6}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
{63A539B9-C6CD-4DC9-80B2-B28DB92C151E} = {63A539B9-C6CD-4DC9-80B2-B28DB92C151E} {63A539B9-C6CD-4DC9-80B2-B28DB92C151E} = {63A539B9-C6CD-4DC9-80B2-B28DB92C151E}
EndProjectSection EndProjectSection
EndProject EndProject
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libtheora", "..\..\theora\win32\theora_static.vcproj", "{63A539B9-C6CD-4DC9-80B2-B28DB92C151E}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libtheora", "..\..\theora\win32\theora_static.vcproj", "{63A539B9-C6CD-4DC9-80B2-B28DB92C151E}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
{5E55AD4D-483E-466D-B3B7-EAD8B6128DC9} = {5E55AD4D-483E-466D-B3B7-EAD8B6128DC9} {5E55AD4D-483E-466D-B3B7-EAD8B6128DC9} = {5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}
EndProjectSection EndProjectSection
EndProject EndProject
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libvorbisfile", "..\..\vorbis\win32\vorbisfile_static.vcproj", "{5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libvorbisfile", "..\..\vorbis\win32\vorbisfile_static.vcproj", "{5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
{FB2ECA7B-D98C-4341-84B7-005E037E25A7} = {FB2ECA7B-D98C-4341-84B7-005E037E25A7} {FB2ECA7B-D98C-4341-84B7-005E037E25A7} = {FB2ECA7B-D98C-4341-84B7-005E037E25A7}
EndProjectSection EndProjectSection
EndProject EndProject
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libvorbis", "..\..\vorbis\win32\vorbis_static.vcproj", "{FB2ECA7B-D98C-4341-84B7-005E037E25A7}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libvorbis", "..\..\vorbis\win32\vorbis_static.vcproj", "{FB2ECA7B-D98C-4341-84B7-005E037E25A7}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
{A0144DD0-0064-46CF-93D3-78DB712034D4} = {A0144DD0-0064-46CF-93D3-78DB712034D4} {A0144DD0-0064-46CF-93D3-78DB712034D4} = {A0144DD0-0064-46CF-93D3-78DB712034D4}
EndProjectSection EndProjectSection
EndProject EndProject
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libogg", "..\..\ogg\win32\ogg_static.vcproj", "{A0144DD0-0064-46CF-93D3-78DB712034D4}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libogg", "..\..\ogg\win32\ogg_static.vcproj", "{A0144DD0-0064-46CF-93D3-78DB712034D4}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
EndProjectSection EndProjectSection
EndProject EndProject
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libxml2", "..\..\libxml2\win32\VC7\libxml2.vcproj", "{3E590C94-A4E0-4E79-839E-A7263F3CA6B1}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libxml2", "..\..\libxml2\win32\VC7\libxml2.vcproj", "{3E590C94-A4E0-4E79-839E-A7263F3CA6B1}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
{C6320F61-2939-4C52-A749-933377C12DEA} = {C6320F61-2939-4C52-A749-933377C12DEA} {C6320F61-2939-4C52-A749-933377C12DEA} = {C6320F61-2939-4C52-A749-933377C12DEA}
{12329AC8-F4EC-4663-AEE2-93911D033E97} = {12329AC8-F4EC-4663-AEE2-93911D033E97} {12329AC8-F4EC-4663-AEE2-93911D033E97} = {12329AC8-F4EC-4663-AEE2-93911D033E97}
EndProjectSection EndProjectSection
EndProject EndProject
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "zlib", "..\..\zlib\win32\zlib.vcproj", "{C6320F61-2939-4C52-A749-933377C12DEA}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "zlib", "..\..\zlib\win32\zlib.vcproj", "{C6320F61-2939-4C52-A749-933377C12DEA}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
EndProjectSection EndProjectSection
EndProject EndProject
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libiconv", "..\..\libiconv\win32\libiconv.vcproj", "{12329AC8-F4EC-4663-AEE2-93911D033E97}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libiconv", "..\..\libiconv\win32\libiconv.vcproj", "{12329AC8-F4EC-4663-AEE2-93911D033E97}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
EndProjectSection EndProjectSection
EndProject EndProject
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "taglib", "..\..\taglib\win32\taglib.vcproj", "{F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "taglib", "..\..\taglib\win32\taglib.vcproj", "{F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
EndProjectSection EndProjectSection
EndProject EndProject
Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "taglib_c", "..\..\taglib\win32\taglib_c.vcproj", "{A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}" Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "taglib_c", "..\..\taglib\win32\taglib_c.vcproj", "{A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}"
ProjectSection(ProjectDependencies) = postProject ProjectSection(ProjectDependencies) = postProject
{F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD} = {F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD} {F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD} = {F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}
EndProjectSection EndProjectSection
EndProject EndProject
Global Global
GlobalSection(SolutionConfiguration) = preSolution GlobalSection(SolutionConfiguration) = preSolution
Debug = Debug Debug = Debug
Release = Release Release = Release
EndGlobalSection EndGlobalSection
GlobalSection(ProjectConfiguration) = postSolution GlobalSection(ProjectConfiguration) = postSolution
{4C27B249-0C17-4007-9D51-2ED145DF06C2}.Debug.ActiveCfg = Debug|Win32 {4C27B249-0C17-4007-9D51-2ED145DF06C2}.Debug.ActiveCfg = Debug|Win32
{4C27B249-0C17-4007-9D51-2ED145DF06C2}.Debug.Build.0 = Debug|Win32 {4C27B249-0C17-4007-9D51-2ED145DF06C2}.Debug.Build.0 = Debug|Win32
{4C27B249-0C17-4007-9D51-2ED145DF06C2}.Release.ActiveCfg = Release|Win32 {4C27B249-0C17-4007-9D51-2ED145DF06C2}.Release.ActiveCfg = Release|Win32
{4C27B249-0C17-4007-9D51-2ED145DF06C2}.Release.Build.0 = Release|Win32 {4C27B249-0C17-4007-9D51-2ED145DF06C2}.Release.Build.0 = Release|Win32
{376BDB5E-7092-44EC-AF16-C087D2D80FC6}.Debug.ActiveCfg = Debug|Win32 {376BDB5E-7092-44EC-AF16-C087D2D80FC6}.Debug.ActiveCfg = Debug|Win32
{376BDB5E-7092-44EC-AF16-C087D2D80FC6}.Debug.Build.0 = Debug|Win32 {376BDB5E-7092-44EC-AF16-C087D2D80FC6}.Debug.Build.0 = Debug|Win32
{376BDB5E-7092-44EC-AF16-C087D2D80FC6}.Release.ActiveCfg = Release|Win32 {376BDB5E-7092-44EC-AF16-C087D2D80FC6}.Release.ActiveCfg = Release|Win32
{376BDB5E-7092-44EC-AF16-C087D2D80FC6}.Release.Build.0 = Release|Win32 {376BDB5E-7092-44EC-AF16-C087D2D80FC6}.Release.Build.0 = Release|Win32
{63A539B9-C6CD-4DC9-80B2-B28DB92C151E}.Debug.ActiveCfg = Debug|Win32 {63A539B9-C6CD-4DC9-80B2-B28DB92C151E}.Debug.ActiveCfg = Debug|Win32
{63A539B9-C6CD-4DC9-80B2-B28DB92C151E}.Debug.Build.0 = Debug|Win32 {63A539B9-C6CD-4DC9-80B2-B28DB92C151E}.Debug.Build.0 = Debug|Win32
{63A539B9-C6CD-4DC9-80B2-B28DB92C151E}.Release.ActiveCfg = Release|Win32 {63A539B9-C6CD-4DC9-80B2-B28DB92C151E}.Release.ActiveCfg = Release|Win32
{63A539B9-C6CD-4DC9-80B2-B28DB92C151E}.Release.Build.0 = Release|Win32 {63A539B9-C6CD-4DC9-80B2-B28DB92C151E}.Release.Build.0 = Release|Win32
{5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}.Debug.ActiveCfg = Debug|Win32 {5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}.Debug.ActiveCfg = Debug|Win32
{5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}.Debug.Build.0 = Debug|Win32 {5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}.Debug.Build.0 = Debug|Win32
{5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}.Release.ActiveCfg = Release|Win32 {5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}.Release.ActiveCfg = Release|Win32
{5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}.Release.Build.0 = Release|Win32 {5E55AD4D-483E-466D-B3B7-EAD8B6128DC9}.Release.Build.0 = Release|Win32
{FB2ECA7B-D98C-4341-84B7-005E037E25A7}.Debug.ActiveCfg = Debug|Win32 {FB2ECA7B-D98C-4341-84B7-005E037E25A7}.Debug.ActiveCfg = Debug|Win32
{FB2ECA7B-D98C-4341-84B7-005E037E25A7}.Debug.Build.0 = Debug|Win32 {FB2ECA7B-D98C-4341-84B7-005E037E25A7}.Debug.Build.0 = Debug|Win32
{FB2ECA7B-D98C-4341-84B7-005E037E25A7}.Release.ActiveCfg = Release|Win32 {FB2ECA7B-D98C-4341-84B7-005E037E25A7}.Release.ActiveCfg = Release|Win32
{FB2ECA7B-D98C-4341-84B7-005E037E25A7}.Release.Build.0 = Release|Win32 {FB2ECA7B-D98C-4341-84B7-005E037E25A7}.Release.Build.0 = Release|Win32
{A0144DD0-0064-46CF-93D3-78DB712034D4}.Debug.ActiveCfg = Debug|Win32 {A0144DD0-0064-46CF-93D3-78DB712034D4}.Debug.ActiveCfg = Debug|Win32
{A0144DD0-0064-46CF-93D3-78DB712034D4}.Debug.Build.0 = Debug|Win32 {A0144DD0-0064-46CF-93D3-78DB712034D4}.Debug.Build.0 = Debug|Win32
{A0144DD0-0064-46CF-93D3-78DB712034D4}.Release.ActiveCfg = Release|Win32 {A0144DD0-0064-46CF-93D3-78DB712034D4}.Release.ActiveCfg = Release|Win32
{A0144DD0-0064-46CF-93D3-78DB712034D4}.Release.Build.0 = Release|Win32 {A0144DD0-0064-46CF-93D3-78DB712034D4}.Release.Build.0 = Release|Win32
{3E590C94-A4E0-4E79-839E-A7263F3CA6B1}.Debug.ActiveCfg = Debug|Win32 {3E590C94-A4E0-4E79-839E-A7263F3CA6B1}.Debug.ActiveCfg = Debug|Win32
{3E590C94-A4E0-4E79-839E-A7263F3CA6B1}.Debug.Build.0 = Debug|Win32 {3E590C94-A4E0-4E79-839E-A7263F3CA6B1}.Debug.Build.0 = Debug|Win32
{3E590C94-A4E0-4E79-839E-A7263F3CA6B1}.Release.ActiveCfg = Release|Win32 {3E590C94-A4E0-4E79-839E-A7263F3CA6B1}.Release.ActiveCfg = Release|Win32
{3E590C94-A4E0-4E79-839E-A7263F3CA6B1}.Release.Build.0 = Release|Win32 {3E590C94-A4E0-4E79-839E-A7263F3CA6B1}.Release.Build.0 = Release|Win32
{C6320F61-2939-4C52-A749-933377C12DEA}.Debug.ActiveCfg = Debug|Win32 {C6320F61-2939-4C52-A749-933377C12DEA}.Debug.ActiveCfg = Debug|Win32
{C6320F61-2939-4C52-A749-933377C12DEA}.Debug.Build.0 = Debug|Win32 {C6320F61-2939-4C52-A749-933377C12DEA}.Debug.Build.0 = Debug|Win32
{C6320F61-2939-4C52-A749-933377C12DEA}.Release.ActiveCfg = Release|Win32 {C6320F61-2939-4C52-A749-933377C12DEA}.Release.ActiveCfg = Release|Win32
{C6320F61-2939-4C52-A749-933377C12DEA}.Release.Build.0 = Release|Win32 {C6320F61-2939-4C52-A749-933377C12DEA}.Release.Build.0 = Release|Win32
{12329AC8-F4EC-4663-AEE2-93911D033E97}.Debug.ActiveCfg = Debug|Win32 {12329AC8-F4EC-4663-AEE2-93911D033E97}.Debug.ActiveCfg = Debug|Win32
{12329AC8-F4EC-4663-AEE2-93911D033E97}.Debug.Build.0 = Debug|Win32 {12329AC8-F4EC-4663-AEE2-93911D033E97}.Debug.Build.0 = Debug|Win32
{12329AC8-F4EC-4663-AEE2-93911D033E97}.Release.ActiveCfg = Release|Win32 {12329AC8-F4EC-4663-AEE2-93911D033E97}.Release.ActiveCfg = Release|Win32
{12329AC8-F4EC-4663-AEE2-93911D033E97}.Release.Build.0 = Release|Win32 {12329AC8-F4EC-4663-AEE2-93911D033E97}.Release.Build.0 = Release|Win32
{F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}.Debug.ActiveCfg = Debug|Win32 {F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}.Debug.ActiveCfg = Debug|Win32
{F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}.Debug.Build.0 = Debug|Win32 {F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}.Debug.Build.0 = Debug|Win32
{F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}.Release.ActiveCfg = Release|Win32 {F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}.Release.ActiveCfg = Release|Win32
{F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}.Release.Build.0 = Release|Win32 {F91FFAA9-0A12-4D51-9E10-FA7D8FCA8DFD}.Release.Build.0 = Release|Win32
{A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}.Debug.ActiveCfg = Debug|Win32 {A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}.Debug.ActiveCfg = Debug|Win32
{A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}.Debug.Build.0 = Debug|Win32 {A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}.Debug.Build.0 = Debug|Win32
{A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}.Release.ActiveCfg = Release|Win32 {A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}.Release.ActiveCfg = Release|Win32
{A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}.Release.Build.0 = Release|Win32 {A1CA7E73-EA91-43C0-80B5-5B16CF3EA61E}.Release.Build.0 = Release|Win32
EndGlobalSection EndGlobalSection
GlobalSection(ExtensibilityGlobals) = postSolution GlobalSection(ExtensibilityGlobals) = postSolution
EndGlobalSection EndGlobalSection
GlobalSection(ExtensibilityAddIns) = postSolution GlobalSection(ExtensibilityAddIns) = postSolution
EndGlobalSection EndGlobalSection
EndGlobal EndGlobal

View File

@ -1,287 +1,287 @@
<?xml version="1.0" encoding="Windows-1252"?> <?xml version="1.0" encoding="Windows-1252"?>
<VisualStudioProject <VisualStudioProject
ProjectType="Visual C++" ProjectType="Visual C++"
Version="7.10" Version="7.10"
Name="ezstream" Name="ezstream"
ProjectGUID="{4C27B249-0C17-4007-9D51-2ED145DF06C2}" ProjectGUID="{4C27B249-0C17-4007-9D51-2ED145DF06C2}"
RootNamespace="ezstream" RootNamespace="ezstream"
SccProjectName="" SccProjectName=""
SccLocalPath=""> SccLocalPath="">
<Platforms> <Platforms>
<Platform <Platform
Name="Win32"/> Name="Win32"/>
</Platforms> </Platforms>
<Configurations> <Configurations>
<Configuration <Configuration
Name="Release|Win32" Name="Release|Win32"
OutputDirectory=".\Release" OutputDirectory=".\Release"
IntermediateDirectory=".\Release" IntermediateDirectory=".\Release"
ConfigurationType="1" ConfigurationType="1"
UseOfMFC="0" UseOfMFC="0"
ATLMinimizesCRunTimeLibraryUsage="FALSE" ATLMinimizesCRunTimeLibraryUsage="FALSE"
CharacterSet="2" CharacterSet="2"
WholeProgramOptimization="TRUE"> WholeProgramOptimization="TRUE">
<Tool <Tool
Name="VCCLCompilerTool" Name="VCCLCompilerTool"
Optimization="2" Optimization="2"
GlobalOptimizations="TRUE" GlobalOptimizations="TRUE"
InlineFunctionExpansion="1" InlineFunctionExpansion="1"
ImproveFloatingPointConsistency="TRUE" ImproveFloatingPointConsistency="TRUE"
AdditionalIncludeDirectories="../../ogg/include;../../vorbis/include;../../shout/include;../src;../../libxml2/include;../../libiconv/include;../../taglib;.;../compat" AdditionalIncludeDirectories="../../ogg/include;../../vorbis/include;../../shout/include;../src;../../libxml2/include;../../libiconv/include;../../taglib;.;../compat"
PreprocessorDefinitions="WIN32;NDEBUG;_CONSOLE;HAVE_CONFIG_H;HAVE_ICONV;HAVE_TAGLIB" PreprocessorDefinitions="WIN32;NDEBUG;_CONSOLE;HAVE_CONFIG_H;HAVE_ICONV;HAVE_TAGLIB"
StringPooling="TRUE" StringPooling="TRUE"
ExceptionHandling="FALSE" ExceptionHandling="FALSE"
BasicRuntimeChecks="0" BasicRuntimeChecks="0"
RuntimeLibrary="0" RuntimeLibrary="0"
EnableFunctionLevelLinking="FALSE" EnableFunctionLevelLinking="FALSE"
UsePrecompiledHeader="0" UsePrecompiledHeader="0"
PrecompiledHeaderFile="" PrecompiledHeaderFile=""
AssemblerListingLocation=".\Release/" AssemblerListingLocation=".\Release/"
ObjectFile=".\Release/" ObjectFile=".\Release/"
ProgramDataBaseFileName=".\Release/" ProgramDataBaseFileName=".\Release/"
WarningLevel="3" WarningLevel="3"
SuppressStartupBanner="TRUE" SuppressStartupBanner="TRUE"
Detect64BitPortabilityProblems="TRUE" Detect64BitPortabilityProblems="TRUE"
CompileAs="0"/> CompileAs="0"/>
<Tool <Tool
Name="VCCustomBuildTool"/> Name="VCCustomBuildTool"/>
<Tool <Tool
Name="VCLinkerTool" Name="VCLinkerTool"
AdditionalDependencies="WS2_32.lib WinMM.lib" AdditionalDependencies="WS2_32.lib WinMM.lib"
OutputFile=".\Release/ezstream.exe" OutputFile=".\Release/ezstream.exe"
LinkIncremental="0" LinkIncremental="0"
SuppressStartupBanner="TRUE" SuppressStartupBanner="TRUE"
AdditionalLibraryDirectories="" AdditionalLibraryDirectories=""
IgnoreDefaultLibraryNames="" IgnoreDefaultLibraryNames=""
ProgramDatabaseFile=".\Release/ezstream.pdb" ProgramDatabaseFile=".\Release/ezstream.pdb"
SubSystem="1" SubSystem="1"
LargeAddressAware="2" LargeAddressAware="2"
SetChecksum="TRUE" SetChecksum="TRUE"
TargetMachine="0"/> TargetMachine="0"/>
<Tool <Tool
Name="VCMIDLTool" Name="VCMIDLTool"
TypeLibraryName=".\Release/ezstream.tlb" TypeLibraryName=".\Release/ezstream.tlb"
HeaderFileName=""/> HeaderFileName=""/>
<Tool <Tool
Name="VCPostBuildEventTool"/> Name="VCPostBuildEventTool"/>
<Tool <Tool
Name="VCPreBuildEventTool"/> Name="VCPreBuildEventTool"/>
<Tool <Tool
Name="VCPreLinkEventTool"/> Name="VCPreLinkEventTool"/>
<Tool <Tool
Name="VCResourceCompilerTool" Name="VCResourceCompilerTool"
PreprocessorDefinitions="NDEBUG" PreprocessorDefinitions="NDEBUG"
Culture="1033"/> Culture="1033"/>
<Tool <Tool
Name="VCWebServiceProxyGeneratorTool"/> Name="VCWebServiceProxyGeneratorTool"/>
<Tool <Tool
Name="VCXMLDataGeneratorTool"/> Name="VCXMLDataGeneratorTool"/>
<Tool <Tool
Name="VCWebDeploymentTool"/> Name="VCWebDeploymentTool"/>
<Tool <Tool
Name="VCManagedWrapperGeneratorTool"/> Name="VCManagedWrapperGeneratorTool"/>
<Tool <Tool
Name="VCAuxiliaryManagedWrapperGeneratorTool"/> Name="VCAuxiliaryManagedWrapperGeneratorTool"/>
</Configuration> </Configuration>
<Configuration <Configuration
Name="Debug|Win32" Name="Debug|Win32"
OutputDirectory=".\Debug" OutputDirectory=".\Debug"
IntermediateDirectory=".\Debug" IntermediateDirectory=".\Debug"
ConfigurationType="1" ConfigurationType="1"
UseOfMFC="0" UseOfMFC="0"
ATLMinimizesCRunTimeLibraryUsage="FALSE" ATLMinimizesCRunTimeLibraryUsage="FALSE"
CharacterSet="2"> CharacterSet="2">
<Tool <Tool
Name="VCCLCompilerTool" Name="VCCLCompilerTool"
Optimization="0" Optimization="0"
ImproveFloatingPointConsistency="TRUE" ImproveFloatingPointConsistency="TRUE"
AdditionalIncludeDirectories="../../ogg/include;../../vorbis/include;../../shout/include;../src;../../libxml2/include;../../libiconv/include;../../taglib;.;../compat" AdditionalIncludeDirectories="../../ogg/include;../../vorbis/include;../../shout/include;../src;../../libxml2/include;../../libiconv/include;../../taglib;.;../compat"
PreprocessorDefinitions="WIN32;_DEBUG;_CONSOLE;HAVE_CONFIG_H;HAVE_ICONV;HAVE_TAGLIB;XALLOC_DEBUG" PreprocessorDefinitions="WIN32;_DEBUG;_CONSOLE;HAVE_CONFIG_H;HAVE_ICONV;HAVE_TAGLIB;XALLOC_DEBUG"
ExceptionHandling="FALSE" ExceptionHandling="FALSE"
BasicRuntimeChecks="3" BasicRuntimeChecks="3"
RuntimeLibrary="1" RuntimeLibrary="1"
BufferSecurityCheck="TRUE" BufferSecurityCheck="TRUE"
EnableFunctionLevelLinking="TRUE" EnableFunctionLevelLinking="TRUE"
UsePrecompiledHeader="0" UsePrecompiledHeader="0"
PrecompiledHeaderThrough="" PrecompiledHeaderThrough=""
PrecompiledHeaderFile="" PrecompiledHeaderFile=""
AssemblerListingLocation=".\Debug/" AssemblerListingLocation=".\Debug/"
ObjectFile=".\Debug/" ObjectFile=".\Debug/"
ProgramDataBaseFileName=".\Debug/" ProgramDataBaseFileName=".\Debug/"
WarningLevel="3" WarningLevel="3"
SuppressStartupBanner="TRUE" SuppressStartupBanner="TRUE"
Detect64BitPortabilityProblems="TRUE" Detect64BitPortabilityProblems="TRUE"
DebugInformationFormat="4" DebugInformationFormat="4"
CompileAs="0"/> CompileAs="0"/>
<Tool <Tool
Name="VCCustomBuildTool"/> Name="VCCustomBuildTool"/>
<Tool <Tool
Name="VCLinkerTool" Name="VCLinkerTool"
AdditionalDependencies="WS2_32.lib WinMM.lib" AdditionalDependencies="WS2_32.lib WinMM.lib"
OutputFile=".\Debug/ezstream.exe" OutputFile=".\Debug/ezstream.exe"
LinkIncremental="0" LinkIncremental="0"
SuppressStartupBanner="TRUE" SuppressStartupBanner="TRUE"
AdditionalLibraryDirectories="" AdditionalLibraryDirectories=""
IgnoreAllDefaultLibraries="FALSE" IgnoreAllDefaultLibraries="FALSE"
IgnoreDefaultLibraryNames="" IgnoreDefaultLibraryNames=""
GenerateDebugInformation="TRUE" GenerateDebugInformation="TRUE"
ProgramDatabaseFile=".\Debug/ezstream.pdb" ProgramDatabaseFile=".\Debug/ezstream.pdb"
SubSystem="1" SubSystem="1"
LargeAddressAware="2" LargeAddressAware="2"
TargetMachine="0"/> TargetMachine="0"/>
<Tool <Tool
Name="VCMIDLTool" Name="VCMIDLTool"
TypeLibraryName=".\Debug/ezstream.tlb" TypeLibraryName=".\Debug/ezstream.tlb"
HeaderFileName=""/> HeaderFileName=""/>
<Tool <Tool
Name="VCPostBuildEventTool"/> Name="VCPostBuildEventTool"/>
<Tool <Tool
Name="VCPreBuildEventTool"/> Name="VCPreBuildEventTool"/>
<Tool <Tool
Name="VCPreLinkEventTool"/> Name="VCPreLinkEventTool"/>
<Tool <Tool
Name="VCResourceCompilerTool" Name="VCResourceCompilerTool"
PreprocessorDefinitions="_DEBUG" PreprocessorDefinitions="_DEBUG"
Culture="1033"/> Culture="1033"/>
<Tool <Tool
Name="VCWebServiceProxyGeneratorTool"/> Name="VCWebServiceProxyGeneratorTool"/>
<Tool <Tool
Name="VCXMLDataGeneratorTool"/> Name="VCXMLDataGeneratorTool"/>
<Tool <Tool
Name="VCWebDeploymentTool"/> Name="VCWebDeploymentTool"/>
<Tool <Tool
Name="VCManagedWrapperGeneratorTool"/> Name="VCManagedWrapperGeneratorTool"/>
<Tool <Tool
Name="VCAuxiliaryManagedWrapperGeneratorTool"/> Name="VCAuxiliaryManagedWrapperGeneratorTool"/>
</Configuration> </Configuration>
</Configurations> </Configurations>
<References> <References>
</References> </References>
<Files> <Files>
<Filter <Filter
Name="Source Files" Name="Source Files"
Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"> Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat">
<File <File
RelativePath="..\src\compat.c"> RelativePath="..\src\compat.c">
</File> </File>
<File <File
RelativePath="..\src\configfile.c"> RelativePath="..\src\configfile.c">
<FileConfiguration <FileConfiguration
Name="Release|Win32"> Name="Release|Win32">
<Tool <Tool
Name="VCCLCompilerTool" Name="VCCLCompilerTool"
Optimization="2" Optimization="2"
AdditionalIncludeDirectories="" AdditionalIncludeDirectories=""
PreprocessorDefinitions=""/> PreprocessorDefinitions=""/>
</FileConfiguration> </FileConfiguration>
<FileConfiguration <FileConfiguration
Name="Debug|Win32"> Name="Debug|Win32">
<Tool <Tool
Name="VCCLCompilerTool" Name="VCCLCompilerTool"
Optimization="0" Optimization="0"
AdditionalIncludeDirectories="" AdditionalIncludeDirectories=""
PreprocessorDefinitions="" PreprocessorDefinitions=""
BasicRuntimeChecks="3"/> BasicRuntimeChecks="3"/>
</FileConfiguration> </FileConfiguration>
</File> </File>
<File <File
RelativePath="..\src\ezstream.c"> RelativePath="..\src\ezstream.c">
<FileConfiguration <FileConfiguration
Name="Release|Win32"> Name="Release|Win32">
<Tool <Tool
Name="VCCLCompilerTool" Name="VCCLCompilerTool"
Optimization="2" Optimization="2"
AdditionalIncludeDirectories="" AdditionalIncludeDirectories=""
PreprocessorDefinitions=""/> PreprocessorDefinitions=""/>
</FileConfiguration> </FileConfiguration>
<FileConfiguration <FileConfiguration
Name="Debug|Win32"> Name="Debug|Win32">
<Tool <Tool
Name="VCCLCompilerTool" Name="VCCLCompilerTool"
Optimization="0" Optimization="0"
AdditionalIncludeDirectories="" AdditionalIncludeDirectories=""
PreprocessorDefinitions="" PreprocessorDefinitions=""
BasicRuntimeChecks="3"/> BasicRuntimeChecks="3"/>
</FileConfiguration> </FileConfiguration>
</File> </File>
<File <File
RelativePath="..\src\getopt.c"> RelativePath="..\src\getopt.c">
<FileConfiguration <FileConfiguration
Name="Release|Win32"> Name="Release|Win32">
<Tool <Tool
Name="VCCLCompilerTool" Name="VCCLCompilerTool"
Optimization="2" Optimization="2"
AdditionalIncludeDirectories="" AdditionalIncludeDirectories=""
PreprocessorDefinitions=""/> PreprocessorDefinitions=""/>
</FileConfiguration> </FileConfiguration>
<FileConfiguration <FileConfiguration
Name="Debug|Win32"> Name="Debug|Win32">
<Tool <Tool
Name="VCCLCompilerTool" Name="VCCLCompilerTool"
Optimization="0" Optimization="0"
AdditionalIncludeDirectories="" AdditionalIncludeDirectories=""
PreprocessorDefinitions="" PreprocessorDefinitions=""
BasicRuntimeChecks="3"/> BasicRuntimeChecks="3"/>
</FileConfiguration> </FileConfiguration>
</File> </File>
<File <File
RelativePath="..\src\metadata.c"> RelativePath="..\src\metadata.c">
</File> </File>
<File <File
RelativePath="..\src\playlist.c"> RelativePath="..\src\playlist.c">
</File> </File>
<File <File
RelativePath="..\src\strlcat.c"> RelativePath="..\src\strlcat.c">
</File> </File>
<File <File
RelativePath="..\src\strlcpy.c"> RelativePath="..\src\strlcpy.c">
</File> </File>
<File <File
RelativePath="..\src\strtonum.c"> RelativePath="..\src\strtonum.c">
</File> </File>
<File <File
RelativePath="..\src\util.c"> RelativePath="..\src\util.c">
</File> </File>
<File <File
RelativePath="..\src\xalloc.c"> RelativePath="..\src\xalloc.c">
</File> </File>
</Filter> </Filter>
<Filter <Filter
Name="Header Files" Name="Header Files"
Filter="h;hpp;hxx;hm;inl"> Filter="h;hpp;hxx;hm;inl">
<File <File
RelativePath="..\src\compat.h"> RelativePath="..\src\compat.h">
</File> </File>
<File <File
RelativePath=".\config.h"> RelativePath=".\config.h">
</File> </File>
<File <File
RelativePath="..\src\configfile.h"> RelativePath="..\src\configfile.h">
</File> </File>
<File <File
RelativePath="..\src\getopt.h"> RelativePath="..\src\getopt.h">
</File> </File>
<File <File
RelativePath="..\src\metadata.h"> RelativePath="..\src\metadata.h">
</File> </File>
<File <File
RelativePath="..\src\playlist.h"> RelativePath="..\src\playlist.h">
</File> </File>
<File <File
RelativePath="..\src\strfctns.h"> RelativePath="..\src\strfctns.h">
</File> </File>
<File <File
RelativePath="..\src\util.h"> RelativePath="..\src\util.h">
</File> </File>
<File <File
RelativePath="..\src\xalloc.h"> RelativePath="..\src\xalloc.h">
</File> </File>
</Filter> </Filter>
<Filter <Filter
Name="Resource Files" Name="Resource Files"
Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"> Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe">
</Filter> </Filter>
</Files> </Files>
<Globals> <Globals>
</Globals> </Globals>
</VisualStudioProject> </VisualStudioProject>