1
0
mirror of https://github.com/rkd77/elinks.git synced 2024-06-25 01:05:37 +00:00

[select] More code to avoid warning

This commit is contained in:
Witold Filipczyk 2019-11-15 16:37:02 +01:00
parent 0724c3c49d
commit 558baa830e

View File

@ -360,13 +360,15 @@ void
elinks_usleep(unsigned long useconds)
{
struct timeval delay;
fd_set dummy;
fd_set dummy1, dummy2, dummy3;
FD_ZERO(&dummy);
FD_ZERO(&dummy1);
FD_ZERO(&dummy2);
FD_ZERO(&dummy3);
delay.tv_sec = 0;
delay.tv_usec = useconds;
select(0, &dummy, &dummy, &dummy, &delay);
select(0, &dummy1, &dummy2, &dummy3, &delay);
}
/* Listen on socket for internal ELinks communication.