1
0
mirror of https://github.com/rkd77/elinks.git synced 2024-09-06 23:44:43 -04:00
elinks/src/terminal/meson.build

8 lines
252 B
Meson
Raw Normal View History

if conf_data.get('CONFIG_MOUSE')
srcs += files('mouse.c')
endif
if conf_data.get('CONFIG_TERMINFO')
srcs += files('terminfo.c')
endif
srcs += files('color.c', 'draw.c', 'event.c', 'hardio.c', 'kbd.c', 'screen.c', 'tab.c', 'terminal.cpp', 'window.c')