ror ---D---- ---S---- CZ = ---Q---- CZ 00 000 00000000 00000000 00 = 00000000 01 00 001 00000000 00000000 01 = 00000000 01 00 002 00000000 00000000 10 = 00000000 01 00 003 00000000 00000000 11 = 00000000 01 00 004 00000001 00000000 00 = 00000001 10 00 005 00000001 00000000 01 = 00000001 10 00 006 00000001 00000000 10 = 00000001 10 00 007 00000001 00000000 11 = 00000001 10 00 008 00000002 00000000 00 = 00000002 00 00 009 00000002 00000000 01 = 00000002 00 00 00A 00000002 00000000 10 = 00000002 00 00 00B 00000002 00000000 11 = 00000002 00 00 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 00 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 00 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 00 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 00 010 80000000 00000000 00 = 80000000 00 00 011 80000000 00000000 01 = 80000000 00 00 012 80000000 00000000 10 = 80000000 00 00 013 80000000 00000000 11 = 80000000 00 00 014 80000001 00000000 00 = 80000001 10 00 015 80000001 00000000 01 = 80000001 10 00 016 80000001 00000000 10 = 80000001 10 00 017 80000001 00000000 11 = 80000001 10 00 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 00 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 00 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 00 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 00 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 00 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 00 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 00 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 00 020 00000000 00000001 00 = 00000000 01 00 021 00000000 00000001 01 = 00000000 01 00 022 00000000 00000001 10 = 00000000 01 00 023 00000000 00000001 11 = 00000000 01 00 024 00000001 00000001 00 = 80000000 10 00 025 00000001 00000001 01 = 80000000 10 00 026 00000001 00000001 10 = 80000000 10 00 027 00000001 00000001 11 = 80000000 10 00 028 00000002 00000001 00 = 00000001 00 00 029 00000002 00000001 01 = 00000001 00 00 02A 00000002 00000001 10 = 00000001 00 00 02B 00000002 00000001 11 = 00000001 00 00 02C 7FFFFFFF 00000001 00 = BFFFFFFF 10 00 02D 7FFFFFFF 00000001 01 = BFFFFFFF 10 00 02E 7FFFFFFF 00000001 10 = BFFFFFFF 10 00 02F 7FFFFFFF 00000001 11 = BFFFFFFF 10 00 030 80000000 00000001 00 = 40000000 00 00 031 80000000 00000001 01 = 40000000 00 00 032 80000000 00000001 10 = 40000000 00 00 033 80000000 00000001 11 = 40000000 00 00 034 80000001 00000001 00 = C0000000 10 00 035 80000001 00000001 01 = C0000000 10 00 036 80000001 00000001 10 = C0000000 10 00 037 80000001 00000001 11 = C0000000 10 00 038 FFFFFFFE 00000001 00 = 7FFFFFFF 00 00 039 FFFFFFFE 00000001 01 = 7FFFFFFF 00 00 03A FFFFFFFE 00000001 10 = 7FFFFFFF 00 00 03B FFFFFFFE 00000001 11 = 7FFFFFFF 00 00 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 00 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 00 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 00 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 00 040 00000000 00000002 00 = 00000000 01 00 041 00000000 00000002 01 = 00000000 01 00 042 00000000 00000002 10 = 00000000 01 00 043 00000000 00000002 11 = 00000000 01 00 044 00000001 00000002 00 = 40000000 00 00 045 00000001 00000002 01 = 40000000 00 00 046 00000001 00000002 10 = 40000000 00 00 047 00000001 00000002 11 = 40000000 00 00 048 00000002 00000002 00 = 80000000 10 00 049 00000002 00000002 01 = 80000000 10 00 04A 00000002 00000002 10 = 80000000 10 00 04B 00000002 00000002 11 = 80000000 10 00 04C 7FFFFFFF 00000002 00 = DFFFFFFF 10 00 04D 7FFFFFFF 00000002 01 = DFFFFFFF 10 00 04E 7FFFFFFF 00000002 10 = DFFFFFFF 10 00 04F 7FFFFFFF 00000002 11 = DFFFFFFF 10 00 050 80000000 00000002 00 = 20000000 00 00 051 80000000 00000002 01 = 20000000 00 00 052 80000000 00000002 10 = 20000000 00 00 053 80000000 00000002 11 = 20000000 00 00 054 80000001 00000002 00 = 60000000 00 00 055 80000001 00000002 01 = 60000000 00 00 056 80000001 00000002 10 = 60000000 00 00 057 80000001 00000002 11 = 60000000 00 00 058 FFFFFFFE 00000002 00 = BFFFFFFF 10 00 059 FFFFFFFE 00000002 01 = BFFFFFFF 10 00 05A FFFFFFFE 00000002 10 = BFFFFFFF 10 00 05B FFFFFFFE 00000002 11 = BFFFFFFF 10 00 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 00 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 00 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 00 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 00 060 00000000 7FFFFFFF 00 = 00000000 01 00 061 00000000 7FFFFFFF 01 = 00000000 01 00 062 00000000 7FFFFFFF 10 = 00000000 01 00 063 00000000 7FFFFFFF 11 = 00000000 01 00 064 00000001 7FFFFFFF 00 = 00000002 00 00 065 00000001 7FFFFFFF 01 = 00000002 00 00 066 00000001 7FFFFFFF 10 = 00000002 00 00 067 00000001 7FFFFFFF 11 = 00000002 00 00 068 00000002 7FFFFFFF 00 = 00000004 00 00 069 00000002 7FFFFFFF 01 = 00000004 00 00 06A 00000002 7FFFFFFF 10 = 00000004 00 00 06B 00000002 7FFFFFFF 11 = 00000004 00 00 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 00 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 00 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 00 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 00 070 80000000 7FFFFFFF 00 = 00000001 00 00 071 80000000 7FFFFFFF 01 = 00000001 00 00 072 80000000 7FFFFFFF 10 = 00000001 00 00 073 80000000 7FFFFFFF 11 = 00000001 00 00 074 80000001 7FFFFFFF 00 = 00000003 00 00 075 80000001 7FFFFFFF 01 = 00000003 00 00 076 80000001 7FFFFFFF 10 = 00000003 00 00 077 80000001 7FFFFFFF 11 = 00000003 00 00 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFD 10 00 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFD 10 00 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFD 10 00 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFD 10 00 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 00 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 00 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 00 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 00 080 00000000 80000000 00 = 00000000 01 00 081 00000000 80000000 01 = 00000000 01 00 082 00000000 80000000 10 = 00000000 01 00 083 00000000 80000000 11 = 00000000 01 00 084 00000001 80000000 00 = 00000001 10 00 085 00000001 80000000 01 = 00000001 10 00 086 00000001 80000000 10 = 00000001 10 00 087 00000001 80000000 11 = 00000001 10 00 088 00000002 80000000 00 = 00000002 00 00 089 00000002 80000000 01 = 00000002 00 00 08A 00000002 80000000 10 = 00000002 00 00 08B 00000002 80000000 11 = 00000002 00 00 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 00 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 00 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 00 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 00 090 80000000 80000000 00 = 80000000 00 00 091 80000000 80000000 01 = 80000000 00 00 092 80000000 80000000 10 = 80000000 00 00 093 80000000 80000000 11 = 80000000 00 00 094 80000001 80000000 00 = 80000001 10 00 095 80000001 80000000 01 = 80000001 10 00 096 80000001 80000000 10 = 80000001 10 00 097 80000001 80000000 11 = 80000001 10 00 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 00 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 00 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 00 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 00 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 00 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 00 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 00 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 00 0A0 00000000 80000001 00 = 00000000 01 00 0A1 00000000 80000001 01 = 00000000 01 00 0A2 00000000 80000001 10 = 00000000 01 00 0A3 00000000 80000001 11 = 00000000 01 00 0A4 00000001 80000001 00 = 80000000 10 00 0A5 00000001 80000001 01 = 80000000 10 00 0A6 00000001 80000001 10 = 80000000 10 00 0A7 00000001 80000001 11 = 80000000 10 00 0A8 00000002 80000001 00 = 00000001 00 00 0A9 00000002 80000001 01 = 00000001 00 00 0AA 00000002 80000001 10 = 00000001 00 00 0AB 00000002 80000001 11 = 00000001 00 00 0AC 7FFFFFFF 80000001 00 = BFFFFFFF 10 00 0AD 7FFFFFFF 80000001 01 = BFFFFFFF 10 00 0AE 7FFFFFFF 80000001 10 = BFFFFFFF 10 00 0AF 7FFFFFFF 80000001 11 = BFFFFFFF 10 00 0B0 80000000 80000001 00 = 40000000 00 00 0B1 80000000 80000001 01 = 40000000 00 00 0B2 80000000 80000001 10 = 40000000 00 00 0B3 80000000 80000001 11 = 40000000 00 00 0B4 80000001 80000001 00 = C0000000 10 00 0B5 80000001 80000001 01 = C0000000 10 00 0B6 80000001 80000001 10 = C0000000 10 00 0B7 80000001 80000001 11 = C0000000 10 00 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 00 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 00 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 00 00 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 00 00 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 00 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 00 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 00 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 00 0C0 00000000 FFFFFFFE 00 = 00000000 01 00 0C1 00000000 FFFFFFFE 01 = 00000000 01 00 0C2 00000000 FFFFFFFE 10 = 00000000 01 00 0C3 00000000 FFFFFFFE 11 = 00000000 01 00 0C4 00000001 FFFFFFFE 00 = 00000004 00 00 0C5 00000001 FFFFFFFE 01 = 00000004 00 00 0C6 00000001 FFFFFFFE 10 = 00000004 00 00 0C7 00000001 FFFFFFFE 11 = 00000004 00 00 0C8 00000002 FFFFFFFE 00 = 00000008 00 00 0C9 00000002 FFFFFFFE 01 = 00000008 00 00 0CA 00000002 FFFFFFFE 10 = 00000008 00 00 0CB 00000002 FFFFFFFE 11 = 00000008 00 00 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFD 10 00 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFD 10 00 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFD 10 00 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFD 10 00 0D0 80000000 FFFFFFFE 00 = 00000002 00 00 0D1 80000000 FFFFFFFE 01 = 00000002 00 00 0D2 80000000 FFFFFFFE 10 = 00000002 00 00 0D3 80000000 FFFFFFFE 11 = 00000002 00 00 0D4 80000001 FFFFFFFE 00 = 00000006 00 00 0D5 80000001 FFFFFFFE 01 = 00000006 00 00 0D6 80000001 FFFFFFFE 10 = 00000006 00 00 0D7 80000001 FFFFFFFE 11 = 00000006 00 00 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFB 10 00 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFB 10 00 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFB 10 00 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFB 10 00 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 00 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 00 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 00 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 00 0E0 00000000 FFFFFFFF 00 = 00000000 01 00 0E1 00000000 FFFFFFFF 01 = 00000000 01 00 0E2 00000000 FFFFFFFF 10 = 00000000 01 00 0E3 00000000 FFFFFFFF 11 = 00000000 01 00 0E4 00000001 FFFFFFFF 00 = 00000002 00 00 0E5 00000001 FFFFFFFF 01 = 00000002 00 00 0E6 00000001 FFFFFFFF 10 = 00000002 00 00 0E7 00000001 FFFFFFFF 11 = 00000002 00 00 0E8 00000002 FFFFFFFF 00 = 00000004 00 00 0E9 00000002 FFFFFFFF 01 = 00000004 00 00 0EA 00000002 FFFFFFFF 10 = 00000004 00 00 0EB 00000002 FFFFFFFF 11 = 00000004 00 00 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFE 10 00 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFE 10 00 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFE 10 00 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFE 10 00 0F0 80000000 FFFFFFFF 00 = 00000001 00 00 0F1 80000000 FFFFFFFF 01 = 00000001 00 00 0F2 80000000 FFFFFFFF 10 = 00000001 00 00 0F3 80000000 FFFFFFFF 11 = 00000001 00 00 0F4 80000001 FFFFFFFF 00 = 00000003 00 00 0F5 80000001 FFFFFFFF 01 = 00000003 00 00 0F6 80000001 FFFFFFFF 10 = 00000003 00 00 0F7 80000001 FFFFFFFF 11 = 00000003 00 00 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 10 00 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 10 00 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 10 00 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 10 00 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 00 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 00 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 00 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 rol ---D---- ---S---- CZ = ---Q---- CZ 01 000 00000000 00000000 00 = 00000000 01 01 001 00000000 00000000 01 = 00000000 01 01 002 00000000 00000000 10 = 00000000 01 01 003 00000000 00000000 11 = 00000000 01 01 004 00000001 00000000 00 = 00000001 00 01 005 00000001 00000000 01 = 00000001 00 01 006 00000001 00000000 10 = 00000001 00 01 007 00000001 00000000 11 = 00000001 00 01 008 00000002 00000000 00 = 00000002 00 01 009 00000002 00000000 01 = 00000002 00 01 00A 00000002 00000000 10 = 00000002 00 01 00B 00000002 00000000 11 = 00000002 00 01 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 01 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 01 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 01 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 01 010 80000000 00000000 00 = 80000000 10 01 011 80000000 00000000 01 = 80000000 10 01 012 80000000 00000000 10 = 80000000 10 01 013 80000000 00000000 11 = 80000000 10 01 014 80000001 00000000 00 = 80000001 10 01 015 80000001 00000000 01 = 80000001 10 01 016 80000001 00000000 10 = 80000001 10 01 017 80000001 00000000 11 = 80000001 10 01 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 01 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 01 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 01 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 01 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 01 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 01 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 01 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 01 020 00000000 00000001 00 = 00000000 01 01 021 00000000 00000001 01 = 00000000 01 01 022 00000000 00000001 10 = 00000000 01 01 023 00000000 00000001 11 = 00000000 01 01 024 00000001 00000001 00 = 00000002 00 01 025 00000001 00000001 01 = 00000002 00 01 026 00000001 00000001 10 = 00000002 00 01 027 00000001 00000001 11 = 00000002 00 01 028 00000002 00000001 00 = 00000004 00 01 029 00000002 00000001 01 = 00000004 00 01 02A 00000002 00000001 10 = 00000004 00 01 02B 00000002 00000001 11 = 00000004 00 01 02C 7FFFFFFF 00000001 00 = FFFFFFFE 00 01 02D 7FFFFFFF 00000001 01 = FFFFFFFE 00 01 02E 7FFFFFFF 00000001 10 = FFFFFFFE 00 01 02F 7FFFFFFF 00000001 11 = FFFFFFFE 00 01 030 80000000 00000001 00 = 00000001 10 01 031 80000000 00000001 01 = 00000001 10 01 032 80000000 00000001 10 = 00000001 10 01 033 80000000 00000001 11 = 00000001 10 01 034 80000001 00000001 00 = 00000003 10 01 035 80000001 00000001 01 = 00000003 10 01 036 80000001 00000001 10 = 00000003 10 01 037 80000001 00000001 11 = 00000003 10 01 038 FFFFFFFE 00000001 00 = FFFFFFFD 10 01 039 FFFFFFFE 00000001 01 = FFFFFFFD 10 01 03A FFFFFFFE 00000001 10 = FFFFFFFD 10 01 03B FFFFFFFE 00000001 11 = FFFFFFFD 10 01 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 01 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 01 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 01 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 01 040 00000000 00000002 00 = 00000000 01 01 041 00000000 00000002 01 = 00000000 01 01 042 00000000 00000002 10 = 00000000 01 01 043 00000000 00000002 11 = 00000000 01 01 044 00000001 00000002 00 = 00000004 00 01 045 00000001 00000002 01 = 00000004 00 01 046 00000001 00000002 10 = 00000004 00 01 047 00000001 00000002 11 = 00000004 00 01 048 00000002 00000002 00 = 00000008 00 01 049 00000002 00000002 01 = 00000008 00 01 04A 00000002 00000002 10 = 00000008 00 01 04B 00000002 00000002 11 = 00000008 00 01 04C 7FFFFFFF 00000002 00 = FFFFFFFD 10 01 04D 7FFFFFFF 00000002 01 = FFFFFFFD 10 01 04E 7FFFFFFF 00000002 10 = FFFFFFFD 10 01 04F 7FFFFFFF 00000002 11 = FFFFFFFD 10 01 050 80000000 00000002 00 = 00000002 00 01 051 80000000 00000002 01 = 00000002 00 01 052 80000000 00000002 10 = 00000002 00 01 053 80000000 00000002 11 = 00000002 00 01 054 80000001 00000002 00 = 00000006 00 01 055 80000001 00000002 01 = 00000006 00 01 056 80000001 00000002 10 = 00000006 00 01 057 80000001 00000002 11 = 00000006 00 01 058 FFFFFFFE 00000002 00 = FFFFFFFB 10 01 059 FFFFFFFE 00000002 01 = FFFFFFFB 10 01 05A FFFFFFFE 00000002 10 = FFFFFFFB 10 01 05B FFFFFFFE 00000002 11 = FFFFFFFB 10 01 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 01 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 01 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 01 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 01 060 00000000 7FFFFFFF 00 = 00000000 01 01 061 00000000 7FFFFFFF 01 = 00000000 01 01 062 00000000 7FFFFFFF 10 = 00000000 01 01 063 00000000 7FFFFFFF 11 = 00000000 01 01 064 00000001 7FFFFFFF 00 = 80000000 00 01 065 00000001 7FFFFFFF 01 = 80000000 00 01 066 00000001 7FFFFFFF 10 = 80000000 00 01 067 00000001 7FFFFFFF 11 = 80000000 00 01 068 00000002 7FFFFFFF 00 = 00000001 10 01 069 00000002 7FFFFFFF 01 = 00000001 10 01 06A 00000002 7FFFFFFF 10 = 00000001 10 01 06B 00000002 7FFFFFFF 11 = 00000001 10 01 06C 7FFFFFFF 7FFFFFFF 00 = BFFFFFFF 10 01 06D 7FFFFFFF 7FFFFFFF 01 = BFFFFFFF 10 01 06E 7FFFFFFF 7FFFFFFF 10 = BFFFFFFF 10 01 06F 7FFFFFFF 7FFFFFFF 11 = BFFFFFFF 10 01 070 80000000 7FFFFFFF 00 = 40000000 00 01 071 80000000 7FFFFFFF 01 = 40000000 00 01 072 80000000 7FFFFFFF 10 = 40000000 00 01 073 80000000 7FFFFFFF 11 = 40000000 00 01 074 80000001 7FFFFFFF 00 = C0000000 00 01 075 80000001 7FFFFFFF 01 = C0000000 00 01 076 80000001 7FFFFFFF 10 = C0000000 00 01 077 80000001 7FFFFFFF 11 = C0000000 00 01 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 01 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 01 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 01 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 01 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 01 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 01 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 01 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 01 080 00000000 80000000 00 = 00000000 01 01 081 00000000 80000000 01 = 00000000 01 01 082 00000000 80000000 10 = 00000000 01 01 083 00000000 80000000 11 = 00000000 01 01 084 00000001 80000000 00 = 00000001 00 01 085 00000001 80000000 01 = 00000001 00 01 086 00000001 80000000 10 = 00000001 00 01 087 00000001 80000000 11 = 00000001 00 01 088 00000002 80000000 00 = 00000002 00 01 089 00000002 80000000 01 = 00000002 00 01 08A 00000002 80000000 10 = 00000002 00 01 08B 00000002 80000000 11 = 00000002 00 01 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 01 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 01 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 01 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 01 090 80000000 80000000 00 = 80000000 10 01 091 80000000 80000000 01 = 80000000 10 01 092 80000000 80000000 10 = 80000000 10 01 093 80000000 80000000 11 = 80000000 10 01 094 80000001 80000000 00 = 80000001 10 01 095 80000001 80000000 01 = 80000001 10 01 096 80000001 80000000 10 = 80000001 10 01 097 80000001 80000000 11 = 80000001 10 01 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 01 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 01 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 01 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 01 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 01 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 01 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 01 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 01 0A0 00000000 80000001 00 = 00000000 01 01 0A1 00000000 80000001 01 = 00000000 01 01 0A2 00000000 80000001 10 = 00000000 01 01 0A3 00000000 80000001 11 = 00000000 01 01 0A4 00000001 80000001 00 = 00000002 00 01 0A5 00000001 80000001 01 = 00000002 00 01 0A6 00000001 80000001 10 = 00000002 00 01 0A7 00000001 80000001 11 = 00000002 00 01 0A8 00000002 80000001 00 = 00000004 00 01 0A9 00000002 80000001 01 = 00000004 00 01 0AA 00000002 80000001 10 = 00000004 00 01 0AB 00000002 80000001 11 = 00000004 00 01 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 00 01 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 00 01 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 00 01 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 00 01 0B0 80000000 80000001 00 = 00000001 10 01 0B1 80000000 80000001 01 = 00000001 10 01 0B2 80000000 80000001 10 = 00000001 10 01 0B3 80000000 80000001 11 = 00000001 10 01 0B4 80000001 80000001 00 = 00000003 10 01 0B5 80000001 80000001 01 = 00000003 10 01 0B6 80000001 80000001 10 = 00000003 10 01 0B7 80000001 80000001 11 = 00000003 10 01 0B8 FFFFFFFE 80000001 00 = FFFFFFFD 10 01 0B9 FFFFFFFE 80000001 01 = FFFFFFFD 10 01 0BA FFFFFFFE 80000001 10 = FFFFFFFD 10 01 0BB FFFFFFFE 80000001 11 = FFFFFFFD 10 01 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 01 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 01 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 01 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 01 0C0 00000000 FFFFFFFE 00 = 00000000 01 01 0C1 00000000 FFFFFFFE 01 = 00000000 01 01 0C2 00000000 FFFFFFFE 10 = 00000000 01 01 0C3 00000000 FFFFFFFE 11 = 00000000 01 01 0C4 00000001 FFFFFFFE 00 = 40000000 00 01 0C5 00000001 FFFFFFFE 01 = 40000000 00 01 0C6 00000001 FFFFFFFE 10 = 40000000 00 01 0C7 00000001 FFFFFFFE 11 = 40000000 00 01 0C8 00000002 FFFFFFFE 00 = 80000000 00 01 0C9 00000002 FFFFFFFE 01 = 80000000 00 01 0CA 00000002 FFFFFFFE 10 = 80000000 00 01 0CB 00000002 FFFFFFFE 11 = 80000000 00 01 0CC 7FFFFFFF FFFFFFFE 00 = DFFFFFFF 10 01 0CD 7FFFFFFF FFFFFFFE 01 = DFFFFFFF 10 01 0CE 7FFFFFFF FFFFFFFE 10 = DFFFFFFF 10 01 0CF 7FFFFFFF FFFFFFFE 11 = DFFFFFFF 10 01 0D0 80000000 FFFFFFFE 00 = 20000000 00 01 0D1 80000000 FFFFFFFE 01 = 20000000 00 01 0D2 80000000 FFFFFFFE 10 = 20000000 00 01 0D3 80000000 FFFFFFFE 11 = 20000000 00 01 0D4 80000001 FFFFFFFE 00 = 60000000 00 01 0D5 80000001 FFFFFFFE 01 = 60000000 00 01 0D6 80000001 FFFFFFFE 10 = 60000000 00 01 0D7 80000001 FFFFFFFE 11 = 60000000 00 01 0D8 FFFFFFFE FFFFFFFE 00 = BFFFFFFF 10 01 0D9 FFFFFFFE FFFFFFFE 01 = BFFFFFFF 10 01 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFF 10 01 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFF 10 01 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 01 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 01 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 01 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 01 0E0 00000000 FFFFFFFF 00 = 00000000 01 01 0E1 00000000 FFFFFFFF 01 = 00000000 01 01 0E2 00000000 FFFFFFFF 10 = 00000000 01 01 0E3 00000000 FFFFFFFF 11 = 00000000 01 01 0E4 00000001 FFFFFFFF 00 = 80000000 00 01 0E5 00000001 FFFFFFFF 01 = 80000000 00 01 0E6 00000001 FFFFFFFF 10 = 80000000 00 01 0E7 00000001 FFFFFFFF 11 = 80000000 00 01 0E8 00000002 FFFFFFFF 00 = 00000001 10 01 0E9 00000002 FFFFFFFF 01 = 00000001 10 01 0EA 00000002 FFFFFFFF 10 = 00000001 10 01 0EB 00000002 FFFFFFFF 11 = 00000001 10 01 0EC 7FFFFFFF FFFFFFFF 00 = BFFFFFFF 10 01 0ED 7FFFFFFF FFFFFFFF 01 = BFFFFFFF 10 01 0EE 7FFFFFFF FFFFFFFF 10 = BFFFFFFF 10 01 0EF 7FFFFFFF FFFFFFFF 11 = BFFFFFFF 10 01 0F0 80000000 FFFFFFFF 00 = 40000000 00 01 0F1 80000000 FFFFFFFF 01 = 40000000 00 01 0F2 80000000 FFFFFFFF 10 = 40000000 00 01 0F3 80000000 FFFFFFFF 11 = 40000000 00 01 0F4 80000001 FFFFFFFF 00 = C0000000 00 01 0F5 80000001 FFFFFFFF 01 = C0000000 00 01 0F6 80000001 FFFFFFFF 10 = C0000000 00 01 0F7 80000001 FFFFFFFF 11 = C0000000 00 01 0F8 FFFFFFFE FFFFFFFF 00 = 7FFFFFFF 10 01 0F9 FFFFFFFE FFFFFFFF 01 = 7FFFFFFF 10 01 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFF 10 01 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFF 10 01 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 01 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 01 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 01 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 shr ---D---- ---S---- CZ = ---Q---- CZ 02 000 00000000 00000000 00 = 00000000 01 02 001 00000000 00000000 01 = 00000000 01 02 002 00000000 00000000 10 = 00000000 01 02 003 00000000 00000000 11 = 00000000 01 02 004 00000001 00000000 00 = 00000001 10 02 005 00000001 00000000 01 = 00000001 10 02 006 00000001 00000000 10 = 00000001 10 02 007 00000001 00000000 11 = 00000001 10 02 008 00000002 00000000 00 = 00000002 00 02 009 00000002 00000000 01 = 00000002 00 02 00A 00000002 00000000 10 = 00000002 00 02 00B 00000002 00000000 11 = 00000002 00 02 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 02 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 02 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 02 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 02 010 80000000 00000000 00 = 80000000 00 02 011 80000000 00000000 01 = 80000000 00 02 012 80000000 00000000 10 = 80000000 00 02 013 80000000 00000000 11 = 80000000 00 02 014 80000001 00000000 00 = 80000001 10 02 015 80000001 00000000 01 = 80000001 10 02 016 80000001 00000000 10 = 80000001 10 02 017 80000001 00000000 11 = 80000001 10 02 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 02 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 02 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 02 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 02 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 02 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 02 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 02 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 02 020 00000000 00000001 00 = 00000000 01 02 021 00000000 00000001 01 = 00000000 01 02 022 00000000 00000001 10 = 00000000 01 02 023 00000000 00000001 11 = 00000000 01 02 024 00000001 00000001 00 = 00000000 11 02 025 00000001 00000001 01 = 00000000 11 02 026 00000001 00000001 10 = 00000000 11 02 027 00000001 00000001 11 = 00000000 11 02 028 00000002 00000001 00 = 00000001 00 02 029 00000002 00000001 01 = 00000001 00 02 02A 00000002 00000001 10 = 00000001 00 02 02B 00000002 00000001 11 = 00000001 00 02 02C 7FFFFFFF 00000001 00 = 3FFFFFFF 10 02 02D 7FFFFFFF 00000001 01 = 3FFFFFFF 10 02 02E 7FFFFFFF 00000001 10 = 3FFFFFFF 10 02 02F 7FFFFFFF 00000001 11 = 3FFFFFFF 10 02 030 80000000 00000001 00 = 40000000 00 02 031 80000000 00000001 01 = 40000000 00 02 032 80000000 00000001 10 = 40000000 00 02 033 80000000 00000001 11 = 40000000 00 02 034 80000001 00000001 00 = 40000000 10 02 035 80000001 00000001 01 = 40000000 10 02 036 80000001 00000001 10 = 40000000 10 02 037 80000001 00000001 11 = 40000000 10 02 038 FFFFFFFE 00000001 00 = 7FFFFFFF 00 02 039 FFFFFFFE 00000001 01 = 7FFFFFFF 00 02 03A FFFFFFFE 00000001 10 = 7FFFFFFF 00 02 03B FFFFFFFE 00000001 11 = 7FFFFFFF 00 02 03C FFFFFFFF 00000001 00 = 7FFFFFFF 10 02 03D FFFFFFFF 00000001 01 = 7FFFFFFF 10 02 03E FFFFFFFF 00000001 10 = 7FFFFFFF 10 02 03F FFFFFFFF 00000001 11 = 7FFFFFFF 10 02 040 00000000 00000002 00 = 00000000 01 02 041 00000000 00000002 01 = 00000000 01 02 042 00000000 00000002 10 = 00000000 01 02 043 00000000 00000002 11 = 00000000 01 02 044 00000001 00000002 00 = 00000000 01 02 045 00000001 00000002 01 = 00000000 01 02 046 00000001 00000002 10 = 00000000 01 02 047 00000001 00000002 11 = 00000000 01 02 048 00000002 00000002 00 = 00000000 11 02 049 00000002 00000002 01 = 00000000 11 02 04A 00000002 00000002 10 = 00000000 11 02 04B 00000002 00000002 11 = 00000000 11 02 04C 7FFFFFFF 00000002 00 = 1FFFFFFF 10 02 04D 7FFFFFFF 00000002 01 = 1FFFFFFF 10 02 04E 7FFFFFFF 00000002 10 = 1FFFFFFF 10 02 04F 7FFFFFFF 00000002 11 = 1FFFFFFF 10 02 050 80000000 00000002 00 = 20000000 00 02 051 80000000 00000002 01 = 20000000 00 02 052 80000000 00000002 10 = 20000000 00 02 053 80000000 00000002 11 = 20000000 00 02 054 80000001 00000002 00 = 20000000 00 02 055 80000001 00000002 01 = 20000000 00 02 056 80000001 00000002 10 = 20000000 00 02 057 80000001 00000002 11 = 20000000 00 02 058 FFFFFFFE 00000002 00 = 3FFFFFFF 10 02 059 FFFFFFFE 00000002 01 = 3FFFFFFF 10 02 05A FFFFFFFE 00000002 10 = 3FFFFFFF 10 02 05B FFFFFFFE 00000002 11 = 3FFFFFFF 10 02 05C FFFFFFFF 00000002 00 = 3FFFFFFF 10 02 05D FFFFFFFF 00000002 01 = 3FFFFFFF 10 02 05E FFFFFFFF 00000002 10 = 3FFFFFFF 10 02 05F FFFFFFFF 00000002 11 = 3FFFFFFF 10 02 060 00000000 7FFFFFFF 00 = 00000000 01 02 061 00000000 7FFFFFFF 01 = 00000000 01 02 062 00000000 7FFFFFFF 10 = 00000000 01 02 063 00000000 7FFFFFFF 11 = 00000000 01 02 064 00000001 7FFFFFFF 00 = 00000000 01 02 065 00000001 7FFFFFFF 01 = 00000000 01 02 066 00000001 7FFFFFFF 10 = 00000000 01 02 067 00000001 7FFFFFFF 11 = 00000000 01 02 068 00000002 7FFFFFFF 00 = 00000000 01 02 069 00000002 7FFFFFFF 01 = 00000000 01 02 06A 00000002 7FFFFFFF 10 = 00000000 01 02 06B 00000002 7FFFFFFF 11 = 00000000 01 02 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 11 02 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 11 02 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 11 02 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 11 02 070 80000000 7FFFFFFF 00 = 00000001 00 02 071 80000000 7FFFFFFF 01 = 00000001 00 02 072 80000000 7FFFFFFF 10 = 00000001 00 02 073 80000000 7FFFFFFF 11 = 00000001 00 02 074 80000001 7FFFFFFF 00 = 00000001 00 02 075 80000001 7FFFFFFF 01 = 00000001 00 02 076 80000001 7FFFFFFF 10 = 00000001 00 02 077 80000001 7FFFFFFF 11 = 00000001 00 02 078 FFFFFFFE 7FFFFFFF 00 = 00000001 10 02 079 FFFFFFFE 7FFFFFFF 01 = 00000001 10 02 07A FFFFFFFE 7FFFFFFF 10 = 00000001 10 02 07B FFFFFFFE 7FFFFFFF 11 = 00000001 10 02 07C FFFFFFFF 7FFFFFFF 00 = 00000001 10 02 07D FFFFFFFF 7FFFFFFF 01 = 00000001 10 02 07E FFFFFFFF 7FFFFFFF 10 = 00000001 10 02 07F FFFFFFFF 7FFFFFFF 11 = 00000001 10 02 080 00000000 80000000 00 = 00000000 01 02 081 00000000 80000000 01 = 00000000 01 02 082 00000000 80000000 10 = 00000000 01 02 083 00000000 80000000 11 = 00000000 01 02 084 00000001 80000000 00 = 00000001 10 02 085 00000001 80000000 01 = 00000001 10 02 086 00000001 80000000 10 = 00000001 10 02 087 00000001 80000000 11 = 00000001 10 02 088 00000002 80000000 00 = 00000002 00 02 089 00000002 80000000 01 = 00000002 00 02 08A 00000002 80000000 10 = 00000002 00 02 08B 00000002 80000000 11 = 00000002 00 02 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 02 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 02 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 02 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 02 090 80000000 80000000 00 = 80000000 00 02 091 80000000 80000000 01 = 80000000 00 02 092 80000000 80000000 10 = 80000000 00 02 093 80000000 80000000 11 = 80000000 00 02 094 80000001 80000000 00 = 80000001 10 02 095 80000001 80000000 01 = 80000001 10 02 096 80000001 80000000 10 = 80000001 10 02 097 80000001 80000000 11 = 80000001 10 02 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 02 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 02 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 02 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 02 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 02 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 02 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 02 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 02 0A0 00000000 80000001 00 = 00000000 01 02 0A1 00000000 80000001 01 = 00000000 01 02 0A2 00000000 80000001 10 = 00000000 01 02 0A3 00000000 80000001 11 = 00000000 01 02 0A4 00000001 80000001 00 = 00000000 11 02 0A5 00000001 80000001 01 = 00000000 11 02 0A6 00000001 80000001 10 = 00000000 11 02 0A7 00000001 80000001 11 = 00000000 11 02 0A8 00000002 80000001 00 = 00000001 00 02 0A9 00000002 80000001 01 = 00000001 00 02 0AA 00000002 80000001 10 = 00000001 00 02 0AB 00000002 80000001 11 = 00000001 00 02 0AC 7FFFFFFF 80000001 00 = 3FFFFFFF 10 02 0AD 7FFFFFFF 80000001 01 = 3FFFFFFF 10 02 0AE 7FFFFFFF 80000001 10 = 3FFFFFFF 10 02 0AF 7FFFFFFF 80000001 11 = 3FFFFFFF 10 02 0B0 80000000 80000001 00 = 40000000 00 02 0B1 80000000 80000001 01 = 40000000 00 02 0B2 80000000 80000001 10 = 40000000 00 02 0B3 80000000 80000001 11 = 40000000 00 02 0B4 80000001 80000001 00 = 40000000 10 02 0B5 80000001 80000001 01 = 40000000 10 02 0B6 80000001 80000001 10 = 40000000 10 02 0B7 80000001 80000001 11 = 40000000 10 02 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 02 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 02 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 00 02 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 00 02 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 10 02 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 10 02 0BE FFFFFFFF 80000001 10 = 7FFFFFFF 10 02 0BF FFFFFFFF 80000001 11 = 7FFFFFFF 10 02 0C0 00000000 FFFFFFFE 00 = 00000000 01 02 0C1 00000000 FFFFFFFE 01 = 00000000 01 02 0C2 00000000 FFFFFFFE 10 = 00000000 01 02 0C3 00000000 FFFFFFFE 11 = 00000000 01 02 0C4 00000001 FFFFFFFE 00 = 00000000 01 02 0C5 00000001 FFFFFFFE 01 = 00000000 01 02 0C6 00000001 FFFFFFFE 10 = 00000000 01 02 0C7 00000001 FFFFFFFE 11 = 00000000 01 02 0C8 00000002 FFFFFFFE 00 = 00000000 01 02 0C9 00000002 FFFFFFFE 01 = 00000000 01 02 0CA 00000002 FFFFFFFE 10 = 00000000 01 02 0CB 00000002 FFFFFFFE 11 = 00000000 01 02 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 02 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 02 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 10 02 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 10 02 0D0 80000000 FFFFFFFE 00 = 00000002 00 02 0D1 80000000 FFFFFFFE 01 = 00000002 00 02 0D2 80000000 FFFFFFFE 10 = 00000002 00 02 0D3 80000000 FFFFFFFE 11 = 00000002 00 02 0D4 80000001 FFFFFFFE 00 = 00000002 00 02 0D5 80000001 FFFFFFFE 01 = 00000002 00 02 0D6 80000001 FFFFFFFE 10 = 00000002 00 02 0D7 80000001 FFFFFFFE 11 = 00000002 00 02 0D8 FFFFFFFE FFFFFFFE 00 = 00000003 10 02 0D9 FFFFFFFE FFFFFFFE 01 = 00000003 10 02 0DA FFFFFFFE FFFFFFFE 10 = 00000003 10 02 0DB FFFFFFFE FFFFFFFE 11 = 00000003 10 02 0DC FFFFFFFF FFFFFFFE 00 = 00000003 10 02 0DD FFFFFFFF FFFFFFFE 01 = 00000003 10 02 0DE FFFFFFFF FFFFFFFE 10 = 00000003 10 02 0DF FFFFFFFF FFFFFFFE 11 = 00000003 10 02 0E0 00000000 FFFFFFFF 00 = 00000000 01 02 0E1 00000000 FFFFFFFF 01 = 00000000 01 02 0E2 00000000 FFFFFFFF 10 = 00000000 01 02 0E3 00000000 FFFFFFFF 11 = 00000000 01 02 0E4 00000001 FFFFFFFF 00 = 00000000 01 02 0E5 00000001 FFFFFFFF 01 = 00000000 01 02 0E6 00000001 FFFFFFFF 10 = 00000000 01 02 0E7 00000001 FFFFFFFF 11 = 00000000 01 02 0E8 00000002 FFFFFFFF 00 = 00000000 01 02 0E9 00000002 FFFFFFFF 01 = 00000000 01 02 0EA 00000002 FFFFFFFF 10 = 00000000 01 02 0EB 00000002 FFFFFFFF 11 = 00000000 01 02 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 11 02 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 11 02 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 11 02 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 11 02 0F0 80000000 FFFFFFFF 00 = 00000001 00 02 0F1 80000000 FFFFFFFF 01 = 00000001 00 02 0F2 80000000 FFFFFFFF 10 = 00000001 00 02 0F3 80000000 FFFFFFFF 11 = 00000001 00 02 0F4 80000001 FFFFFFFF 00 = 00000001 00 02 0F5 80000001 FFFFFFFF 01 = 00000001 00 02 0F6 80000001 FFFFFFFF 10 = 00000001 00 02 0F7 80000001 FFFFFFFF 11 = 00000001 00 02 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 10 02 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 10 02 0FA FFFFFFFE FFFFFFFF 10 = 00000001 10 02 0FB FFFFFFFE FFFFFFFF 11 = 00000001 10 02 0FC FFFFFFFF FFFFFFFF 00 = 00000001 10 02 0FD FFFFFFFF FFFFFFFF 01 = 00000001 10 02 0FE FFFFFFFF FFFFFFFF 10 = 00000001 10 02 0FF FFFFFFFF FFFFFFFF 11 = 00000001 10 shl ---D---- ---S---- CZ = ---Q---- CZ 03 000 00000000 00000000 00 = 00000000 01 03 001 00000000 00000000 01 = 00000000 01 03 002 00000000 00000000 10 = 00000000 01 03 003 00000000 00000000 11 = 00000000 01 03 004 00000001 00000000 00 = 00000001 00 03 005 00000001 00000000 01 = 00000001 00 03 006 00000001 00000000 10 = 00000001 00 03 007 00000001 00000000 11 = 00000001 00 03 008 00000002 00000000 00 = 00000002 00 03 009 00000002 00000000 01 = 00000002 00 03 00A 00000002 00000000 10 = 00000002 00 03 00B 00000002 00000000 11 = 00000002 00 03 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 03 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 03 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 03 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 03 010 80000000 00000000 00 = 80000000 10 03 011 80000000 00000000 01 = 80000000 10 03 012 80000000 00000000 10 = 80000000 10 03 013 80000000 00000000 11 = 80000000 10 03 014 80000001 00000000 00 = 80000001 10 03 015 80000001 00000000 01 = 80000001 10 03 016 80000001 00000000 10 = 80000001 10 03 017 80000001 00000000 11 = 80000001 10 03 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 03 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 03 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 03 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 03 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 03 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 03 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 03 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 03 020 00000000 00000001 00 = 00000000 01 03 021 00000000 00000001 01 = 00000000 01 03 022 00000000 00000001 10 = 00000000 01 03 023 00000000 00000001 11 = 00000000 01 03 024 00000001 00000001 00 = 00000002 00 03 025 00000001 00000001 01 = 00000002 00 03 026 00000001 00000001 10 = 00000002 00 03 027 00000001 00000001 11 = 00000002 00 03 028 00000002 00000001 00 = 00000004 00 03 029 00000002 00000001 01 = 00000004 00 03 02A 00000002 00000001 10 = 00000004 00 03 02B 00000002 00000001 11 = 00000004 00 03 02C 7FFFFFFF 00000001 00 = FFFFFFFE 00 03 02D 7FFFFFFF 00000001 01 = FFFFFFFE 00 03 02E 7FFFFFFF 00000001 10 = FFFFFFFE 00 03 02F 7FFFFFFF 00000001 11 = FFFFFFFE 00 03 030 80000000 00000001 00 = 00000000 11 03 031 80000000 00000001 01 = 00000000 11 03 032 80000000 00000001 10 = 00000000 11 03 033 80000000 00000001 11 = 00000000 11 03 034 80000001 00000001 00 = 00000002 10 03 035 80000001 00000001 01 = 00000002 10 03 036 80000001 00000001 10 = 00000002 10 03 037 80000001 00000001 11 = 00000002 10 03 038 FFFFFFFE 00000001 00 = FFFFFFFC 10 03 039 FFFFFFFE 00000001 01 = FFFFFFFC 10 03 03A FFFFFFFE 00000001 10 = FFFFFFFC 10 03 03B FFFFFFFE 00000001 11 = FFFFFFFC 10 03 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 03 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 03 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 03 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 03 040 00000000 00000002 00 = 00000000 01 03 041 00000000 00000002 01 = 00000000 01 03 042 00000000 00000002 10 = 00000000 01 03 043 00000000 00000002 11 = 00000000 01 03 044 00000001 00000002 00 = 00000004 00 03 045 00000001 00000002 01 = 00000004 00 03 046 00000001 00000002 10 = 00000004 00 03 047 00000001 00000002 11 = 00000004 00 03 048 00000002 00000002 00 = 00000008 00 03 049 00000002 00000002 01 = 00000008 00 03 04A 00000002 00000002 10 = 00000008 00 03 04B 00000002 00000002 11 = 00000008 00 03 04C 7FFFFFFF 00000002 00 = FFFFFFFC 10 03 04D 7FFFFFFF 00000002 01 = FFFFFFFC 10 03 04E 7FFFFFFF 00000002 10 = FFFFFFFC 10 03 04F 7FFFFFFF 00000002 11 = FFFFFFFC 10 03 050 80000000 00000002 00 = 00000000 01 03 051 80000000 00000002 01 = 00000000 01 03 052 80000000 00000002 10 = 00000000 01 03 053 80000000 00000002 11 = 00000000 01 03 054 80000001 00000002 00 = 00000004 00 03 055 80000001 00000002 01 = 00000004 00 03 056 80000001 00000002 10 = 00000004 00 03 057 80000001 00000002 11 = 00000004 00 03 058 FFFFFFFE 00000002 00 = FFFFFFF8 10 03 059 FFFFFFFE 00000002 01 = FFFFFFF8 10 03 05A FFFFFFFE 00000002 10 = FFFFFFF8 10 03 05B FFFFFFFE 00000002 11 = FFFFFFF8 10 03 05C FFFFFFFF 00000002 00 = FFFFFFFC 10 03 05D FFFFFFFF 00000002 01 = FFFFFFFC 10 03 05E FFFFFFFF 00000002 10 = FFFFFFFC 10 03 05F FFFFFFFF 00000002 11 = FFFFFFFC 10 03 060 00000000 7FFFFFFF 00 = 00000000 01 03 061 00000000 7FFFFFFF 01 = 00000000 01 03 062 00000000 7FFFFFFF 10 = 00000000 01 03 063 00000000 7FFFFFFF 11 = 00000000 01 03 064 00000001 7FFFFFFF 00 = 80000000 00 03 065 00000001 7FFFFFFF 01 = 80000000 00 03 066 00000001 7FFFFFFF 10 = 80000000 00 03 067 00000001 7FFFFFFF 11 = 80000000 00 03 068 00000002 7FFFFFFF 00 = 00000000 11 03 069 00000002 7FFFFFFF 01 = 00000000 11 03 06A 00000002 7FFFFFFF 10 = 00000000 11 03 06B 00000002 7FFFFFFF 11 = 00000000 11 03 06C 7FFFFFFF 7FFFFFFF 00 = 80000000 10 03 06D 7FFFFFFF 7FFFFFFF 01 = 80000000 10 03 06E 7FFFFFFF 7FFFFFFF 10 = 80000000 10 03 06F 7FFFFFFF 7FFFFFFF 11 = 80000000 10 03 070 80000000 7FFFFFFF 00 = 00000000 01 03 071 80000000 7FFFFFFF 01 = 00000000 01 03 072 80000000 7FFFFFFF 10 = 00000000 01 03 073 80000000 7FFFFFFF 11 = 00000000 01 03 074 80000001 7FFFFFFF 00 = 80000000 00 03 075 80000001 7FFFFFFF 01 = 80000000 00 03 076 80000001 7FFFFFFF 10 = 80000000 00 03 077 80000001 7FFFFFFF 11 = 80000000 00 03 078 FFFFFFFE 7FFFFFFF 00 = 00000000 11 03 079 FFFFFFFE 7FFFFFFF 01 = 00000000 11 03 07A FFFFFFFE 7FFFFFFF 10 = 00000000 11 03 07B FFFFFFFE 7FFFFFFF 11 = 00000000 11 03 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 03 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 03 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 03 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 03 080 00000000 80000000 00 = 00000000 01 03 081 00000000 80000000 01 = 00000000 01 03 082 00000000 80000000 10 = 00000000 01 03 083 00000000 80000000 11 = 00000000 01 03 084 00000001 80000000 00 = 00000001 00 03 085 00000001 80000000 01 = 00000001 00 03 086 00000001 80000000 10 = 00000001 00 03 087 00000001 80000000 11 = 00000001 00 03 088 00000002 80000000 00 = 00000002 00 03 089 00000002 80000000 01 = 00000002 00 03 08A 00000002 80000000 10 = 00000002 00 03 08B 00000002 80000000 11 = 00000002 00 03 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 03 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 03 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 03 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 03 090 80000000 80000000 00 = 80000000 10 03 091 80000000 80000000 01 = 80000000 10 03 092 80000000 80000000 10 = 80000000 10 03 093 80000000 80000000 11 = 80000000 10 03 094 80000001 80000000 00 = 80000001 10 03 095 80000001 80000000 01 = 80000001 10 03 096 80000001 80000000 10 = 80000001 10 03 097 80000001 80000000 11 = 80000001 10 03 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 03 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 03 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 03 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 03 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 03 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 03 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 03 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 03 0A0 00000000 80000001 00 = 00000000 01 03 0A1 00000000 80000001 01 = 00000000 01 03 0A2 00000000 80000001 10 = 00000000 01 03 0A3 00000000 80000001 11 = 00000000 01 03 0A4 00000001 80000001 00 = 00000002 00 03 0A5 00000001 80000001 01 = 00000002 00 03 0A6 00000001 80000001 10 = 00000002 00 03 0A7 00000001 80000001 11 = 00000002 00 03 0A8 00000002 80000001 00 = 00000004 00 03 0A9 00000002 80000001 01 = 00000004 00 03 0AA 00000002 80000001 10 = 00000004 00 03 0AB 00000002 80000001 11 = 00000004 00 03 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 00 03 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 00 03 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 00 03 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 00 03 0B0 80000000 80000001 00 = 00000000 11 03 0B1 80000000 80000001 01 = 00000000 11 03 0B2 80000000 80000001 10 = 00000000 11 03 0B3 80000000 80000001 11 = 00000000 11 03 0B4 80000001 80000001 00 = 00000002 10 03 0B5 80000001 80000001 01 = 00000002 10 03 0B6 80000001 80000001 10 = 00000002 10 03 0B7 80000001 80000001 11 = 00000002 10 03 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 10 03 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 10 03 0BA FFFFFFFE 80000001 10 = FFFFFFFC 10 03 0BB FFFFFFFE 80000001 11 = FFFFFFFC 10 03 0BC FFFFFFFF 80000001 00 = FFFFFFFE 10 03 0BD FFFFFFFF 80000001 01 = FFFFFFFE 10 03 0BE FFFFFFFF 80000001 10 = FFFFFFFE 10 03 0BF FFFFFFFF 80000001 11 = FFFFFFFE 10 03 0C0 00000000 FFFFFFFE 00 = 00000000 01 03 0C1 00000000 FFFFFFFE 01 = 00000000 01 03 0C2 00000000 FFFFFFFE 10 = 00000000 01 03 0C3 00000000 FFFFFFFE 11 = 00000000 01 03 0C4 00000001 FFFFFFFE 00 = 40000000 00 03 0C5 00000001 FFFFFFFE 01 = 40000000 00 03 0C6 00000001 FFFFFFFE 10 = 40000000 00 03 0C7 00000001 FFFFFFFE 11 = 40000000 00 03 0C8 00000002 FFFFFFFE 00 = 80000000 00 03 0C9 00000002 FFFFFFFE 01 = 80000000 00 03 0CA 00000002 FFFFFFFE 10 = 80000000 00 03 0CB 00000002 FFFFFFFE 11 = 80000000 00 03 0CC 7FFFFFFF FFFFFFFE 00 = C0000000 10 03 0CD 7FFFFFFF FFFFFFFE 01 = C0000000 10 03 0CE 7FFFFFFF FFFFFFFE 10 = C0000000 10 03 0CF 7FFFFFFF FFFFFFFE 11 = C0000000 10 03 0D0 80000000 FFFFFFFE 00 = 00000000 01 03 0D1 80000000 FFFFFFFE 01 = 00000000 01 03 0D2 80000000 FFFFFFFE 10 = 00000000 01 03 0D3 80000000 FFFFFFFE 11 = 00000000 01 03 0D4 80000001 FFFFFFFE 00 = 40000000 00 03 0D5 80000001 FFFFFFFE 01 = 40000000 00 03 0D6 80000001 FFFFFFFE 10 = 40000000 00 03 0D7 80000001 FFFFFFFE 11 = 40000000 00 03 0D8 FFFFFFFE FFFFFFFE 00 = 80000000 10 03 0D9 FFFFFFFE FFFFFFFE 01 = 80000000 10 03 0DA FFFFFFFE FFFFFFFE 10 = 80000000 10 03 0DB FFFFFFFE FFFFFFFE 11 = 80000000 10 03 0DC FFFFFFFF FFFFFFFE 00 = C0000000 10 03 0DD FFFFFFFF FFFFFFFE 01 = C0000000 10 03 0DE FFFFFFFF FFFFFFFE 10 = C0000000 10 03 0DF FFFFFFFF FFFFFFFE 11 = C0000000 10 03 0E0 00000000 FFFFFFFF 00 = 00000000 01 03 0E1 00000000 FFFFFFFF 01 = 00000000 01 03 0E2 00000000 FFFFFFFF 10 = 00000000 01 03 0E3 00000000 FFFFFFFF 11 = 00000000 01 03 0E4 00000001 FFFFFFFF 00 = 80000000 00 03 0E5 00000001 FFFFFFFF 01 = 80000000 00 03 0E6 00000001 FFFFFFFF 10 = 80000000 00 03 0E7 00000001 FFFFFFFF 11 = 80000000 00 03 0E8 00000002 FFFFFFFF 00 = 00000000 11 03 0E9 00000002 FFFFFFFF 01 = 00000000 11 03 0EA 00000002 FFFFFFFF 10 = 00000000 11 03 0EB 00000002 FFFFFFFF 11 = 00000000 11 03 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 03 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 03 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 03 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 03 0F0 80000000 FFFFFFFF 00 = 00000000 01 03 0F1 80000000 FFFFFFFF 01 = 00000000 01 03 0F2 80000000 FFFFFFFF 10 = 00000000 01 03 0F3 80000000 FFFFFFFF 11 = 00000000 01 03 0F4 80000001 FFFFFFFF 00 = 80000000 00 03 0F5 80000001 FFFFFFFF 01 = 80000000 00 03 0F6 80000001 FFFFFFFF 10 = 80000000 00 03 0F7 80000001 FFFFFFFF 11 = 80000000 00 03 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 11 03 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 11 03 0FA FFFFFFFE FFFFFFFF 10 = 00000000 11 03 0FB FFFFFFFE FFFFFFFF 11 = 00000000 11 03 0FC FFFFFFFF FFFFFFFF 00 = 80000000 10 03 0FD FFFFFFFF FFFFFFFF 01 = 80000000 10 03 0FE FFFFFFFF FFFFFFFF 10 = 80000000 10 03 0FF FFFFFFFF FFFFFFFF 11 = 80000000 10 rcr ---D---- ---S---- CZ = ---Q---- CZ 04 000 00000000 00000000 00 = 00000000 01 04 001 00000000 00000000 01 = 00000000 01 04 002 00000000 00000000 10 = 00000000 01 04 003 00000000 00000000 11 = 00000000 01 04 004 00000001 00000000 00 = 00000001 10 04 005 00000001 00000000 01 = 00000001 10 04 006 00000001 00000000 10 = 00000001 10 04 007 00000001 00000000 11 = 00000001 10 04 008 00000002 00000000 00 = 00000002 00 04 009 00000002 00000000 01 = 00000002 00 04 00A 00000002 00000000 10 = 00000002 00 04 00B 00000002 00000000 11 = 00000002 00 04 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 04 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 04 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 04 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 04 010 80000000 00000000 00 = 80000000 00 04 011 80000000 00000000 01 = 80000000 00 04 012 80000000 00000000 10 = 80000000 00 04 013 80000000 00000000 11 = 80000000 00 04 014 80000001 00000000 00 = 80000001 10 04 015 80000001 00000000 01 = 80000001 10 04 016 80000001 00000000 10 = 80000001 10 04 017 80000001 00000000 11 = 80000001 10 04 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 04 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 04 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 04 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 04 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 04 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 04 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 04 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 04 020 00000000 00000001 00 = 00000000 01 04 021 00000000 00000001 01 = 00000000 01 04 022 00000000 00000001 10 = 80000000 00 04 023 00000000 00000001 11 = 80000000 00 04 024 00000001 00000001 00 = 00000000 11 04 025 00000001 00000001 01 = 00000000 11 04 026 00000001 00000001 10 = 80000000 10 04 027 00000001 00000001 11 = 80000000 10 04 028 00000002 00000001 00 = 00000001 00 04 029 00000002 00000001 01 = 00000001 00 04 02A 00000002 00000001 10 = 80000001 00 04 02B 00000002 00000001 11 = 80000001 00 04 02C 7FFFFFFF 00000001 00 = 3FFFFFFF 10 04 02D 7FFFFFFF 00000001 01 = 3FFFFFFF 10 04 02E 7FFFFFFF 00000001 10 = BFFFFFFF 10 04 02F 7FFFFFFF 00000001 11 = BFFFFFFF 10 04 030 80000000 00000001 00 = 40000000 00 04 031 80000000 00000001 01 = 40000000 00 04 032 80000000 00000001 10 = C0000000 00 04 033 80000000 00000001 11 = C0000000 00 04 034 80000001 00000001 00 = 40000000 10 04 035 80000001 00000001 01 = 40000000 10 04 036 80000001 00000001 10 = C0000000 10 04 037 80000001 00000001 11 = C0000000 10 04 038 FFFFFFFE 00000001 00 = 7FFFFFFF 00 04 039 FFFFFFFE 00000001 01 = 7FFFFFFF 00 04 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 04 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 04 03C FFFFFFFF 00000001 00 = 7FFFFFFF 10 04 03D FFFFFFFF 00000001 01 = 7FFFFFFF 10 04 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 04 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 04 040 00000000 00000002 00 = 00000000 01 04 041 00000000 00000002 01 = 00000000 01 04 042 00000000 00000002 10 = C0000000 00 04 043 00000000 00000002 11 = C0000000 00 04 044 00000001 00000002 00 = 00000000 01 04 045 00000001 00000002 01 = 00000000 01 04 046 00000001 00000002 10 = C0000000 00 04 047 00000001 00000002 11 = C0000000 00 04 048 00000002 00000002 00 = 00000000 11 04 049 00000002 00000002 01 = 00000000 11 04 04A 00000002 00000002 10 = C0000000 10 04 04B 00000002 00000002 11 = C0000000 10 04 04C 7FFFFFFF 00000002 00 = 1FFFFFFF 10 04 04D 7FFFFFFF 00000002 01 = 1FFFFFFF 10 04 04E 7FFFFFFF 00000002 10 = DFFFFFFF 10 04 04F 7FFFFFFF 00000002 11 = DFFFFFFF 10 04 050 80000000 00000002 00 = 20000000 00 04 051 80000000 00000002 01 = 20000000 00 04 052 80000000 00000002 10 = E0000000 00 04 053 80000000 00000002 11 = E0000000 00 04 054 80000001 00000002 00 = 20000000 00 04 055 80000001 00000002 01 = 20000000 00 04 056 80000001 00000002 10 = E0000000 00 04 057 80000001 00000002 11 = E0000000 00 04 058 FFFFFFFE 00000002 00 = 3FFFFFFF 10 04 059 FFFFFFFE 00000002 01 = 3FFFFFFF 10 04 05A FFFFFFFE 00000002 10 = FFFFFFFF 10 04 05B FFFFFFFE 00000002 11 = FFFFFFFF 10 04 05C FFFFFFFF 00000002 00 = 3FFFFFFF 10 04 05D FFFFFFFF 00000002 01 = 3FFFFFFF 10 04 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 04 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 04 060 00000000 7FFFFFFF 00 = 00000000 01 04 061 00000000 7FFFFFFF 01 = 00000000 01 04 062 00000000 7FFFFFFF 10 = FFFFFFFE 00 04 063 00000000 7FFFFFFF 11 = FFFFFFFE 00 04 064 00000001 7FFFFFFF 00 = 00000000 01 04 065 00000001 7FFFFFFF 01 = 00000000 01 04 066 00000001 7FFFFFFF 10 = FFFFFFFE 00 04 067 00000001 7FFFFFFF 11 = FFFFFFFE 00 04 068 00000002 7FFFFFFF 00 = 00000000 01 04 069 00000002 7FFFFFFF 01 = 00000000 01 04 06A 00000002 7FFFFFFF 10 = FFFFFFFE 00 04 06B 00000002 7FFFFFFF 11 = FFFFFFFE 00 04 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 11 04 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 11 04 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 04 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 04 070 80000000 7FFFFFFF 00 = 00000001 00 04 071 80000000 7FFFFFFF 01 = 00000001 00 04 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 04 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 04 074 80000001 7FFFFFFF 00 = 00000001 00 04 075 80000001 7FFFFFFF 01 = 00000001 00 04 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 04 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 04 078 FFFFFFFE 7FFFFFFF 00 = 00000001 10 04 079 FFFFFFFE 7FFFFFFF 01 = 00000001 10 04 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 04 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 10 04 07C FFFFFFFF 7FFFFFFF 00 = 00000001 10 04 07D FFFFFFFF 7FFFFFFF 01 = 00000001 10 04 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 04 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 04 080 00000000 80000000 00 = 00000000 01 04 081 00000000 80000000 01 = 00000000 01 04 082 00000000 80000000 10 = 00000000 01 04 083 00000000 80000000 11 = 00000000 01 04 084 00000001 80000000 00 = 00000001 10 04 085 00000001 80000000 01 = 00000001 10 04 086 00000001 80000000 10 = 00000001 10 04 087 00000001 80000000 11 = 00000001 10 04 088 00000002 80000000 00 = 00000002 00 04 089 00000002 80000000 01 = 00000002 00 04 08A 00000002 80000000 10 = 00000002 00 04 08B 00000002 80000000 11 = 00000002 00 04 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 04 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 04 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 04 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 04 090 80000000 80000000 00 = 80000000 00 04 091 80000000 80000000 01 = 80000000 00 04 092 80000000 80000000 10 = 80000000 00 04 093 80000000 80000000 11 = 80000000 00 04 094 80000001 80000000 00 = 80000001 10 04 095 80000001 80000000 01 = 80000001 10 04 096 80000001 80000000 10 = 80000001 10 04 097 80000001 80000000 11 = 80000001 10 04 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 04 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 04 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 04 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 04 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 04 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 04 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 04 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 04 0A0 00000000 80000001 00 = 00000000 01 04 0A1 00000000 80000001 01 = 00000000 01 04 0A2 00000000 80000001 10 = 80000000 00 04 0A3 00000000 80000001 11 = 80000000 00 04 0A4 00000001 80000001 00 = 00000000 11 04 0A5 00000001 80000001 01 = 00000000 11 04 0A6 00000001 80000001 10 = 80000000 10 04 0A7 00000001 80000001 11 = 80000000 10 04 0A8 00000002 80000001 00 = 00000001 00 04 0A9 00000002 80000001 01 = 00000001 00 04 0AA 00000002 80000001 10 = 80000001 00 04 0AB 00000002 80000001 11 = 80000001 00 04 0AC 7FFFFFFF 80000001 00 = 3FFFFFFF 10 04 0AD 7FFFFFFF 80000001 01 = 3FFFFFFF 10 04 0AE 7FFFFFFF 80000001 10 = BFFFFFFF 10 04 0AF 7FFFFFFF 80000001 11 = BFFFFFFF 10 04 0B0 80000000 80000001 00 = 40000000 00 04 0B1 80000000 80000001 01 = 40000000 00 04 0B2 80000000 80000001 10 = C0000000 00 04 0B3 80000000 80000001 11 = C0000000 00 04 0B4 80000001 80000001 00 = 40000000 10 04 0B5 80000001 80000001 01 = 40000000 10 04 0B6 80000001 80000001 10 = C0000000 10 04 0B7 80000001 80000001 11 = C0000000 10 04 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 04 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 04 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 04 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 04 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 10 04 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 10 04 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 04 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 04 0C0 00000000 FFFFFFFE 00 = 00000000 01 04 0C1 00000000 FFFFFFFE 01 = 00000000 01 04 0C2 00000000 FFFFFFFE 10 = FFFFFFFC 00 04 0C3 00000000 FFFFFFFE 11 = FFFFFFFC 00 04 0C4 00000001 FFFFFFFE 00 = 00000000 01 04 0C5 00000001 FFFFFFFE 01 = 00000000 01 04 0C6 00000001 FFFFFFFE 10 = FFFFFFFC 00 04 0C7 00000001 FFFFFFFE 11 = FFFFFFFC 00 04 0C8 00000002 FFFFFFFE 00 = 00000000 01 04 0C9 00000002 FFFFFFFE 01 = 00000000 01 04 0CA 00000002 FFFFFFFE 10 = FFFFFFFC 00 04 0CB 00000002 FFFFFFFE 11 = FFFFFFFC 00 04 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 04 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 04 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFD 10 04 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFD 10 04 0D0 80000000 FFFFFFFE 00 = 00000002 00 04 0D1 80000000 FFFFFFFE 01 = 00000002 00 04 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 00 04 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 00 04 0D4 80000001 FFFFFFFE 00 = 00000002 00 04 0D5 80000001 FFFFFFFE 01 = 00000002 00 04 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 00 04 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 00 04 0D8 FFFFFFFE FFFFFFFE 00 = 00000003 10 04 0D9 FFFFFFFE FFFFFFFE 01 = 00000003 10 04 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 04 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 10 04 0DC FFFFFFFF FFFFFFFE 00 = 00000003 10 04 0DD FFFFFFFF FFFFFFFE 01 = 00000003 10 04 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 04 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 04 0E0 00000000 FFFFFFFF 00 = 00000000 01 04 0E1 00000000 FFFFFFFF 01 = 00000000 01 04 0E2 00000000 FFFFFFFF 10 = FFFFFFFE 00 04 0E3 00000000 FFFFFFFF 11 = FFFFFFFE 00 04 0E4 00000001 FFFFFFFF 00 = 00000000 01 04 0E5 00000001 FFFFFFFF 01 = 00000000 01 04 0E6 00000001 FFFFFFFF 10 = FFFFFFFE 00 04 0E7 00000001 FFFFFFFF 11 = FFFFFFFE 00 04 0E8 00000002 FFFFFFFF 00 = 00000000 01 04 0E9 00000002 FFFFFFFF 01 = 00000000 01 04 0EA 00000002 FFFFFFFF 10 = FFFFFFFE 00 04 0EB 00000002 FFFFFFFF 11 = FFFFFFFE 00 04 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 11 04 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 11 04 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFE 10 04 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFE 10 04 0F0 80000000 FFFFFFFF 00 = 00000001 00 04 0F1 80000000 FFFFFFFF 01 = 00000001 00 04 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 00 04 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 00 04 0F4 80000001 FFFFFFFF 00 = 00000001 00 04 0F5 80000001 FFFFFFFF 01 = 00000001 00 04 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 04 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 04 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 10 04 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 10 04 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 04 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 04 0FC FFFFFFFF FFFFFFFF 00 = 00000001 10 04 0FD FFFFFFFF FFFFFFFF 01 = 00000001 10 04 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 04 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 rcl ---D---- ---S---- CZ = ---Q---- CZ 05 000 00000000 00000000 00 = 00000000 01 05 001 00000000 00000000 01 = 00000000 01 05 002 00000000 00000000 10 = 00000000 01 05 003 00000000 00000000 11 = 00000000 01 05 004 00000001 00000000 00 = 00000001 00 05 005 00000001 00000000 01 = 00000001 00 05 006 00000001 00000000 10 = 00000001 00 05 007 00000001 00000000 11 = 00000001 00 05 008 00000002 00000000 00 = 00000002 00 05 009 00000002 00000000 01 = 00000002 00 05 00A 00000002 00000000 10 = 00000002 00 05 00B 00000002 00000000 11 = 00000002 00 05 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 05 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 05 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 05 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 05 010 80000000 00000000 00 = 80000000 10 05 011 80000000 00000000 01 = 80000000 10 05 012 80000000 00000000 10 = 80000000 10 05 013 80000000 00000000 11 = 80000000 10 05 014 80000001 00000000 00 = 80000001 10 05 015 80000001 00000000 01 = 80000001 10 05 016 80000001 00000000 10 = 80000001 10 05 017 80000001 00000000 11 = 80000001 10 05 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 05 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 05 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 05 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 05 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 05 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 05 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 05 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 05 020 00000000 00000001 00 = 00000000 01 05 021 00000000 00000001 01 = 00000000 01 05 022 00000000 00000001 10 = 00000001 00 05 023 00000000 00000001 11 = 00000001 00 05 024 00000001 00000001 00 = 00000002 00 05 025 00000001 00000001 01 = 00000002 00 05 026 00000001 00000001 10 = 00000003 00 05 027 00000001 00000001 11 = 00000003 00 05 028 00000002 00000001 00 = 00000004 00 05 029 00000002 00000001 01 = 00000004 00 05 02A 00000002 00000001 10 = 00000005 00 05 02B 00000002 00000001 11 = 00000005 00 05 02C 7FFFFFFF 00000001 00 = FFFFFFFE 00 05 02D 7FFFFFFF 00000001 01 = FFFFFFFE 00 05 02E 7FFFFFFF 00000001 10 = FFFFFFFF 00 05 02F 7FFFFFFF 00000001 11 = FFFFFFFF 00 05 030 80000000 00000001 00 = 00000000 11 05 031 80000000 00000001 01 = 00000000 11 05 032 80000000 00000001 10 = 00000001 10 05 033 80000000 00000001 11 = 00000001 10 05 034 80000001 00000001 00 = 00000002 10 05 035 80000001 00000001 01 = 00000002 10 05 036 80000001 00000001 10 = 00000003 10 05 037 80000001 00000001 11 = 00000003 10 05 038 FFFFFFFE 00000001 00 = FFFFFFFC 10 05 039 FFFFFFFE 00000001 01 = FFFFFFFC 10 05 03A FFFFFFFE 00000001 10 = FFFFFFFD 10 05 03B FFFFFFFE 00000001 11 = FFFFFFFD 10 05 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 05 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 05 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 05 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 05 040 00000000 00000002 00 = 00000000 01 05 041 00000000 00000002 01 = 00000000 01 05 042 00000000 00000002 10 = 00000003 00 05 043 00000000 00000002 11 = 00000003 00 05 044 00000001 00000002 00 = 00000004 00 05 045 00000001 00000002 01 = 00000004 00 05 046 00000001 00000002 10 = 00000007 00 05 047 00000001 00000002 11 = 00000007 00 05 048 00000002 00000002 00 = 00000008 00 05 049 00000002 00000002 01 = 00000008 00 05 04A 00000002 00000002 10 = 0000000B 00 05 04B 00000002 00000002 11 = 0000000B 00 05 04C 7FFFFFFF 00000002 00 = FFFFFFFC 10 05 04D 7FFFFFFF 00000002 01 = FFFFFFFC 10 05 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 05 04F 7FFFFFFF 00000002 11 = FFFFFFFF 10 05 050 80000000 00000002 00 = 00000000 01 05 051 80000000 00000002 01 = 00000000 01 05 052 80000000 00000002 10 = 00000003 00 05 053 80000000 00000002 11 = 00000003 00 05 054 80000001 00000002 00 = 00000004 00 05 055 80000001 00000002 01 = 00000004 00 05 056 80000001 00000002 10 = 00000007 00 05 057 80000001 00000002 11 = 00000007 00 05 058 FFFFFFFE 00000002 00 = FFFFFFF8 10 05 059 FFFFFFFE 00000002 01 = FFFFFFF8 10 05 05A FFFFFFFE 00000002 10 = FFFFFFFB 10 05 05B FFFFFFFE 00000002 11 = FFFFFFFB 10 05 05C FFFFFFFF 00000002 00 = FFFFFFFC 10 05 05D FFFFFFFF 00000002 01 = FFFFFFFC 10 05 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 05 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 05 060 00000000 7FFFFFFF 00 = 00000000 01 05 061 00000000 7FFFFFFF 01 = 00000000 01 05 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 05 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 05 064 00000001 7FFFFFFF 00 = 80000000 00 05 065 00000001 7FFFFFFF 01 = 80000000 00 05 066 00000001 7FFFFFFF 10 = FFFFFFFF 00 05 067 00000001 7FFFFFFF 11 = FFFFFFFF 00 05 068 00000002 7FFFFFFF 00 = 00000000 11 05 069 00000002 7FFFFFFF 01 = 00000000 11 05 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 05 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 05 06C 7FFFFFFF 7FFFFFFF 00 = 80000000 10 05 06D 7FFFFFFF 7FFFFFFF 01 = 80000000 10 05 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 05 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 05 070 80000000 7FFFFFFF 00 = 00000000 01 05 071 80000000 7FFFFFFF 01 = 00000000 01 05 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 05 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 05 074 80000001 7FFFFFFF 00 = 80000000 00 05 075 80000001 7FFFFFFF 01 = 80000000 00 05 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 05 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 05 078 FFFFFFFE 7FFFFFFF 00 = 00000000 11 05 079 FFFFFFFE 7FFFFFFF 01 = 00000000 11 05 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 05 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 05 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 05 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 05 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 05 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 05 080 00000000 80000000 00 = 00000000 01 05 081 00000000 80000000 01 = 00000000 01 05 082 00000000 80000000 10 = 00000000 01 05 083 00000000 80000000 11 = 00000000 01 05 084 00000001 80000000 00 = 00000001 00 05 085 00000001 80000000 01 = 00000001 00 05 086 00000001 80000000 10 = 00000001 00 05 087 00000001 80000000 11 = 00000001 00 05 088 00000002 80000000 00 = 00000002 00 05 089 00000002 80000000 01 = 00000002 00 05 08A 00000002 80000000 10 = 00000002 00 05 08B 00000002 80000000 11 = 00000002 00 05 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 05 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 05 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 05 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 05 090 80000000 80000000 00 = 80000000 10 05 091 80000000 80000000 01 = 80000000 10 05 092 80000000 80000000 10 = 80000000 10 05 093 80000000 80000000 11 = 80000000 10 05 094 80000001 80000000 00 = 80000001 10 05 095 80000001 80000000 01 = 80000001 10 05 096 80000001 80000000 10 = 80000001 10 05 097 80000001 80000000 11 = 80000001 10 05 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 05 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 05 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 05 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 05 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 05 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 05 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 05 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 05 0A0 00000000 80000001 00 = 00000000 01 05 0A1 00000000 80000001 01 = 00000000 01 05 0A2 00000000 80000001 10 = 00000001 00 05 0A3 00000000 80000001 11 = 00000001 00 05 0A4 00000001 80000001 00 = 00000002 00 05 0A5 00000001 80000001 01 = 00000002 00 05 0A6 00000001 80000001 10 = 00000003 00 05 0A7 00000001 80000001 11 = 00000003 00 05 0A8 00000002 80000001 00 = 00000004 00 05 0A9 00000002 80000001 01 = 00000004 00 05 0AA 00000002 80000001 10 = 00000005 00 05 0AB 00000002 80000001 11 = 00000005 00 05 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 00 05 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 00 05 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 00 05 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 00 05 0B0 80000000 80000001 00 = 00000000 11 05 0B1 80000000 80000001 01 = 00000000 11 05 0B2 80000000 80000001 10 = 00000001 10 05 0B3 80000000 80000001 11 = 00000001 10 05 0B4 80000001 80000001 00 = 00000002 10 05 0B5 80000001 80000001 01 = 00000002 10 05 0B6 80000001 80000001 10 = 00000003 10 05 0B7 80000001 80000001 11 = 00000003 10 05 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 10 05 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 10 05 0BA FFFFFFFE 80000001 10 = FFFFFFFD 10 05 0BB FFFFFFFE 80000001 11 = FFFFFFFD 10 05 0BC FFFFFFFF 80000001 00 = FFFFFFFE 10 05 0BD FFFFFFFF 80000001 01 = FFFFFFFE 10 05 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 05 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 05 0C0 00000000 FFFFFFFE 00 = 00000000 01 05 0C1 00000000 FFFFFFFE 01 = 00000000 01 05 0C2 00000000 FFFFFFFE 10 = 3FFFFFFF 00 05 0C3 00000000 FFFFFFFE 11 = 3FFFFFFF 00 05 0C4 00000001 FFFFFFFE 00 = 40000000 00 05 0C5 00000001 FFFFFFFE 01 = 40000000 00 05 0C6 00000001 FFFFFFFE 10 = 7FFFFFFF 00 05 0C7 00000001 FFFFFFFE 11 = 7FFFFFFF 00 05 0C8 00000002 FFFFFFFE 00 = 80000000 00 05 0C9 00000002 FFFFFFFE 01 = 80000000 00 05 0CA 00000002 FFFFFFFE 10 = BFFFFFFF 00 05 0CB 00000002 FFFFFFFE 11 = BFFFFFFF 00 05 0CC 7FFFFFFF FFFFFFFE 00 = C0000000 10 05 0CD 7FFFFFFF FFFFFFFE 01 = C0000000 10 05 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 05 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 10 05 0D0 80000000 FFFFFFFE 00 = 00000000 01 05 0D1 80000000 FFFFFFFE 01 = 00000000 01 05 0D2 80000000 FFFFFFFE 10 = 3FFFFFFF 00 05 0D3 80000000 FFFFFFFE 11 = 3FFFFFFF 00 05 0D4 80000001 FFFFFFFE 00 = 40000000 00 05 0D5 80000001 FFFFFFFE 01 = 40000000 00 05 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 00 05 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 00 05 0D8 FFFFFFFE FFFFFFFE 00 = 80000000 10 05 0D9 FFFFFFFE FFFFFFFE 01 = 80000000 10 05 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFF 10 05 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFF 10 05 0DC FFFFFFFF FFFFFFFE 00 = C0000000 10 05 0DD FFFFFFFF FFFFFFFE 01 = C0000000 10 05 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 05 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 05 0E0 00000000 FFFFFFFF 00 = 00000000 01 05 0E1 00000000 FFFFFFFF 01 = 00000000 01 05 0E2 00000000 FFFFFFFF 10 = 7FFFFFFF 00 05 0E3 00000000 FFFFFFFF 11 = 7FFFFFFF 00 05 0E4 00000001 FFFFFFFF 00 = 80000000 00 05 0E5 00000001 FFFFFFFF 01 = 80000000 00 05 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 00 05 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 00 05 0E8 00000002 FFFFFFFF 00 = 00000000 11 05 0E9 00000002 FFFFFFFF 01 = 00000000 11 05 0EA 00000002 FFFFFFFF 10 = 7FFFFFFF 10 05 0EB 00000002 FFFFFFFF 11 = 7FFFFFFF 10 05 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 05 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 05 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 05 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 05 0F0 80000000 FFFFFFFF 00 = 00000000 01 05 0F1 80000000 FFFFFFFF 01 = 00000000 01 05 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 00 05 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 00 05 0F4 80000001 FFFFFFFF 00 = 80000000 00 05 0F5 80000001 FFFFFFFF 01 = 80000000 00 05 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 05 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 05 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 11 05 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 11 05 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFF 10 05 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFF 10 05 0FC FFFFFFFF FFFFFFFF 00 = 80000000 10 05 0FD FFFFFFFF FFFFFFFF 01 = 80000000 10 05 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 05 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 sar ---D---- ---S---- CZ = ---Q---- CZ 06 000 00000000 00000000 00 = 00000000 01 06 001 00000000 00000000 01 = 00000000 01 06 002 00000000 00000000 10 = 00000000 01 06 003 00000000 00000000 11 = 00000000 01 06 004 00000001 00000000 00 = 00000001 10 06 005 00000001 00000000 01 = 00000001 10 06 006 00000001 00000000 10 = 00000001 10 06 007 00000001 00000000 11 = 00000001 10 06 008 00000002 00000000 00 = 00000002 00 06 009 00000002 00000000 01 = 00000002 00 06 00A 00000002 00000000 10 = 00000002 00 06 00B 00000002 00000000 11 = 00000002 00 06 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 06 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 06 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 06 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 06 010 80000000 00000000 00 = 80000000 00 06 011 80000000 00000000 01 = 80000000 00 06 012 80000000 00000000 10 = 80000000 00 06 013 80000000 00000000 11 = 80000000 00 06 014 80000001 00000000 00 = 80000001 10 06 015 80000001 00000000 01 = 80000001 10 06 016 80000001 00000000 10 = 80000001 10 06 017 80000001 00000000 11 = 80000001 10 06 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 06 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 06 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 06 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 06 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 06 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 06 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 06 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 06 020 00000000 00000001 00 = 00000000 01 06 021 00000000 00000001 01 = 00000000 01 06 022 00000000 00000001 10 = 00000000 01 06 023 00000000 00000001 11 = 00000000 01 06 024 00000001 00000001 00 = 00000000 11 06 025 00000001 00000001 01 = 00000000 11 06 026 00000001 00000001 10 = 00000000 11 06 027 00000001 00000001 11 = 00000000 11 06 028 00000002 00000001 00 = 00000001 00 06 029 00000002 00000001 01 = 00000001 00 06 02A 00000002 00000001 10 = 00000001 00 06 02B 00000002 00000001 11 = 00000001 00 06 02C 7FFFFFFF 00000001 00 = 3FFFFFFF 10 06 02D 7FFFFFFF 00000001 01 = 3FFFFFFF 10 06 02E 7FFFFFFF 00000001 10 = 3FFFFFFF 10 06 02F 7FFFFFFF 00000001 11 = 3FFFFFFF 10 06 030 80000000 00000001 00 = C0000000 00 06 031 80000000 00000001 01 = C0000000 00 06 032 80000000 00000001 10 = C0000000 00 06 033 80000000 00000001 11 = C0000000 00 06 034 80000001 00000001 00 = C0000000 10 06 035 80000001 00000001 01 = C0000000 10 06 036 80000001 00000001 10 = C0000000 10 06 037 80000001 00000001 11 = C0000000 10 06 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 06 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 06 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 06 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 06 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 06 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 06 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 06 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 06 040 00000000 00000002 00 = 00000000 01 06 041 00000000 00000002 01 = 00000000 01 06 042 00000000 00000002 10 = 00000000 01 06 043 00000000 00000002 11 = 00000000 01 06 044 00000001 00000002 00 = 00000000 01 06 045 00000001 00000002 01 = 00000000 01 06 046 00000001 00000002 10 = 00000000 01 06 047 00000001 00000002 11 = 00000000 01 06 048 00000002 00000002 00 = 00000000 11 06 049 00000002 00000002 01 = 00000000 11 06 04A 00000002 00000002 10 = 00000000 11 06 04B 00000002 00000002 11 = 00000000 11 06 04C 7FFFFFFF 00000002 00 = 1FFFFFFF 10 06 04D 7FFFFFFF 00000002 01 = 1FFFFFFF 10 06 04E 7FFFFFFF 00000002 10 = 1FFFFFFF 10 06 04F 7FFFFFFF 00000002 11 = 1FFFFFFF 10 06 050 80000000 00000002 00 = E0000000 00 06 051 80000000 00000002 01 = E0000000 00 06 052 80000000 00000002 10 = E0000000 00 06 053 80000000 00000002 11 = E0000000 00 06 054 80000001 00000002 00 = E0000000 00 06 055 80000001 00000002 01 = E0000000 00 06 056 80000001 00000002 10 = E0000000 00 06 057 80000001 00000002 11 = E0000000 00 06 058 FFFFFFFE 00000002 00 = FFFFFFFF 10 06 059 FFFFFFFE 00000002 01 = FFFFFFFF 10 06 05A FFFFFFFE 00000002 10 = FFFFFFFF 10 06 05B FFFFFFFE 00000002 11 = FFFFFFFF 10 06 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 06 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 06 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 06 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 06 060 00000000 7FFFFFFF 00 = 00000000 01 06 061 00000000 7FFFFFFF 01 = 00000000 01 06 062 00000000 7FFFFFFF 10 = 00000000 01 06 063 00000000 7FFFFFFF 11 = 00000000 01 06 064 00000001 7FFFFFFF 00 = 00000000 01 06 065 00000001 7FFFFFFF 01 = 00000000 01 06 066 00000001 7FFFFFFF 10 = 00000000 01 06 067 00000001 7FFFFFFF 11 = 00000000 01 06 068 00000002 7FFFFFFF 00 = 00000000 01 06 069 00000002 7FFFFFFF 01 = 00000000 01 06 06A 00000002 7FFFFFFF 10 = 00000000 01 06 06B 00000002 7FFFFFFF 11 = 00000000 01 06 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 11 06 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 11 06 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 11 06 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 11 06 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 06 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 06 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 06 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 06 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 06 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 06 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 06 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 06 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 10 06 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 10 06 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 06 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 10 06 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 06 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 06 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 06 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 06 080 00000000 80000000 00 = 00000000 01 06 081 00000000 80000000 01 = 00000000 01 06 082 00000000 80000000 10 = 00000000 01 06 083 00000000 80000000 11 = 00000000 01 06 084 00000001 80000000 00 = 00000001 10 06 085 00000001 80000000 01 = 00000001 10 06 086 00000001 80000000 10 = 00000001 10 06 087 00000001 80000000 11 = 00000001 10 06 088 00000002 80000000 00 = 00000002 00 06 089 00000002 80000000 01 = 00000002 00 06 08A 00000002 80000000 10 = 00000002 00 06 08B 00000002 80000000 11 = 00000002 00 06 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 06 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 06 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 06 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 06 090 80000000 80000000 00 = 80000000 00 06 091 80000000 80000000 01 = 80000000 00 06 092 80000000 80000000 10 = 80000000 00 06 093 80000000 80000000 11 = 80000000 00 06 094 80000001 80000000 00 = 80000001 10 06 095 80000001 80000000 01 = 80000001 10 06 096 80000001 80000000 10 = 80000001 10 06 097 80000001 80000000 11 = 80000001 10 06 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 06 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 06 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 06 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 06 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 06 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 06 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 06 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 06 0A0 00000000 80000001 00 = 00000000 01 06 0A1 00000000 80000001 01 = 00000000 01 06 0A2 00000000 80000001 10 = 00000000 01 06 0A3 00000000 80000001 11 = 00000000 01 06 0A4 00000001 80000001 00 = 00000000 11 06 0A5 00000001 80000001 01 = 00000000 11 06 0A6 00000001 80000001 10 = 00000000 11 06 0A7 00000001 80000001 11 = 00000000 11 06 0A8 00000002 80000001 00 = 00000001 00 06 0A9 00000002 80000001 01 = 00000001 00 06 0AA 00000002 80000001 10 = 00000001 00 06 0AB 00000002 80000001 11 = 00000001 00 06 0AC 7FFFFFFF 80000001 00 = 3FFFFFFF 10 06 0AD 7FFFFFFF 80000001 01 = 3FFFFFFF 10 06 0AE 7FFFFFFF 80000001 10 = 3FFFFFFF 10 06 0AF 7FFFFFFF 80000001 11 = 3FFFFFFF 10 06 0B0 80000000 80000001 00 = C0000000 00 06 0B1 80000000 80000001 01 = C0000000 00 06 0B2 80000000 80000001 10 = C0000000 00 06 0B3 80000000 80000001 11 = C0000000 00 06 0B4 80000001 80000001 00 = C0000000 10 06 0B5 80000001 80000001 01 = C0000000 10 06 0B6 80000001 80000001 10 = C0000000 10 06 0B7 80000001 80000001 11 = C0000000 10 06 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 06 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 00 06 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 06 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 06 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 06 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 06 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 06 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 06 0C0 00000000 FFFFFFFE 00 = 00000000 01 06 0C1 00000000 FFFFFFFE 01 = 00000000 01 06 0C2 00000000 FFFFFFFE 10 = 00000000 01 06 0C3 00000000 FFFFFFFE 11 = 00000000 01 06 0C4 00000001 FFFFFFFE 00 = 00000000 01 06 0C5 00000001 FFFFFFFE 01 = 00000000 01 06 0C6 00000001 FFFFFFFE 10 = 00000000 01 06 0C7 00000001 FFFFFFFE 11 = 00000000 01 06 0C8 00000002 FFFFFFFE 00 = 00000000 01 06 0C9 00000002 FFFFFFFE 01 = 00000000 01 06 0CA 00000002 FFFFFFFE 10 = 00000000 01 06 0CB 00000002 FFFFFFFE 11 = 00000000 01 06 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 06 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 06 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 10 06 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 10 06 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 00 06 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 00 06 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 00 06 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 00 06 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 00 06 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 00 06 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 00 06 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 00 06 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFF 10 06 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFF 10 06 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 06 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 10 06 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 06 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 06 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 06 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 06 0E0 00000000 FFFFFFFF 00 = 00000000 01 06 0E1 00000000 FFFFFFFF 01 = 00000000 01 06 0E2 00000000 FFFFFFFF 10 = 00000000 01 06 0E3 00000000 FFFFFFFF 11 = 00000000 01 06 0E4 00000001 FFFFFFFF 00 = 00000000 01 06 0E5 00000001 FFFFFFFF 01 = 00000000 01 06 0E6 00000001 FFFFFFFF 10 = 00000000 01 06 0E7 00000001 FFFFFFFF 11 = 00000000 01 06 0E8 00000002 FFFFFFFF 00 = 00000000 01 06 0E9 00000002 FFFFFFFF 01 = 00000000 01 06 0EA 00000002 FFFFFFFF 10 = 00000000 01 06 0EB 00000002 FFFFFFFF 11 = 00000000 01 06 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 11 06 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 11 06 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 11 06 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 11 06 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 06 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 00 06 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 00 06 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 00 06 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 06 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 06 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 06 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 06 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 06 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 06 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 06 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 06 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 06 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 06 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 06 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 sal ---D---- ---S---- CZ = ---Q---- CZ 07 000 00000000 00000000 00 = 00000000 01 07 001 00000000 00000000 01 = 00000000 01 07 002 00000000 00000000 10 = 00000000 01 07 003 00000000 00000000 11 = 00000000 01 07 004 00000001 00000000 00 = 00000001 00 07 005 00000001 00000000 01 = 00000001 00 07 006 00000001 00000000 10 = 00000001 00 07 007 00000001 00000000 11 = 00000001 00 07 008 00000002 00000000 00 = 00000002 00 07 009 00000002 00000000 01 = 00000002 00 07 00A 00000002 00000000 10 = 00000002 00 07 00B 00000002 00000000 11 = 00000002 00 07 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 07 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 07 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 07 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 07 010 80000000 00000000 00 = 80000000 10 07 011 80000000 00000000 01 = 80000000 10 07 012 80000000 00000000 10 = 80000000 10 07 013 80000000 00000000 11 = 80000000 10 07 014 80000001 00000000 00 = 80000001 10 07 015 80000001 00000000 01 = 80000001 10 07 016 80000001 00000000 10 = 80000001 10 07 017 80000001 00000000 11 = 80000001 10 07 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 07 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 07 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 07 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 07 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 07 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 07 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 07 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 07 020 00000000 00000001 00 = 00000000 01 07 021 00000000 00000001 01 = 00000000 01 07 022 00000000 00000001 10 = 00000000 01 07 023 00000000 00000001 11 = 00000000 01 07 024 00000001 00000001 00 = 00000003 00 07 025 00000001 00000001 01 = 00000003 00 07 026 00000001 00000001 10 = 00000003 00 07 027 00000001 00000001 11 = 00000003 00 07 028 00000002 00000001 00 = 00000004 00 07 029 00000002 00000001 01 = 00000004 00 07 02A 00000002 00000001 10 = 00000004 00 07 02B 00000002 00000001 11 = 00000004 00 07 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 07 02D 7FFFFFFF 00000001 01 = FFFFFFFF 00 07 02E 7FFFFFFF 00000001 10 = FFFFFFFF 00 07 02F 7FFFFFFF 00000001 11 = FFFFFFFF 00 07 030 80000000 00000001 00 = 00000000 11 07 031 80000000 00000001 01 = 00000000 11 07 032 80000000 00000001 10 = 00000000 11 07 033 80000000 00000001 11 = 00000000 11 07 034 80000001 00000001 00 = 00000003 10 07 035 80000001 00000001 01 = 00000003 10 07 036 80000001 00000001 10 = 00000003 10 07 037 80000001 00000001 11 = 00000003 10 07 038 FFFFFFFE 00000001 00 = FFFFFFFC 10 07 039 FFFFFFFE 00000001 01 = FFFFFFFC 10 07 03A FFFFFFFE 00000001 10 = FFFFFFFC 10 07 03B FFFFFFFE 00000001 11 = FFFFFFFC 10 07 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 07 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 07 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 07 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 07 040 00000000 00000002 00 = 00000000 01 07 041 00000000 00000002 01 = 00000000 01 07 042 00000000 00000002 10 = 00000000 01 07 043 00000000 00000002 11 = 00000000 01 07 044 00000001 00000002 00 = 00000007 00 07 045 00000001 00000002 01 = 00000007 00 07 046 00000001 00000002 10 = 00000007 00 07 047 00000001 00000002 11 = 00000007 00 07 048 00000002 00000002 00 = 00000008 00 07 049 00000002 00000002 01 = 00000008 00 07 04A 00000002 00000002 10 = 00000008 00 07 04B 00000002 00000002 11 = 00000008 00 07 04C 7FFFFFFF 00000002 00 = FFFFFFFF 10 07 04D 7FFFFFFF 00000002 01 = FFFFFFFF 10 07 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 07 04F 7FFFFFFF 00000002 11 = FFFFFFFF 10 07 050 80000000 00000002 00 = 00000000 01 07 051 80000000 00000002 01 = 00000000 01 07 052 80000000 00000002 10 = 00000000 01 07 053 80000000 00000002 11 = 00000000 01 07 054 80000001 00000002 00 = 00000007 00 07 055 80000001 00000002 01 = 00000007 00 07 056 80000001 00000002 10 = 00000007 00 07 057 80000001 00000002 11 = 00000007 00 07 058 FFFFFFFE 00000002 00 = FFFFFFF8 10 07 059 FFFFFFFE 00000002 01 = FFFFFFF8 10 07 05A FFFFFFFE 00000002 10 = FFFFFFF8 10 07 05B FFFFFFFE 00000002 11 = FFFFFFF8 10 07 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 07 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 07 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 07 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 07 060 00000000 7FFFFFFF 00 = 00000000 01 07 061 00000000 7FFFFFFF 01 = 00000000 01 07 062 00000000 7FFFFFFF 10 = 00000000 01 07 063 00000000 7FFFFFFF 11 = 00000000 01 07 064 00000001 7FFFFFFF 00 = FFFFFFFF 00 07 065 00000001 7FFFFFFF 01 = FFFFFFFF 00 07 066 00000001 7FFFFFFF 10 = FFFFFFFF 00 07 067 00000001 7FFFFFFF 11 = FFFFFFFF 00 07 068 00000002 7FFFFFFF 00 = 00000000 11 07 069 00000002 7FFFFFFF 01 = 00000000 11 07 06A 00000002 7FFFFFFF 10 = 00000000 11 07 06B 00000002 7FFFFFFF 11 = 00000000 11 07 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 07 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 07 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 07 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 07 070 80000000 7FFFFFFF 00 = 00000000 01 07 071 80000000 7FFFFFFF 01 = 00000000 01 07 072 80000000 7FFFFFFF 10 = 00000000 01 07 073 80000000 7FFFFFFF 11 = 00000000 01 07 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 07 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 07 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 07 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 07 078 FFFFFFFE 7FFFFFFF 00 = 00000000 11 07 079 FFFFFFFE 7FFFFFFF 01 = 00000000 11 07 07A FFFFFFFE 7FFFFFFF 10 = 00000000 11 07 07B FFFFFFFE 7FFFFFFF 11 = 00000000 11 07 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 07 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 07 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 07 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 07 080 00000000 80000000 00 = 00000000 01 07 081 00000000 80000000 01 = 00000000 01 07 082 00000000 80000000 10 = 00000000 01 07 083 00000000 80000000 11 = 00000000 01 07 084 00000001 80000000 00 = 00000001 00 07 085 00000001 80000000 01 = 00000001 00 07 086 00000001 80000000 10 = 00000001 00 07 087 00000001 80000000 11 = 00000001 00 07 088 00000002 80000000 00 = 00000002 00 07 089 00000002 80000000 01 = 00000002 00 07 08A 00000002 80000000 10 = 00000002 00 07 08B 00000002 80000000 11 = 00000002 00 07 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 07 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 07 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 07 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 07 090 80000000 80000000 00 = 80000000 10 07 091 80000000 80000000 01 = 80000000 10 07 092 80000000 80000000 10 = 80000000 10 07 093 80000000 80000000 11 = 80000000 10 07 094 80000001 80000000 00 = 80000001 10 07 095 80000001 80000000 01 = 80000001 10 07 096 80000001 80000000 10 = 80000001 10 07 097 80000001 80000000 11 = 80000001 10 07 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 07 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 07 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 07 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 07 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 07 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 07 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 07 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 07 0A0 00000000 80000001 00 = 00000000 01 07 0A1 00000000 80000001 01 = 00000000 01 07 0A2 00000000 80000001 10 = 00000000 01 07 0A3 00000000 80000001 11 = 00000000 01 07 0A4 00000001 80000001 00 = 00000003 00 07 0A5 00000001 80000001 01 = 00000003 00 07 0A6 00000001 80000001 10 = 00000003 00 07 0A7 00000001 80000001 11 = 00000003 00 07 0A8 00000002 80000001 00 = 00000004 00 07 0A9 00000002 80000001 01 = 00000004 00 07 0AA 00000002 80000001 10 = 00000004 00 07 0AB 00000002 80000001 11 = 00000004 00 07 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 07 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 07 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 00 07 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 00 07 0B0 80000000 80000001 00 = 00000000 11 07 0B1 80000000 80000001 01 = 00000000 11 07 0B2 80000000 80000001 10 = 00000000 11 07 0B3 80000000 80000001 11 = 00000000 11 07 0B4 80000001 80000001 00 = 00000003 10 07 0B5 80000001 80000001 01 = 00000003 10 07 0B6 80000001 80000001 10 = 00000003 10 07 0B7 80000001 80000001 11 = 00000003 10 07 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 10 07 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 10 07 0BA FFFFFFFE 80000001 10 = FFFFFFFC 10 07 0BB FFFFFFFE 80000001 11 = FFFFFFFC 10 07 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 07 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 07 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 07 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 07 0C0 00000000 FFFFFFFE 00 = 00000000 01 07 0C1 00000000 FFFFFFFE 01 = 00000000 01 07 0C2 00000000 FFFFFFFE 10 = 00000000 01 07 0C3 00000000 FFFFFFFE 11 = 00000000 01 07 0C4 00000001 FFFFFFFE 00 = 7FFFFFFF 00 07 0C5 00000001 FFFFFFFE 01 = 7FFFFFFF 00 07 0C6 00000001 FFFFFFFE 10 = 7FFFFFFF 00 07 0C7 00000001 FFFFFFFE 11 = 7FFFFFFF 00 07 0C8 00000002 FFFFFFFE 00 = 80000000 00 07 0C9 00000002 FFFFFFFE 01 = 80000000 00 07 0CA 00000002 FFFFFFFE 10 = 80000000 00 07 0CB 00000002 FFFFFFFE 11 = 80000000 00 07 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 10 07 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 10 07 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 07 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 10 07 0D0 80000000 FFFFFFFE 00 = 00000000 01 07 0D1 80000000 FFFFFFFE 01 = 00000000 01 07 0D2 80000000 FFFFFFFE 10 = 00000000 01 07 0D3 80000000 FFFFFFFE 11 = 00000000 01 07 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 00 07 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 00 07 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 00 07 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 00 07 0D8 FFFFFFFE FFFFFFFE 00 = 80000000 10 07 0D9 FFFFFFFE FFFFFFFE 01 = 80000000 10 07 0DA FFFFFFFE FFFFFFFE 10 = 80000000 10 07 0DB FFFFFFFE FFFFFFFE 11 = 80000000 10 07 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 07 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 07 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 07 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 07 0E0 00000000 FFFFFFFF 00 = 00000000 01 07 0E1 00000000 FFFFFFFF 01 = 00000000 01 07 0E2 00000000 FFFFFFFF 10 = 00000000 01 07 0E3 00000000 FFFFFFFF 11 = 00000000 01 07 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 07 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 07 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 00 07 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 00 07 0E8 00000002 FFFFFFFF 00 = 00000000 11 07 0E9 00000002 FFFFFFFF 01 = 00000000 11 07 0EA 00000002 FFFFFFFF 10 = 00000000 11 07 0EB 00000002 FFFFFFFF 11 = 00000000 11 07 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 07 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 07 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 07 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 07 0F0 80000000 FFFFFFFF 00 = 00000000 01 07 0F1 80000000 FFFFFFFF 01 = 00000000 01 07 0F2 80000000 FFFFFFFF 10 = 00000000 01 07 0F3 80000000 FFFFFFFF 11 = 00000000 01 07 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 07 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 07 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 07 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 07 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 11 07 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 11 07 0FA FFFFFFFE FFFFFFFF 10 = 00000000 11 07 0FB FFFFFFFE FFFFFFFF 11 = 00000000 11 07 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 07 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 07 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 07 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 add ---D---- ---S---- CZ = ---Q---- CZ 08 000 00000000 00000000 00 = 00000000 01 08 001 00000000 00000000 01 = 00000000 01 08 002 00000000 00000000 10 = 00000000 01 08 003 00000000 00000000 11 = 00000000 01 08 004 00000001 00000000 00 = 00000001 00 08 005 00000001 00000000 01 = 00000001 00 08 006 00000001 00000000 10 = 00000001 00 08 007 00000001 00000000 11 = 00000001 00 08 008 00000002 00000000 00 = 00000002 00 08 009 00000002 00000000 01 = 00000002 00 08 00A 00000002 00000000 10 = 00000002 00 08 00B 00000002 00000000 11 = 00000002 00 08 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 08 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 08 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 08 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 08 010 80000000 00000000 00 = 80000000 00 08 011 80000000 00000000 01 = 80000000 00 08 012 80000000 00000000 10 = 80000000 00 08 013 80000000 00000000 11 = 80000000 00 08 014 80000001 00000000 00 = 80000001 00 08 015 80000001 00000000 01 = 80000001 00 08 016 80000001 00000000 10 = 80000001 00 08 017 80000001 00000000 11 = 80000001 00 08 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 08 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 08 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 08 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 08 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 08 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 08 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 08 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 08 020 00000000 00000001 00 = 00000001 00 08 021 00000000 00000001 01 = 00000001 00 08 022 00000000 00000001 10 = 00000001 00 08 023 00000000 00000001 11 = 00000001 00 08 024 00000001 00000001 00 = 00000002 00 08 025 00000001 00000001 01 = 00000002 00 08 026 00000001 00000001 10 = 00000002 00 08 027 00000001 00000001 11 = 00000002 00 08 028 00000002 00000001 00 = 00000003 00 08 029 00000002 00000001 01 = 00000003 00 08 02A 00000002 00000001 10 = 00000003 00 08 02B 00000002 00000001 11 = 00000003 00 08 02C 7FFFFFFF 00000001 00 = 80000000 00 08 02D 7FFFFFFF 00000001 01 = 80000000 00 08 02E 7FFFFFFF 00000001 10 = 80000000 00 08 02F 7FFFFFFF 00000001 11 = 80000000 00 08 030 80000000 00000001 00 = 80000001 00 08 031 80000000 00000001 01 = 80000001 00 08 032 80000000 00000001 10 = 80000001 00 08 033 80000000 00000001 11 = 80000001 00 08 034 80000001 00000001 00 = 80000002 00 08 035 80000001 00000001 01 = 80000002 00 08 036 80000001 00000001 10 = 80000002 00 08 037 80000001 00000001 11 = 80000002 00 08 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 08 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 08 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 08 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 08 03C FFFFFFFF 00000001 00 = 00000000 11 08 03D FFFFFFFF 00000001 01 = 00000000 11 08 03E FFFFFFFF 00000001 10 = 00000000 11 08 03F FFFFFFFF 00000001 11 = 00000000 11 08 040 00000000 00000002 00 = 00000002 00 08 041 00000000 00000002 01 = 00000002 00 08 042 00000000 00000002 10 = 00000002 00 08 043 00000000 00000002 11 = 00000002 00 08 044 00000001 00000002 00 = 00000003 00 08 045 00000001 00000002 01 = 00000003 00 08 046 00000001 00000002 10 = 00000003 00 08 047 00000001 00000002 11 = 00000003 00 08 048 00000002 00000002 00 = 00000004 00 08 049 00000002 00000002 01 = 00000004 00 08 04A 00000002 00000002 10 = 00000004 00 08 04B 00000002 00000002 11 = 00000004 00 08 04C 7FFFFFFF 00000002 00 = 80000001 00 08 04D 7FFFFFFF 00000002 01 = 80000001 00 08 04E 7FFFFFFF 00000002 10 = 80000001 00 08 04F 7FFFFFFF 00000002 11 = 80000001 00 08 050 80000000 00000002 00 = 80000002 00 08 051 80000000 00000002 01 = 80000002 00 08 052 80000000 00000002 10 = 80000002 00 08 053 80000000 00000002 11 = 80000002 00 08 054 80000001 00000002 00 = 80000003 00 08 055 80000001 00000002 01 = 80000003 00 08 056 80000001 00000002 10 = 80000003 00 08 057 80000001 00000002 11 = 80000003 00 08 058 FFFFFFFE 00000002 00 = 00000000 11 08 059 FFFFFFFE 00000002 01 = 00000000 11 08 05A FFFFFFFE 00000002 10 = 00000000 11 08 05B FFFFFFFE 00000002 11 = 00000000 11 08 05C FFFFFFFF 00000002 00 = 00000001 10 08 05D FFFFFFFF 00000002 01 = 00000001 10 08 05E FFFFFFFF 00000002 10 = 00000001 10 08 05F FFFFFFFF 00000002 11 = 00000001 10 08 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 08 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 08 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 08 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 08 064 00000001 7FFFFFFF 00 = 80000000 00 08 065 00000001 7FFFFFFF 01 = 80000000 00 08 066 00000001 7FFFFFFF 10 = 80000000 00 08 067 00000001 7FFFFFFF 11 = 80000000 00 08 068 00000002 7FFFFFFF 00 = 80000001 00 08 069 00000002 7FFFFFFF 01 = 80000001 00 08 06A 00000002 7FFFFFFF 10 = 80000001 00 08 06B 00000002 7FFFFFFF 11 = 80000001 00 08 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 00 08 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 00 08 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 00 08 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 00 08 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 08 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 08 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 08 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 08 074 80000001 7FFFFFFF 00 = 00000000 11 08 075 80000001 7FFFFFFF 01 = 00000000 11 08 076 80000001 7FFFFFFF 10 = 00000000 11 08 077 80000001 7FFFFFFF 11 = 00000000 11 08 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 10 08 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 10 08 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFD 10 08 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFD 10 08 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 10 08 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 10 08 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 10 08 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 10 08 080 00000000 80000000 00 = 80000000 00 08 081 00000000 80000000 01 = 80000000 00 08 082 00000000 80000000 10 = 80000000 00 08 083 00000000 80000000 11 = 80000000 00 08 084 00000001 80000000 00 = 80000001 00 08 085 00000001 80000000 01 = 80000001 00 08 086 00000001 80000000 10 = 80000001 00 08 087 00000001 80000000 11 = 80000001 00 08 088 00000002 80000000 00 = 80000002 00 08 089 00000002 80000000 01 = 80000002 00 08 08A 00000002 80000000 10 = 80000002 00 08 08B 00000002 80000000 11 = 80000002 00 08 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 08 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 08 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 08 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 08 090 80000000 80000000 00 = 00000000 11 08 091 80000000 80000000 01 = 00000000 11 08 092 80000000 80000000 10 = 00000000 11 08 093 80000000 80000000 11 = 00000000 11 08 094 80000001 80000000 00 = 00000001 10 08 095 80000001 80000000 01 = 00000001 10 08 096 80000001 80000000 10 = 00000001 10 08 097 80000001 80000000 11 = 00000001 10 08 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 08 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 08 09A FFFFFFFE 80000000 10 = 7FFFFFFE 10 08 09B FFFFFFFE 80000000 11 = 7FFFFFFE 10 08 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 08 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 08 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 08 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 08 0A0 00000000 80000001 00 = 80000001 00 08 0A1 00000000 80000001 01 = 80000001 00 08 0A2 00000000 80000001 10 = 80000001 00 08 0A3 00000000 80000001 11 = 80000001 00 08 0A4 00000001 80000001 00 = 80000002 00 08 0A5 00000001 80000001 01 = 80000002 00 08 0A6 00000001 80000001 10 = 80000002 00 08 0A7 00000001 80000001 11 = 80000002 00 08 0A8 00000002 80000001 00 = 80000003 00 08 0A9 00000002 80000001 01 = 80000003 00 08 0AA 00000002 80000001 10 = 80000003 00 08 0AB 00000002 80000001 11 = 80000003 00 08 0AC 7FFFFFFF 80000001 00 = 00000000 11 08 0AD 7FFFFFFF 80000001 01 = 00000000 11 08 0AE 7FFFFFFF 80000001 10 = 00000000 11 08 0AF 7FFFFFFF 80000001 11 = 00000000 11 08 0B0 80000000 80000001 00 = 00000001 10 08 0B1 80000000 80000001 01 = 00000001 10 08 0B2 80000000 80000001 10 = 00000001 10 08 0B3 80000000 80000001 11 = 00000001 10 08 0B4 80000001 80000001 00 = 00000002 10 08 0B5 80000001 80000001 01 = 00000002 10 08 0B6 80000001 80000001 10 = 00000002 10 08 0B7 80000001 80000001 11 = 00000002 10 08 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 08 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 08 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 08 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 08 0BC FFFFFFFF 80000001 00 = 80000000 10 08 0BD FFFFFFFF 80000001 01 = 80000000 10 08 0BE FFFFFFFF 80000001 10 = 80000000 10 08 0BF FFFFFFFF 80000001 11 = 80000000 10 08 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 08 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 08 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 08 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 08 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 08 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 08 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 08 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 08 0C8 00000002 FFFFFFFE 00 = 00000000 11 08 0C9 00000002 FFFFFFFE 01 = 00000000 11 08 0CA 00000002 FFFFFFFE 10 = 00000000 11 08 0CB 00000002 FFFFFFFE 11 = 00000000 11 08 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 10 08 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 10 08 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFD 10 08 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFD 10 08 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 08 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 08 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 10 08 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 10 08 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 08 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 08 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 08 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 08 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 10 08 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 10 08 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 10 08 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 10 08 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 10 08 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 10 08 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 10 08 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 10 08 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 08 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 08 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 08 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 08 0E4 00000001 FFFFFFFF 00 = 00000000 11 08 0E5 00000001 FFFFFFFF 01 = 00000000 11 08 0E6 00000001 FFFFFFFF 10 = 00000000 11 08 0E7 00000001 FFFFFFFF 11 = 00000000 11 08 0E8 00000002 FFFFFFFF 00 = 00000001 10 08 0E9 00000002 FFFFFFFF 01 = 00000001 10 08 0EA 00000002 FFFFFFFF 10 = 00000001 10 08 0EB 00000002 FFFFFFFF 11 = 00000001 10 08 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 10 08 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 10 08 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 10 08 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 10 08 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 08 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 08 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 08 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 08 0F4 80000001 FFFFFFFF 00 = 80000000 10 08 0F5 80000001 FFFFFFFF 01 = 80000000 10 08 0F6 80000001 FFFFFFFF 10 = 80000000 10 08 0F7 80000001 FFFFFFFF 11 = 80000000 10 08 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 10 08 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 10 08 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 10 08 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 10 08 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 10 08 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 10 08 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 10 08 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 10 addx ---D---- ---S---- CZ = ---Q---- CZ 09 000 00000000 00000000 00 = 00000000 00 09 001 00000000 00000000 01 = 00000000 01 09 002 00000000 00000000 10 = 00000001 00 09 003 00000000 00000000 11 = 00000001 00 09 004 00000001 00000000 00 = 00000001 00 09 005 00000001 00000000 01 = 00000001 00 09 006 00000001 00000000 10 = 00000002 00 09 007 00000001 00000000 11 = 00000002 00 09 008 00000002 00000000 00 = 00000002 00 09 009 00000002 00000000 01 = 00000002 00 09 00A 00000002 00000000 10 = 00000003 00 09 00B 00000002 00000000 11 = 00000003 00 09 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 09 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 09 00E 7FFFFFFF 00000000 10 = 80000000 00 09 00F 7FFFFFFF 00000000 11 = 80000000 00 09 010 80000000 00000000 00 = 80000000 00 09 011 80000000 00000000 01 = 80000000 00 09 012 80000000 00000000 10 = 80000001 00 09 013 80000000 00000000 11 = 80000001 00 09 014 80000001 00000000 00 = 80000001 00 09 015 80000001 00000000 01 = 80000001 00 09 016 80000001 00000000 10 = 80000002 00 09 017 80000001 00000000 11 = 80000002 00 09 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 09 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 09 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 09 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 09 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 09 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 09 01E FFFFFFFF 00000000 10 = 00000000 10 09 01F FFFFFFFF 00000000 11 = 00000000 11 09 020 00000000 00000001 00 = 00000001 00 09 021 00000000 00000001 01 = 00000001 00 09 022 00000000 00000001 10 = 00000002 00 09 023 00000000 00000001 11 = 00000002 00 09 024 00000001 00000001 00 = 00000002 00 09 025 00000001 00000001 01 = 00000002 00 09 026 00000001 00000001 10 = 00000003 00 09 027 00000001 00000001 11 = 00000003 00 09 028 00000002 00000001 00 = 00000003 00 09 029 00000002 00000001 01 = 00000003 00 09 02A 00000002 00000001 10 = 00000004 00 09 02B 00000002 00000001 11 = 00000004 00 09 02C 7FFFFFFF 00000001 00 = 80000000 00 09 02D 7FFFFFFF 00000001 01 = 80000000 00 09 02E 7FFFFFFF 00000001 10 = 80000001 00 09 02F 7FFFFFFF 00000001 11 = 80000001 00 09 030 80000000 00000001 00 = 80000001 00 09 031 80000000 00000001 01 = 80000001 00 09 032 80000000 00000001 10 = 80000002 00 09 033 80000000 00000001 11 = 80000002 00 09 034 80000001 00000001 00 = 80000002 00 09 035 80000001 00000001 01 = 80000002 00 09 036 80000001 00000001 10 = 80000003 00 09 037 80000001 00000001 11 = 80000003 00 09 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 09 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 09 03A FFFFFFFE 00000001 10 = 00000000 10 09 03B FFFFFFFE 00000001 11 = 00000000 11 09 03C FFFFFFFF 00000001 00 = 00000000 10 09 03D FFFFFFFF 00000001 01 = 00000000 11 09 03E FFFFFFFF 00000001 10 = 00000001 10 09 03F FFFFFFFF 00000001 11 = 00000001 10 09 040 00000000 00000002 00 = 00000002 00 09 041 00000000 00000002 01 = 00000002 00 09 042 00000000 00000002 10 = 00000003 00 09 043 00000000 00000002 11 = 00000003 00 09 044 00000001 00000002 00 = 00000003 00 09 045 00000001 00000002 01 = 00000003 00 09 046 00000001 00000002 10 = 00000004 00 09 047 00000001 00000002 11 = 00000004 00 09 048 00000002 00000002 00 = 00000004 00 09 049 00000002 00000002 01 = 00000004 00 09 04A 00000002 00000002 10 = 00000005 00 09 04B 00000002 00000002 11 = 00000005 00 09 04C 7FFFFFFF 00000002 00 = 80000001 00 09 04D 7FFFFFFF 00000002 01 = 80000001 00 09 04E 7FFFFFFF 00000002 10 = 80000002 00 09 04F 7FFFFFFF 00000002 11 = 80000002 00 09 050 80000000 00000002 00 = 80000002 00 09 051 80000000 00000002 01 = 80000002 00 09 052 80000000 00000002 10 = 80000003 00 09 053 80000000 00000002 11 = 80000003 00 09 054 80000001 00000002 00 = 80000003 00 09 055 80000001 00000002 01 = 80000003 00 09 056 80000001 00000002 10 = 80000004 00 09 057 80000001 00000002 11 = 80000004 00 09 058 FFFFFFFE 00000002 00 = 00000000 10 09 059 FFFFFFFE 00000002 01 = 00000000 11 09 05A FFFFFFFE 00000002 10 = 00000001 10 09 05B FFFFFFFE 00000002 11 = 00000001 10 09 05C FFFFFFFF 00000002 00 = 00000001 10 09 05D FFFFFFFF 00000002 01 = 00000001 10 09 05E FFFFFFFF 00000002 10 = 00000002 10 09 05F FFFFFFFF 00000002 11 = 00000002 10 09 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 09 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 09 062 00000000 7FFFFFFF 10 = 80000000 00 09 063 00000000 7FFFFFFF 11 = 80000000 00 09 064 00000001 7FFFFFFF 00 = 80000000 00 09 065 00000001 7FFFFFFF 01 = 80000000 00 09 066 00000001 7FFFFFFF 10 = 80000001 00 09 067 00000001 7FFFFFFF 11 = 80000001 00 09 068 00000002 7FFFFFFF 00 = 80000001 00 09 069 00000002 7FFFFFFF 01 = 80000001 00 09 06A 00000002 7FFFFFFF 10 = 80000002 00 09 06B 00000002 7FFFFFFF 11 = 80000002 00 09 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 00 09 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 00 09 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 09 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 09 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 09 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 09 072 80000000 7FFFFFFF 10 = 00000000 10 09 073 80000000 7FFFFFFF 11 = 00000000 11 09 074 80000001 7FFFFFFF 00 = 00000000 10 09 075 80000001 7FFFFFFF 01 = 00000000 11 09 076 80000001 7FFFFFFF 10 = 00000001 10 09 077 80000001 7FFFFFFF 11 = 00000001 10 09 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 10 09 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 10 09 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 10 09 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 10 09 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 10 09 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 10 09 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 09 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 09 080 00000000 80000000 00 = 80000000 00 09 081 00000000 80000000 01 = 80000000 00 09 082 00000000 80000000 10 = 80000001 00 09 083 00000000 80000000 11 = 80000001 00 09 084 00000001 80000000 00 = 80000001 00 09 085 00000001 80000000 01 = 80000001 00 09 086 00000001 80000000 10 = 80000002 00 09 087 00000001 80000000 11 = 80000002 00 09 088 00000002 80000000 00 = 80000002 00 09 089 00000002 80000000 01 = 80000002 00 09 08A 00000002 80000000 10 = 80000003 00 09 08B 00000002 80000000 11 = 80000003 00 09 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 09 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 09 08E 7FFFFFFF 80000000 10 = 00000000 10 09 08F 7FFFFFFF 80000000 11 = 00000000 11 09 090 80000000 80000000 00 = 00000000 10 09 091 80000000 80000000 01 = 00000000 11 09 092 80000000 80000000 10 = 00000001 10 09 093 80000000 80000000 11 = 00000001 10 09 094 80000001 80000000 00 = 00000001 10 09 095 80000001 80000000 01 = 00000001 10 09 096 80000001 80000000 10 = 00000002 10 09 097 80000001 80000000 11 = 00000002 10 09 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 09 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 09 09A FFFFFFFE 80000000 10 = 7FFFFFFF 10 09 09B FFFFFFFE 80000000 11 = 7FFFFFFF 10 09 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 09 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 09 09E FFFFFFFF 80000000 10 = 80000000 10 09 09F FFFFFFFF 80000000 11 = 80000000 10 09 0A0 00000000 80000001 00 = 80000001 00 09 0A1 00000000 80000001 01 = 80000001 00 09 0A2 00000000 80000001 10 = 80000002 00 09 0A3 00000000 80000001 11 = 80000002 00 09 0A4 00000001 80000001 00 = 80000002 00 09 0A5 00000001 80000001 01 = 80000002 00 09 0A6 00000001 80000001 10 = 80000003 00 09 0A7 00000001 80000001 11 = 80000003 00 09 0A8 00000002 80000001 00 = 80000003 00 09 0A9 00000002 80000001 01 = 80000003 00 09 0AA 00000002 80000001 10 = 80000004 00 09 0AB 00000002 80000001 11 = 80000004 00 09 0AC 7FFFFFFF 80000001 00 = 00000000 10 09 0AD 7FFFFFFF 80000001 01 = 00000000 11 09 0AE 7FFFFFFF 80000001 10 = 00000001 10 09 0AF 7FFFFFFF 80000001 11 = 00000001 10 09 0B0 80000000 80000001 00 = 00000001 10 09 0B1 80000000 80000001 01 = 00000001 10 09 0B2 80000000 80000001 10 = 00000002 10 09 0B3 80000000 80000001 11 = 00000002 10 09 0B4 80000001 80000001 00 = 00000002 10 09 0B5 80000001 80000001 01 = 00000002 10 09 0B6 80000001 80000001 10 = 00000003 10 09 0B7 80000001 80000001 11 = 00000003 10 09 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 09 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 09 0BA FFFFFFFE 80000001 10 = 80000000 10 09 0BB FFFFFFFE 80000001 11 = 80000000 10 09 0BC FFFFFFFF 80000001 00 = 80000000 10 09 0BD FFFFFFFF 80000001 01 = 80000000 10 09 0BE FFFFFFFF 80000001 10 = 80000001 10 09 0BF FFFFFFFF 80000001 11 = 80000001 10 09 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 09 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 09 0C2 00000000 FFFFFFFE 10 = FFFFFFFF 00 09 0C3 00000000 FFFFFFFE 11 = FFFFFFFF 00 09 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 09 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 09 0C6 00000001 FFFFFFFE 10 = 00000000 10 09 0C7 00000001 FFFFFFFE 11 = 00000000 11 09 0C8 00000002 FFFFFFFE 00 = 00000000 10 09 0C9 00000002 FFFFFFFE 01 = 00000000 11 09 0CA 00000002 FFFFFFFE 10 = 00000001 10 09 0CB 00000002 FFFFFFFE 11 = 00000001 10 09 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 10 09 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 10 09 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 10 09 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 10 09 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 09 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 09 0D2 80000000 FFFFFFFE 10 = 7FFFFFFF 10 09 0D3 80000000 FFFFFFFE 11 = 7FFFFFFF 10 09 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 09 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 09 0D6 80000001 FFFFFFFE 10 = 80000000 10 09 0D7 80000001 FFFFFFFE 11 = 80000000 10 09 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 10 09 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 10 09 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFD 10 09 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFD 10 09 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 10 09 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 10 09 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 09 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 09 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 09 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 09 0E2 00000000 FFFFFFFF 10 = 00000000 10 09 0E3 00000000 FFFFFFFF 11 = 00000000 11 09 0E4 00000001 FFFFFFFF 00 = 00000000 10 09 0E5 00000001 FFFFFFFF 01 = 00000000 11 09 0E6 00000001 FFFFFFFF 10 = 00000001 10 09 0E7 00000001 FFFFFFFF 11 = 00000001 10 09 0E8 00000002 FFFFFFFF 00 = 00000001 10 09 0E9 00000002 FFFFFFFF 01 = 00000001 10 09 0EA 00000002 FFFFFFFF 10 = 00000002 10 09 0EB 00000002 FFFFFFFF 11 = 00000002 10 09 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 10 09 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 10 09 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 09 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 09 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 09 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 09 0F2 80000000 FFFFFFFF 10 = 80000000 10 09 0F3 80000000 FFFFFFFF 11 = 80000000 10 09 0F4 80000001 FFFFFFFF 00 = 80000000 10 09 0F5 80000001 FFFFFFFF 01 = 80000000 10 09 0F6 80000001 FFFFFFFF 10 = 80000001 10 09 0F7 80000001 FFFFFFFF 11 = 80000001 10 09 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 10 09 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 10 09 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 09 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 09 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 10 09 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 10 09 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 09 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 adds ---D---- ---S---- CZ = ---Q---- CZ 0A 000 00000000 00000000 00 = 00000000 01 0A 001 00000000 00000000 01 = 00000000 01 0A 002 00000000 00000000 10 = 00000000 01 0A 003 00000000 00000000 11 = 00000000 01 0A 004 00000001 00000000 00 = 00000001 00 0A 005 00000001 00000000 01 = 00000001 00 0A 006 00000001 00000000 10 = 00000001 00 0A 007 00000001 00000000 11 = 00000001 00 0A 008 00000002 00000000 00 = 00000002 00 0A 009 00000002 00000000 01 = 00000002 00 0A 00A 00000002 00000000 10 = 00000002 00 0A 00B 00000002 00000000 11 = 00000002 00 0A 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 0A 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 0A 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 0A 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 0A 010 80000000 00000000 00 = 80000000 00 0A 011 80000000 00000000 01 = 80000000 00 0A 012 80000000 00000000 10 = 80000000 00 0A 013 80000000 00000000 11 = 80000000 00 0A 014 80000001 00000000 00 = 80000001 00 0A 015 80000001 00000000 01 = 80000001 00 0A 016 80000001 00000000 10 = 80000001 00 0A 017 80000001 00000000 11 = 80000001 00 0A 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 0A 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 0A 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 0A 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 0A 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 0A 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 0A 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 0A 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 0A 020 00000000 00000001 00 = 00000001 00 0A 021 00000000 00000001 01 = 00000001 00 0A 022 00000000 00000001 10 = 00000001 00 0A 023 00000000 00000001 11 = 00000001 00 0A 024 00000001 00000001 00 = 00000002 00 0A 025 00000001 00000001 01 = 00000002 00 0A 026 00000001 00000001 10 = 00000002 00 0A 027 00000001 00000001 11 = 00000002 00 0A 028 00000002 00000001 00 = 00000003 00 0A 029 00000002 00000001 01 = 00000003 00 0A 02A 00000002 00000001 10 = 00000003 00 0A 02B 00000002 00000001 11 = 00000003 00 0A 02C 7FFFFFFF 00000001 00 = 80000000 10 0A 02D 7FFFFFFF 00000001 01 = 80000000 10 0A 02E 7FFFFFFF 00000001 10 = 80000000 10 0A 02F 7FFFFFFF 00000001 11 = 80000000 10 0A 030 80000000 00000001 00 = 80000001 00 0A 031 80000000 00000001 01 = 80000001 00 0A 032 80000000 00000001 10 = 80000001 00 0A 033 80000000 00000001 11 = 80000001 00 0A 034 80000001 00000001 00 = 80000002 00 0A 035 80000001 00000001 01 = 80000002 00 0A 036 80000001 00000001 10 = 80000002 00 0A 037 80000001 00000001 11 = 80000002 00 0A 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 0A 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 0A 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 0A 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 0A 03C FFFFFFFF 00000001 00 = 00000000 01 0A 03D FFFFFFFF 00000001 01 = 00000000 01 0A 03E FFFFFFFF 00000001 10 = 00000000 01 0A 03F FFFFFFFF 00000001 11 = 00000000 01 0A 040 00000000 00000002 00 = 00000002 00 0A 041 00000000 00000002 01 = 00000002 00 0A 042 00000000 00000002 10 = 00000002 00 0A 043 00000000 00000002 11 = 00000002 00 0A 044 00000001 00000002 00 = 00000003 00 0A 045 00000001 00000002 01 = 00000003 00 0A 046 00000001 00000002 10 = 00000003 00 0A 047 00000001 00000002 11 = 00000003 00 0A 048 00000002 00000002 00 = 00000004 00 0A 049 00000002 00000002 01 = 00000004 00 0A 04A 00000002 00000002 10 = 00000004 00 0A 04B 00000002 00000002 11 = 00000004 00 0A 04C 7FFFFFFF 00000002 00 = 80000001 10 0A 04D 7FFFFFFF 00000002 01 = 80000001 10 0A 04E 7FFFFFFF 00000002 10 = 80000001 10 0A 04F 7FFFFFFF 00000002 11 = 80000001 10 0A 050 80000000 00000002 00 = 80000002 00 0A 051 80000000 00000002 01 = 80000002 00 0A 052 80000000 00000002 10 = 80000002 00 0A 053 80000000 00000002 11 = 80000002 00 0A 054 80000001 00000002 00 = 80000003 00 0A 055 80000001 00000002 01 = 80000003 00 0A 056 80000001 00000002 10 = 80000003 00 0A 057 80000001 00000002 11 = 80000003 00 0A 058 FFFFFFFE 00000002 00 = 00000000 01 0A 059 FFFFFFFE 00000002 01 = 00000000 01 0A 05A FFFFFFFE 00000002 10 = 00000000 01 0A 05B FFFFFFFE 00000002 11 = 00000000 01 0A 05C FFFFFFFF 00000002 00 = 00000001 00 0A 05D FFFFFFFF 00000002 01 = 00000001 00 0A 05E FFFFFFFF 00000002 10 = 00000001 00 0A 05F FFFFFFFF 00000002 11 = 00000001 00 0A 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 0A 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 0A 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 0A 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 0A 064 00000001 7FFFFFFF 00 = 80000000 10 0A 065 00000001 7FFFFFFF 01 = 80000000 10 0A 066 00000001 7FFFFFFF 10 = 80000000 10 0A 067 00000001 7FFFFFFF 11 = 80000000 10 0A 068 00000002 7FFFFFFF 00 = 80000001 10 0A 069 00000002 7FFFFFFF 01 = 80000001 10 0A 06A 00000002 7FFFFFFF 10 = 80000001 10 0A 06B 00000002 7FFFFFFF 11 = 80000001 10 0A 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 0A 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 0A 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 0A 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 0A 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 0A 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 0A 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 0A 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 0A 074 80000001 7FFFFFFF 00 = 00000000 01 0A 075 80000001 7FFFFFFF 01 = 00000000 01 0A 076 80000001 7FFFFFFF 10 = 00000000 01 0A 077 80000001 7FFFFFFF 11 = 00000000 01 0A 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 00 0A 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 00 0A 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFD 00 0A 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFD 00 0A 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 0A 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 0A 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 0A 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 0A 080 00000000 80000000 00 = 80000000 00 0A 081 00000000 80000000 01 = 80000000 00 0A 082 00000000 80000000 10 = 80000000 00 0A 083 00000000 80000000 11 = 80000000 00 0A 084 00000001 80000000 00 = 80000001 00 0A 085 00000001 80000000 01 = 80000001 00 0A 086 00000001 80000000 10 = 80000001 00 0A 087 00000001 80000000 11 = 80000001 00 0A 088 00000002 80000000 00 = 80000002 00 0A 089 00000002 80000000 01 = 80000002 00 0A 08A 00000002 80000000 10 = 80000002 00 0A 08B 00000002 80000000 11 = 80000002 00 0A 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 0A 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 0A 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 0A 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 0A 090 80000000 80000000 00 = 00000000 11 0A 091 80000000 80000000 01 = 00000000 11 0A 092 80000000 80000000 10 = 00000000 11 0A 093 80000000 80000000 11 = 00000000 11 0A 094 80000001 80000000 00 = 00000001 10 0A 095 80000001 80000000 01 = 00000001 10 0A 096 80000001 80000000 10 = 00000001 10 0A 097 80000001 80000000 11 = 00000001 10 0A 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 0A 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 0A 09A FFFFFFFE 80000000 10 = 7FFFFFFE 10 0A 09B FFFFFFFE 80000000 11 = 7FFFFFFE 10 0A 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 0A 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 0A 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 0A 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 0A 0A0 00000000 80000001 00 = 80000001 00 0A 0A1 00000000 80000001 01 = 80000001 00 0A 0A2 00000000 80000001 10 = 80000001 00 0A 0A3 00000000 80000001 11 = 80000001 00 0A 0A4 00000001 80000001 00 = 80000002 00 0A 0A5 00000001 80000001 01 = 80000002 00 0A 0A6 00000001 80000001 10 = 80000002 00 0A 0A7 00000001 80000001 11 = 80000002 00 0A 0A8 00000002 80000001 00 = 80000003 00 0A 0A9 00000002 80000001 01 = 80000003 00 0A 0AA 00000002 80000001 10 = 80000003 00 0A 0AB 00000002 80000001 11 = 80000003 00 0A 0AC 7FFFFFFF 80000001 00 = 00000000 01 0A 0AD 7FFFFFFF 80000001 01 = 00000000 01 0A 0AE 7FFFFFFF 80000001 10 = 00000000 01 0A 0AF 7FFFFFFF 80000001 11 = 00000000 01 0A 0B0 80000000 80000001 00 = 00000001 10 0A 0B1 80000000 80000001 01 = 00000001 10 0A 0B2 80000000 80000001 10 = 00000001 10 0A 0B3 80000000 80000001 11 = 00000001 10 0A 0B4 80000001 80000001 00 = 00000002 10 0A 0B5 80000001 80000001 01 = 00000002 10 0A 0B6 80000001 80000001 10 = 00000002 10 0A 0B7 80000001 80000001 11 = 00000002 10 0A 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 0A 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 0A 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 0A 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 0A 0BC FFFFFFFF 80000001 00 = 80000000 00 0A 0BD FFFFFFFF 80000001 01 = 80000000 00 0A 0BE FFFFFFFF 80000001 10 = 80000000 00 0A 0BF FFFFFFFF 80000001 11 = 80000000 00 0A 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 0A 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 0A 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 0A 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 0A 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 0A 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 0A 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 0A 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 0A 0C8 00000002 FFFFFFFE 00 = 00000000 01 0A 0C9 00000002 FFFFFFFE 01 = 00000000 01 0A 0CA 00000002 FFFFFFFE 10 = 00000000 01 0A 0CB 00000002 FFFFFFFE 11 = 00000000 01 0A 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 00 0A 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 00 0A 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFD 00 0A 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFD 00 0A 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 0A 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 0A 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 10 0A 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 10 0A 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 0A 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 0A 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 0A 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 0A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 0A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 0A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 00 0A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 00 0A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 0A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 0A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 00 0A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 00 0A 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 0A 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 0A 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 0A 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 0A 0E4 00000001 FFFFFFFF 00 = 00000000 01 0A 0E5 00000001 FFFFFFFF 01 = 00000000 01 0A 0E6 00000001 FFFFFFFF 10 = 00000000 01 0A 0E7 00000001 FFFFFFFF 11 = 00000000 01 0A 0E8 00000002 FFFFFFFF 00 = 00000001 00 0A 0E9 00000002 FFFFFFFF 01 = 00000001 00 0A 0EA 00000002 FFFFFFFF 10 = 00000001 00 0A 0EB 00000002 FFFFFFFF 11 = 00000001 00 0A 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 0A 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 0A 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 00 0A 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 00 0A 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 0A 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 0A 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 0A 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 0A 0F4 80000001 FFFFFFFF 00 = 80000000 00 0A 0F5 80000001 FFFFFFFF 01 = 80000000 00 0A 0F6 80000001 FFFFFFFF 10 = 80000000 00 0A 0F7 80000001 FFFFFFFF 11 = 80000000 00 0A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 0A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 0A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 0A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 0A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 0A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 0A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 0A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 000 00000000 00000000 00 = 00000000 00 0B 001 00000000 00000000 01 = 00000000 01 0B 002 00000000 00000000 10 = 00000001 00 0B 003 00000000 00000000 11 = 00000001 00 0B 004 00000001 00000000 00 = 00000001 00 0B 005 00000001 00000000 01 = 00000001 00 0B 006 00000001 00000000 10 = 00000002 00 0B 007 00000001 00000000 11 = 00000002 00 0B 008 00000002 00000000 00 = 00000002 00 0B 009 00000002 00000000 01 = 00000002 00 0B 00A 00000002 00000000 10 = 00000003 00 0B 00B 00000002 00000000 11 = 00000003 00 0B 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 0B 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 0B 00E 7FFFFFFF 00000000 10 = 80000000 10 0B 00F 7FFFFFFF 00000000 11 = 80000000 10 0B 010 80000000 00000000 00 = 80000000 00 0B 011 80000000 00000000 01 = 80000000 00 0B 012 80000000 00000000 10 = 80000001 00 0B 013 80000000 00000000 11 = 80000001 00 0B 014 80000001 00000000 00 = 80000001 00 0B 015 80000001 00000000 01 = 80000001 00 0B 016 80000001 00000000 10 = 80000002 00 0B 017 80000001 00000000 11 = 80000002 00 0B 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 0B 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 0B 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 0B 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 0B 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 0B 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 0B 01E FFFFFFFF 00000000 10 = 00000000 00 0B 01F FFFFFFFF 00000000 11 = 00000000 01 0B 020 00000000 00000001 00 = 00000001 00 0B 021 00000000 00000001 01 = 00000001 00 0B 022 00000000 00000001 10 = 00000002 00 0B 023 00000000 00000001 11 = 00000002 00 0B 024 00000001 00000001 00 = 00000002 00 0B 025 00000001 00000001 01 = 00000002 00 0B 026 00000001 00000001 10 = 00000003 00 0B 027 00000001 00000001 11 = 00000003 00 0B 028 00000002 00000001 00 = 00000003 00 0B 029 00000002 00000001 01 = 00000003 00 0B 02A 00000002 00000001 10 = 00000004 00 0B 02B 00000002 00000001 11 = 00000004 00 0B 02C 7FFFFFFF 00000001 00 = 80000000 10 0B 02D 7FFFFFFF 00000001 01 = 80000000 10 0B 02E 7FFFFFFF 00000001 10 = 80000001 10 0B 02F 7FFFFFFF 00000001 11 = 80000001 10 0B 030 80000000 00000001 00 = 80000001 00 0B 031 80000000 00000001 01 = 80000001 00 0B 032 80000000 00000001 10 = 80000002 00 0B 033 80000000 00000001 11 = 80000002 00 0B 034 80000001 00000001 00 = 80000002 00 0B 035 80000001 00000001 01 = 80000002 00 0B 036 80000001 00000001 10 = 80000003 00 0B 037 80000001 00000001 11 = 80000003 00 0B 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 0B 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 0B 03A FFFFFFFE 00000001 10 = 00000000 00 0B 03B FFFFFFFE 00000001 11 = 00000000 01 0B 03C FFFFFFFF 00000001 00 = 00000000 00 0B 03D FFFFFFFF 00000001 01 = 00000000 01 0B 03E FFFFFFFF 00000001 10 = 00000001 00 0B 03F FFFFFFFF 00000001 11 = 00000001 00 0B 040 00000000 00000002 00 = 00000002 00 0B 041 00000000 00000002 01 = 00000002 00 0B 042 00000000 00000002 10 = 00000003 00 0B 043 00000000 00000002 11 = 00000003 00 0B 044 00000001 00000002 00 = 00000003 00 0B 045 00000001 00000002 01 = 00000003 00 0B 046 00000001 00000002 10 = 00000004 00 0B 047 00000001 00000002 11 = 00000004 00 0B 048 00000002 00000002 00 = 00000004 00 0B 049 00000002 00000002 01 = 00000004 00 0B 04A 00000002 00000002 10 = 00000005 00 0B 04B 00000002 00000002 11 = 00000005 00 0B 04C 7FFFFFFF 00000002 00 = 80000001 10 0B 04D 7FFFFFFF 00000002 01 = 80000001 10 0B 04E 7FFFFFFF 00000002 10 = 80000002 10 0B 04F 7FFFFFFF 00000002 11 = 80000002 10 0B 050 80000000 00000002 00 = 80000002 00 0B 051 80000000 00000002 01 = 80000002 00 0B 052 80000000 00000002 10 = 80000003 00 0B 053 80000000 00000002 11 = 80000003 00 0B 054 80000001 00000002 00 = 80000003 00 0B 055 80000001 00000002 01 = 80000003 00 0B 056 80000001 00000002 10 = 80000004 00 0B 057 80000001 00000002 11 = 80000004 00 0B 058 FFFFFFFE 00000002 00 = 00000000 00 0B 059 FFFFFFFE 00000002 01 = 00000000 01 0B 05A FFFFFFFE 00000002 10 = 00000001 00 0B 05B FFFFFFFE 00000002 11 = 00000001 00 0B 05C FFFFFFFF 00000002 00 = 00000001 00 0B 05D FFFFFFFF 00000002 01 = 00000001 00 0B 05E FFFFFFFF 00000002 10 = 00000002 00 0B 05F FFFFFFFF 00000002 11 = 00000002 00 0B 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 0B 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 0B 062 00000000 7FFFFFFF 10 = 80000000 10 0B 063 00000000 7FFFFFFF 11 = 80000000 10 0B 064 00000001 7FFFFFFF 00 = 80000000 10 0B 065 00000001 7FFFFFFF 01 = 80000000 10 0B 066 00000001 7FFFFFFF 10 = 80000001 10 0B 067 00000001 7FFFFFFF 11 = 80000001 10 0B 068 00000002 7FFFFFFF 00 = 80000001 10 0B 069 00000002 7FFFFFFF 01 = 80000001 10 0B 06A 00000002 7FFFFFFF 10 = 80000002 10 0B 06B 00000002 7FFFFFFF 11 = 80000002 10 0B 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 0B 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 0B 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 0B 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 0B 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 0B 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 0B 072 80000000 7FFFFFFF 10 = 00000000 00 0B 073 80000000 7FFFFFFF 11 = 00000000 01 0B 074 80000001 7FFFFFFF 00 = 00000000 00 0B 075 80000001 7FFFFFFF 01 = 00000000 01 0B 076 80000001 7FFFFFFF 10 = 00000001 00 0B 077 80000001 7FFFFFFF 11 = 00000001 00 0B 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 00 0B 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 00 0B 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 00 0B 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 00 0B 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 0B 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 0B 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 0B 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 0B 080 00000000 80000000 00 = 80000000 00 0B 081 00000000 80000000 01 = 80000000 00 0B 082 00000000 80000000 10 = 80000001 00 0B 083 00000000 80000000 11 = 80000001 00 0B 084 00000001 80000000 00 = 80000001 00 0B 085 00000001 80000000 01 = 80000001 00 0B 086 00000001 80000000 10 = 80000002 00 0B 087 00000001 80000000 11 = 80000002 00 0B 088 00000002 80000000 00 = 80000002 00 0B 089 00000002 80000000 01 = 80000002 00 0B 08A 00000002 80000000 10 = 80000003 00 0B 08B 00000002 80000000 11 = 80000003 00 0B 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 0B 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 0B 08E 7FFFFFFF 80000000 10 = 00000000 00 0B 08F 7FFFFFFF 80000000 11 = 00000000 01 0B 090 80000000 80000000 00 = 00000000 10 0B 091 80000000 80000000 01 = 00000000 11 0B 092 80000000 80000000 10 = 00000001 10 0B 093 80000000 80000000 11 = 00000001 10 0B 094 80000001 80000000 00 = 00000001 10 0B 095 80000001 80000000 01 = 00000001 10 0B 096 80000001 80000000 10 = 00000002 10 0B 097 80000001 80000000 11 = 00000002 10 0B 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 0B 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 0B 09A FFFFFFFE 80000000 10 = 7FFFFFFF 10 0B 09B FFFFFFFE 80000000 11 = 7FFFFFFF 10 0B 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 0B 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 0B 09E FFFFFFFF 80000000 10 = 80000000 00 0B 09F FFFFFFFF 80000000 11 = 80000000 00 0B 0A0 00000000 80000001 00 = 80000001 00 0B 0A1 00000000 80000001 01 = 80000001 00 0B 0A2 00000000 80000001 10 = 80000002 00 0B 0A3 00000000 80000001 11 = 80000002 00 0B 0A4 00000001 80000001 00 = 80000002 00 0B 0A5 00000001 80000001 01 = 80000002 00 0B 0A6 00000001 80000001 10 = 80000003 00 0B 0A7 00000001 80000001 11 = 80000003 00 0B 0A8 00000002 80000001 00 = 80000003 00 0B 0A9 00000002 80000001 01 = 80000003 00 0B 0AA 00000002 80000001 10 = 80000004 00 0B 0AB 00000002 80000001 11 = 80000004 00 0B 0AC 7FFFFFFF 80000001 00 = 00000000 00 0B 0AD 7FFFFFFF 80000001 01 = 00000000 01 0B 0AE 7FFFFFFF 80000001 10 = 00000001 00 0B 0AF 7FFFFFFF 80000001 11 = 00000001 00 0B 0B0 80000000 80000001 00 = 00000001 10 0B 0B1 80000000 80000001 01 = 00000001 10 0B 0B2 80000000 80000001 10 = 00000002 10 0B 0B3 80000000 80000001 11 = 00000002 10 0B 0B4 80000001 80000001 00 = 00000002 10 0B 0B5 80000001 80000001 01 = 00000002 10 0B 0B6 80000001 80000001 10 = 00000003 10 0B 0B7 80000001 80000001 11 = 00000003 10 0B 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 0B 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 0B 0BA FFFFFFFE 80000001 10 = 80000000 00 0B 0BB FFFFFFFE 80000001 11 = 80000000 00 0B 0BC FFFFFFFF 80000001 00 = 80000000 00 0B 0BD FFFFFFFF 80000001 01 = 80000000 00 0B 0BE FFFFFFFF 80000001 10 = 80000001 00 0B 0BF FFFFFFFF 80000001 11 = 80000001 00 0B 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 0B 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 0B 0C2 00000000 FFFFFFFE 10 = FFFFFFFF 00 0B 0C3 00000000 FFFFFFFE 11 = FFFFFFFF 00 0B 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 0B 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 0B 0C6 00000001 FFFFFFFE 10 = 00000000 00 0B 0C7 00000001 FFFFFFFE 11 = 00000000 01 0B 0C8 00000002 FFFFFFFE 00 = 00000000 00 0B 0C9 00000002 FFFFFFFE 01 = 00000000 01 0B 0CA 00000002 FFFFFFFE 10 = 00000001 00 0B 0CB 00000002 FFFFFFFE 11 = 00000001 00 0B 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 00 0B 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 00 0B 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 00 0B 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 00 0B 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 0B 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 0B 0D2 80000000 FFFFFFFE 10 = 7FFFFFFF 10 0B 0D3 80000000 FFFFFFFE 11 = 7FFFFFFF 10 0B 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 0B 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 0B 0D6 80000001 FFFFFFFE 10 = 80000000 00 0B 0D7 80000001 FFFFFFFE 11 = 80000000 00 0B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 0B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 0B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFD 00 0B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFD 00 0B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 0B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 0B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 00 0B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 00 0B 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 0B 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 0B 0E2 00000000 FFFFFFFF 10 = 00000000 00 0B 0E3 00000000 FFFFFFFF 11 = 00000000 01 0B 0E4 00000001 FFFFFFFF 00 = 00000000 00 0B 0E5 00000001 FFFFFFFF 01 = 00000000 01 0B 0E6 00000001 FFFFFFFF 10 = 00000001 00 0B 0E7 00000001 FFFFFFFF 11 = 00000001 00 0B 0E8 00000002 FFFFFFFF 00 = 00000001 00 0B 0E9 00000002 FFFFFFFF 01 = 00000001 00 0B 0EA 00000002 FFFFFFFF 10 = 00000002 00 0B 0EB 00000002 FFFFFFFF 11 = 00000002 00 0B 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 0B 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 0B 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 0B 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 0B 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 0B 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 0B 0F2 80000000 FFFFFFFF 10 = 80000000 00 0B 0F3 80000000 FFFFFFFF 11 = 80000000 00 0B 0F4 80000001 FFFFFFFF 00 = 80000000 00 0B 0F5 80000001 FFFFFFFF 01 = 80000000 00 0B 0F6 80000001 FFFFFFFF 10 = 80000001 00 0B 0F7 80000001 FFFFFFFF 11 = 80000001 00 0B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 0B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 0B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 0B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 0B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 0B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 0B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 0B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 sub ---D---- ---S---- CZ = ---Q---- CZ 0C 000 00000000 00000000 00 = 00000000 01 0C 001 00000000 00000000 01 = 00000000 01 0C 002 00000000 00000000 10 = 00000000 01 0C 003 00000000 00000000 11 = 00000000 01 0C 004 00000001 00000000 00 = 00000001 00 0C 005 00000001 00000000 01 = 00000001 00 0C 006 00000001 00000000 10 = 00000001 00 0C 007 00000001 00000000 11 = 00000001 00 0C 008 00000002 00000000 00 = 00000002 00 0C 009 00000002 00000000 01 = 00000002 00 0C 00A 00000002 00000000 10 = 00000002 00 0C 00B 00000002 00000000 11 = 00000002 00 0C 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 0C 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 0C 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 0C 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 0C 010 80000000 00000000 00 = 80000000 00 0C 011 80000000 00000000 01 = 80000000 00 0C 012 80000000 00000000 10 = 80000000 00 0C 013 80000000 00000000 11 = 80000000 00 0C 014 80000001 00000000 00 = 80000001 00 0C 015 80000001 00000000 01 = 80000001 00 0C 016 80000001 00000000 10 = 80000001 00 0C 017 80000001 00000000 11 = 80000001 00 0C 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 0C 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 0C 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 0C 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 0C 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 0C 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 0C 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 0C 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 0C 020 00000000 00000001 00 = FFFFFFFF 10 0C 021 00000000 00000001 01 = FFFFFFFF 10 0C 022 00000000 00000001 10 = FFFFFFFF 10 0C 023 00000000 00000001 11 = FFFFFFFF 10 0C 024 00000001 00000001 00 = 00000000 01 0C 025 00000001 00000001 01 = 00000000 01 0C 026 00000001 00000001 10 = 00000000 01 0C 027 00000001 00000001 11 = 00000000 01 0C 028 00000002 00000001 00 = 00000001 00 0C 029 00000002 00000001 01 = 00000001 00 0C 02A 00000002 00000001 10 = 00000001 00 0C 02B 00000002 00000001 11 = 00000001 00 0C 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 0C 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 0C 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 0C 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 0C 030 80000000 00000001 00 = 7FFFFFFF 00 0C 031 80000000 00000001 01 = 7FFFFFFF 00 0C 032 80000000 00000001 10 = 7FFFFFFF 00 0C 033 80000000 00000001 11 = 7FFFFFFF 00 0C 034 80000001 00000001 00 = 80000000 00 0C 035 80000001 00000001 01 = 80000000 00 0C 036 80000001 00000001 10 = 80000000 00 0C 037 80000001 00000001 11 = 80000000 00 0C 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 0C 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 0C 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 0C 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 0C 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 0C 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 0C 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 0C 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 0C 040 00000000 00000002 00 = FFFFFFFE 10 0C 041 00000000 00000002 01 = FFFFFFFE 10 0C 042 00000000 00000002 10 = FFFFFFFE 10 0C 043 00000000 00000002 11 = FFFFFFFE 10 0C 044 00000001 00000002 00 = FFFFFFFF 10 0C 045 00000001 00000002 01 = FFFFFFFF 10 0C 046 00000001 00000002 10 = FFFFFFFF 10 0C 047 00000001 00000002 11 = FFFFFFFF 10 0C 048 00000002 00000002 00 = 00000000 01 0C 049 00000002 00000002 01 = 00000000 01 0C 04A 00000002 00000002 10 = 00000000 01 0C 04B 00000002 00000002 11 = 00000000 01 0C 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 0C 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 0C 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 0C 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 0C 050 80000000 00000002 00 = 7FFFFFFE 00 0C 051 80000000 00000002 01 = 7FFFFFFE 00 0C 052 80000000 00000002 10 = 7FFFFFFE 00 0C 053 80000000 00000002 11 = 7FFFFFFE 00 0C 054 80000001 00000002 00 = 7FFFFFFF 00 0C 055 80000001 00000002 01 = 7FFFFFFF 00 0C 056 80000001 00000002 10 = 7FFFFFFF 00 0C 057 80000001 00000002 11 = 7FFFFFFF 00 0C 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 0C 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 0C 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 0C 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 0C 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 0C 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 0C 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 0C 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 0C 060 00000000 7FFFFFFF 00 = 80000001 10 0C 061 00000000 7FFFFFFF 01 = 80000001 10 0C 062 00000000 7FFFFFFF 10 = 80000001 10 0C 063 00000000 7FFFFFFF 11 = 80000001 10 0C 064 00000001 7FFFFFFF 00 = 80000002 10 0C 065 00000001 7FFFFFFF 01 = 80000002 10 0C 066 00000001 7FFFFFFF 10 = 80000002 10 0C 067 00000001 7FFFFFFF 11 = 80000002 10 0C 068 00000002 7FFFFFFF 00 = 80000003 10 0C 069 00000002 7FFFFFFF 01 = 80000003 10 0C 06A 00000002 7FFFFFFF 10 = 80000003 10 0C 06B 00000002 7FFFFFFF 11 = 80000003 10 0C 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 0C 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 0C 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 0C 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 0C 070 80000000 7FFFFFFF 00 = 00000001 00 0C 071 80000000 7FFFFFFF 01 = 00000001 00 0C 072 80000000 7FFFFFFF 10 = 00000001 00 0C 073 80000000 7FFFFFFF 11 = 00000001 00 0C 074 80000001 7FFFFFFF 00 = 00000002 00 0C 075 80000001 7FFFFFFF 01 = 00000002 00 0C 076 80000001 7FFFFFFF 10 = 00000002 00 0C 077 80000001 7FFFFFFF 11 = 00000002 00 0C 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 0C 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 0C 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 00 0C 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 00 0C 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 0C 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 0C 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 0C 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 0C 080 00000000 80000000 00 = 80000000 10 0C 081 00000000 80000000 01 = 80000000 10 0C 082 00000000 80000000 10 = 80000000 10 0C 083 00000000 80000000 11 = 80000000 10 0C 084 00000001 80000000 00 = 80000001 10 0C 085 00000001 80000000 01 = 80000001 10 0C 086 00000001 80000000 10 = 80000001 10 0C 087 00000001 80000000 11 = 80000001 10 0C 088 00000002 80000000 00 = 80000002 10 0C 089 00000002 80000000 01 = 80000002 10 0C 08A 00000002 80000000 10 = 80000002 10 0C 08B 00000002 80000000 11 = 80000002 10 0C 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 0C 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 0C 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 0C 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 0C 090 80000000 80000000 00 = 00000000 01 0C 091 80000000 80000000 01 = 00000000 01 0C 092 80000000 80000000 10 = 00000000 01 0C 093 80000000 80000000 11 = 00000000 01 0C 094 80000001 80000000 00 = 00000001 00 0C 095 80000001 80000000 01 = 00000001 00 0C 096 80000001 80000000 10 = 00000001 00 0C 097 80000001 80000000 11 = 00000001 00 0C 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 0C 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 0C 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 0C 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 0C 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 0C 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 0C 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 0C 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 0C 0A0 00000000 80000001 00 = 7FFFFFFF 10 0C 0A1 00000000 80000001 01 = 7FFFFFFF 10 0C 0A2 00000000 80000001 10 = 7FFFFFFF 10 0C 0A3 00000000 80000001 11 = 7FFFFFFF 10 0C 0A4 00000001 80000001 00 = 80000000 10 0C 0A5 00000001 80000001 01 = 80000000 10 0C 0A6 00000001 80000001 10 = 80000000 10 0C 0A7 00000001 80000001 11 = 80000000 10 0C 0A8 00000002 80000001 00 = 80000001 10 0C 0A9 00000002 80000001 01 = 80000001 10 0C 0AA 00000002 80000001 10 = 80000001 10 0C 0AB 00000002 80000001 11 = 80000001 10 0C 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 0C 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 0C 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 0C 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 0C 0B0 80000000 80000001 00 = FFFFFFFF 10 0C 0B1 80000000 80000001 01 = FFFFFFFF 10 0C 0B2 80000000 80000001 10 = FFFFFFFF 10 0C 0B3 80000000 80000001 11 = FFFFFFFF 10 0C 0B4 80000001 80000001 00 = 00000000 01 0C 0B5 80000001 80000001 01 = 00000000 01 0C 0B6 80000001 80000001 10 = 00000000 01 0C 0B7 80000001 80000001 11 = 00000000 01 0C 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 0C 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 0C 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 00 0C 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 00 0C 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 0C 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 0C 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 0C 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 0C 0C0 00000000 FFFFFFFE 00 = 00000002 10 0C 0C1 00000000 FFFFFFFE 01 = 00000002 10 0C 0C2 00000000 FFFFFFFE 10 = 00000002 10 0C 0C3 00000000 FFFFFFFE 11 = 00000002 10 0C 0C4 00000001 FFFFFFFE 00 = 00000003 10 0C 0C5 00000001 FFFFFFFE 01 = 00000003 10 0C 0C6 00000001 FFFFFFFE 10 = 00000003 10 0C 0C7 00000001 FFFFFFFE 11 = 00000003 10 0C 0C8 00000002 FFFFFFFE 00 = 00000004 10 0C 0C9 00000002 FFFFFFFE 01 = 00000004 10 0C 0CA 00000002 FFFFFFFE 10 = 00000004 10 0C 0CB 00000002 FFFFFFFE 11 = 00000004 10 0C 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 0C 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 0C 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 0C 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 0C 0D0 80000000 FFFFFFFE 00 = 80000002 10 0C 0D1 80000000 FFFFFFFE 01 = 80000002 10 0C 0D2 80000000 FFFFFFFE 10 = 80000002 10 0C 0D3 80000000 FFFFFFFE 11 = 80000002 10 0C 0D4 80000001 FFFFFFFE 00 = 80000003 10 0C 0D5 80000001 FFFFFFFE 01 = 80000003 10 0C 0D6 80000001 FFFFFFFE 10 = 80000003 10 0C 0D7 80000001 FFFFFFFE 11 = 80000003 10 0C 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 0C 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 0C 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 0C 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 0C 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 0C 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 0C 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 0C 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 0C 0E0 00000000 FFFFFFFF 00 = 00000001 10 0C 0E1 00000000 FFFFFFFF 01 = 00000001 10 0C 0E2 00000000 FFFFFFFF 10 = 00000001 10 0C 0E3 00000000 FFFFFFFF 11 = 00000001 10 0C 0E4 00000001 FFFFFFFF 00 = 00000002 10 0C 0E5 00000001 FFFFFFFF 01 = 00000002 10 0C 0E6 00000001 FFFFFFFF 10 = 00000002 10 0C 0E7 00000001 FFFFFFFF 11 = 00000002 10 0C 0E8 00000002 FFFFFFFF 00 = 00000003 10 0C 0E9 00000002 FFFFFFFF 01 = 00000003 10 0C 0EA 00000002 FFFFFFFF 10 = 00000003 10 0C 0EB 00000002 FFFFFFFF 11 = 00000003 10 0C 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 0C 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 0C 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 0C 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 0C 0F0 80000000 FFFFFFFF 00 = 80000001 10 0C 0F1 80000000 FFFFFFFF 01 = 80000001 10 0C 0F2 80000000 FFFFFFFF 10 = 80000001 10 0C 0F3 80000000 FFFFFFFF 11 = 80000001 10 0C 0F4 80000001 FFFFFFFF 00 = 80000002 10 0C 0F5 80000001 FFFFFFFF 01 = 80000002 10 0C 0F6 80000001 FFFFFFFF 10 = 80000002 10 0C 0F7 80000001 FFFFFFFF 11 = 80000002 10 0C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 0C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 0C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 0C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 0C 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 0C 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 0C 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 0C 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 subx ---D---- ---S---- CZ = ---Q---- CZ 0D 000 00000000 00000000 00 = 00000000 00 0D 001 00000000 00000000 01 = 00000000 01 0D 002 00000000 00000000 10 = FFFFFFFF 10 0D 003 00000000 00000000 11 = FFFFFFFF 10 0D 004 00000001 00000000 00 = 00000001 00 0D 005 00000001 00000000 01 = 00000001 00 0D 006 00000001 00000000 10 = 00000000 00 0D 007 00000001 00000000 11 = 00000000 01 0D 008 00000002 00000000 00 = 00000002 00 0D 009 00000002 00000000 01 = 00000002 00 0D 00A 00000002 00000000 10 = 00000001 00 0D 00B 00000002 00000000 11 = 00000001 00 0D 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 0D 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 0D 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 00 0D 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 00 0D 010 80000000 00000000 00 = 80000000 00 0D 011 80000000 00000000 01 = 80000000 00 0D 012 80000000 00000000 10 = 7FFFFFFF 00 0D 013 80000000 00000000 11 = 7FFFFFFF 00 0D 014 80000001 00000000 00 = 80000001 00 0D 015 80000001 00000000 01 = 80000001 00 0D 016 80000001 00000000 10 = 80000000 00 0D 017 80000001 00000000 11 = 80000000 00 0D 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 0D 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 0D 01A FFFFFFFE 00000000 10 = FFFFFFFD 00 0D 01B FFFFFFFE 00000000 11 = FFFFFFFD 00 0D 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 0D 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 0D 01E FFFFFFFF 00000000 10 = FFFFFFFE 00 0D 01F FFFFFFFF 00000000 11 = FFFFFFFE 00 0D 020 00000000 00000001 00 = FFFFFFFF 10 0D 021 00000000 00000001 01 = FFFFFFFF 10 0D 022 00000000 00000001 10 = FFFFFFFE 10 0D 023 00000000 00000001 11 = FFFFFFFE 10 0D 024 00000001 00000001 00 = 00000000 00 0D 025 00000001 00000001 01 = 00000000 01 0D 026 00000001 00000001 10 = FFFFFFFF 10 0D 027 00000001 00000001 11 = FFFFFFFF 10 0D 028 00000002 00000001 00 = 00000001 00 0D 029 00000002 00000001 01 = 00000001 00 0D 02A 00000002 00000001 10 = 00000000 00 0D 02B 00000002 00000001 11 = 00000000 01 0D 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 0D 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 0D 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 00 0D 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 00 0D 030 80000000 00000001 00 = 7FFFFFFF 00 0D 031 80000000 00000001 01 = 7FFFFFFF 00 0D 032 80000000 00000001 10 = 7FFFFFFE 00 0D 033 80000000 00000001 11 = 7FFFFFFE 00 0D 034 80000001 00000001 00 = 80000000 00 0D 035 80000001 00000001 01 = 80000000 00 0D 036 80000001 00000001 10 = 7FFFFFFF 00 0D 037 80000001 00000001 11 = 7FFFFFFF 00 0D 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 0D 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 0D 03A FFFFFFFE 00000001 10 = FFFFFFFC 00 0D 03B FFFFFFFE 00000001 11 = FFFFFFFC 00 0D 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 0D 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 0D 03E FFFFFFFF 00000001 10 = FFFFFFFD 00 0D 03F FFFFFFFF 00000001 11 = FFFFFFFD 00 0D 040 00000000 00000002 00 = FFFFFFFE 10 0D 041 00000000 00000002 01 = FFFFFFFE 10 0D 042 00000000 00000002 10 = FFFFFFFD 10 0D 043 00000000 00000002 11 = FFFFFFFD 10 0D 044 00000001 00000002 00 = FFFFFFFF 10 0D 045 00000001 00000002 01 = FFFFFFFF 10 0D 046 00000001 00000002 10 = FFFFFFFE 10 0D 047 00000001 00000002 11 = FFFFFFFE 10 0D 048 00000002 00000002 00 = 00000000 00 0D 049 00000002 00000002 01 = 00000000 01 0D 04A 00000002 00000002 10 = FFFFFFFF 10 0D 04B 00000002 00000002 11 = FFFFFFFF 10 0D 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 0D 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 0D 04E 7FFFFFFF 00000002 10 = 7FFFFFFC 00 0D 04F 7FFFFFFF 00000002 11 = 7FFFFFFC 00 0D 050 80000000 00000002 00 = 7FFFFFFE 00 0D 051 80000000 00000002 01 = 7FFFFFFE 00 0D 052 80000000 00000002 10 = 7FFFFFFD 00 0D 053 80000000 00000002 11 = 7FFFFFFD 00 0D 054 80000001 00000002 00 = 7FFFFFFF 00 0D 055 80000001 00000002 01 = 7FFFFFFF 00 0D 056 80000001 00000002 10 = 7FFFFFFE 00 0D 057 80000001 00000002 11 = 7FFFFFFE 00 0D 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 0D 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 0D 05A FFFFFFFE 00000002 10 = FFFFFFFB 00 0D 05B FFFFFFFE 00000002 11 = FFFFFFFB 00 0D 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 0D 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 0D 05E FFFFFFFF 00000002 10 = FFFFFFFC 00 0D 05F FFFFFFFF 00000002 11 = FFFFFFFC 00 0D 060 00000000 7FFFFFFF 00 = 80000001 10 0D 061 00000000 7FFFFFFF 01 = 80000001 10 0D 062 00000000 7FFFFFFF 10 = 80000000 10 0D 063 00000000 7FFFFFFF 11 = 80000000 10 0D 064 00000001 7FFFFFFF 00 = 80000002 10 0D 065 00000001 7FFFFFFF 01 = 80000002 10 0D 066 00000001 7FFFFFFF 10 = 80000001 10 0D 067 00000001 7FFFFFFF 11 = 80000001 10 0D 068 00000002 7FFFFFFF 00 = 80000003 10 0D 069 00000002 7FFFFFFF 01 = 80000003 10 0D 06A 00000002 7FFFFFFF 10 = 80000002 10 0D 06B 00000002 7FFFFFFF 11 = 80000002 10 0D 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 00 0D 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 0D 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 0D 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 0D 070 80000000 7FFFFFFF 00 = 00000001 00 0D 071 80000000 7FFFFFFF 01 = 00000001 00 0D 072 80000000 7FFFFFFF 10 = 00000000 00 0D 073 80000000 7FFFFFFF 11 = 00000000 01 0D 074 80000001 7FFFFFFF 00 = 00000002 00 0D 075 80000001 7FFFFFFF 01 = 00000002 00 0D 076 80000001 7FFFFFFF 10 = 00000001 00 0D 077 80000001 7FFFFFFF 11 = 00000001 00 0D 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 0D 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 0D 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 00 0D 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 00 0D 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 0D 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 0D 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 0D 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 0D 080 00000000 80000000 00 = 80000000 10 0D 081 00000000 80000000 01 = 80000000 10 0D 082 00000000 80000000 10 = 7FFFFFFF 10 0D 083 00000000 80000000 11 = 7FFFFFFF 10 0D 084 00000001 80000000 00 = 80000001 10 0D 085 00000001 80000000 01 = 80000001 10 0D 086 00000001 80000000 10 = 80000000 10 0D 087 00000001 80000000 11 = 80000000 10 0D 088 00000002 80000000 00 = 80000002 10 0D 089 00000002 80000000 01 = 80000002 10 0D 08A 00000002 80000000 10 = 80000001 10 0D 08B 00000002 80000000 11 = 80000001 10 0D 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 0D 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 0D 08E 7FFFFFFF 80000000 10 = FFFFFFFE 10 0D 08F 7FFFFFFF 80000000 11 = FFFFFFFE 10 0D 090 80000000 80000000 00 = 00000000 00 0D 091 80000000 80000000 01 = 00000000 01 0D 092 80000000 80000000 10 = FFFFFFFF 10 0D 093 80000000 80000000 11 = FFFFFFFF 10 0D 094 80000001 80000000 00 = 00000001 00 0D 095 80000001 80000000 01 = 00000001 00 0D 096 80000001 80000000 10 = 00000000 00 0D 097 80000001 80000000 11 = 00000000 01 0D 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 0D 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 0D 09A FFFFFFFE 80000000 10 = 7FFFFFFD 00 0D 09B FFFFFFFE 80000000 11 = 7FFFFFFD 00 0D 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 0D 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 0D 09E FFFFFFFF 80000000 10 = 7FFFFFFE 00 0D 09F FFFFFFFF 80000000 11 = 7FFFFFFE 00 0D 0A0 00000000 80000001 00 = 7FFFFFFF 10 0D 0A1 00000000 80000001 01 = 7FFFFFFF 10 0D 0A2 00000000 80000001 10 = 7FFFFFFE 10 0D 0A3 00000000 80000001 11 = 7FFFFFFE 10 0D 0A4 00000001 80000001 00 = 80000000 10 0D 0A5 00000001 80000001 01 = 80000000 10 0D 0A6 00000001 80000001 10 = 7FFFFFFF 10 0D 0A7 00000001 80000001 11 = 7FFFFFFF 10 0D 0A8 00000002 80000001 00 = 80000001 10 0D 0A9 00000002 80000001 01 = 80000001 10 0D 0AA 00000002 80000001 10 = 80000000 10 0D 0AB 00000002 80000001 11 = 80000000 10 0D 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 0D 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 0D 0AE 7FFFFFFF 80000001 10 = FFFFFFFD 10 0D 0AF 7FFFFFFF 80000001 11 = FFFFFFFD 10 0D 0B0 80000000 80000001 00 = FFFFFFFF 10 0D 0B1 80000000 80000001 01 = FFFFFFFF 10 0D 0B2 80000000 80000001 10 = FFFFFFFE 10 0D 0B3 80000000 80000001 11 = FFFFFFFE 10 0D 0B4 80000001 80000001 00 = 00000000 00 0D 0B5 80000001 80000001 01 = 00000000 01 0D 0B6 80000001 80000001 10 = FFFFFFFF 10 0D 0B7 80000001 80000001 11 = FFFFFFFF 10 0D 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 0D 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 0D 0BA FFFFFFFE 80000001 10 = 7FFFFFFC 00 0D 0BB FFFFFFFE 80000001 11 = 7FFFFFFC 00 0D 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 0D 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 0D 0BE FFFFFFFF 80000001 10 = 7FFFFFFD 00 0D 0BF FFFFFFFF 80000001 11 = 7FFFFFFD 00 0D 0C0 00000000 FFFFFFFE 00 = 00000002 10 0D 0C1 00000000 FFFFFFFE 01 = 00000002 10 0D 0C2 00000000 FFFFFFFE 10 = 00000001 10 0D 0C3 00000000 FFFFFFFE 11 = 00000001 10 0D 0C4 00000001 FFFFFFFE 00 = 00000003 10 0D 0C5 00000001 FFFFFFFE 01 = 00000003 10 0D 0C6 00000001 FFFFFFFE 10 = 00000002 10 0D 0C7 00000001 FFFFFFFE 11 = 00000002 10 0D 0C8 00000002 FFFFFFFE 00 = 00000004 10 0D 0C9 00000002 FFFFFFFE 01 = 00000004 10 0D 0CA 00000002 FFFFFFFE 10 = 00000003 10 0D 0CB 00000002 FFFFFFFE 11 = 00000003 10 0D 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 0D 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 0D 0CE 7FFFFFFF FFFFFFFE 10 = 80000000 10 0D 0CF 7FFFFFFF FFFFFFFE 11 = 80000000 10 0D 0D0 80000000 FFFFFFFE 00 = 80000002 10 0D 0D1 80000000 FFFFFFFE 01 = 80000002 10 0D 0D2 80000000 FFFFFFFE 10 = 80000001 10 0D 0D3 80000000 FFFFFFFE 11 = 80000001 10 0D 0D4 80000001 FFFFFFFE 00 = 80000003 10 0D 0D5 80000001 FFFFFFFE 01 = 80000003 10 0D 0D6 80000001 FFFFFFFE 10 = 80000002 10 0D 0D7 80000001 FFFFFFFE 11 = 80000002 10 0D 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 00 0D 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 0D 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 0D 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 10 0D 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 0D 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 0D 0DE FFFFFFFF FFFFFFFE 10 = 00000000 00 0D 0DF FFFFFFFF FFFFFFFE 11 = 00000000 01 0D 0E0 00000000 FFFFFFFF 00 = 00000001 10 0D 0E1 00000000 FFFFFFFF 01 = 00000001 10 0D 0E2 00000000 FFFFFFFF 10 = 00000000 10 0D 0E3 00000000 FFFFFFFF 11 = 00000000 11 0D 0E4 00000001 FFFFFFFF 00 = 00000002 10 0D 0E5 00000001 FFFFFFFF 01 = 00000002 10 0D 0E6 00000001 FFFFFFFF 10 = 00000001 10 0D 0E7 00000001 FFFFFFFF 11 = 00000001 10 0D 0E8 00000002 FFFFFFFF 00 = 00000003 10 0D 0E9 00000002 FFFFFFFF 01 = 00000003 10 0D 0EA 00000002 FFFFFFFF 10 = 00000002 10 0D 0EB 00000002 FFFFFFFF 11 = 00000002 10 0D 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 0D 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 0D 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 0D 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 0D 0F0 80000000 FFFFFFFF 00 = 80000001 10 0D 0F1 80000000 FFFFFFFF 01 = 80000001 10 0D 0F2 80000000 FFFFFFFF 10 = 80000000 10 0D 0F3 80000000 FFFFFFFF 11 = 80000000 10 0D 0F4 80000001 FFFFFFFF 00 = 80000002 10 0D 0F5 80000001 FFFFFFFF 01 = 80000002 10 0D 0F6 80000001 FFFFFFFF 10 = 80000001 10 0D 0F7 80000001 FFFFFFFF 11 = 80000001 10 0D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 0D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 0D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 0D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 0D 0FC FFFFFFFF FFFFFFFF 00 = 00000000 00 0D 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 0D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 0D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 subs ---D---- ---S---- CZ = ---Q---- CZ 0E 000 00000000 00000000 00 = 00000000 01 0E 001 00000000 00000000 01 = 00000000 01 0E 002 00000000 00000000 10 = 00000000 01 0E 003 00000000 00000000 11 = 00000000 01 0E 004 00000001 00000000 00 = 00000001 00 0E 005 00000001 00000000 01 = 00000001 00 0E 006 00000001 00000000 10 = 00000001 00 0E 007 00000001 00000000 11 = 00000001 00 0E 008 00000002 00000000 00 = 00000002 00 0E 009 00000002 00000000 01 = 00000002 00 0E 00A 00000002 00000000 10 = 00000002 00 0E 00B 00000002 00000000 11 = 00000002 00 0E 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 0E 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 0E 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 0E 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 0E 010 80000000 00000000 00 = 80000000 00 0E 011 80000000 00000000 01 = 80000000 00 0E 012 80000000 00000000 10 = 80000000 00 0E 013 80000000 00000000 11 = 80000000 00 0E 014 80000001 00000000 00 = 80000001 00 0E 015 80000001 00000000 01 = 80000001 00 0E 016 80000001 00000000 10 = 80000001 00 0E 017 80000001 00000000 11 = 80000001 00 0E 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 0E 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 0E 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 0E 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 0E 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 0E 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 0E 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 0E 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 0E 020 00000000 00000001 00 = FFFFFFFF 00 0E 021 00000000 00000001 01 = FFFFFFFF 00 0E 022 00000000 00000001 10 = FFFFFFFF 00 0E 023 00000000 00000001 11 = FFFFFFFF 00 0E 024 00000001 00000001 00 = 00000000 01 0E 025 00000001 00000001 01 = 00000000 01 0E 026 00000001 00000001 10 = 00000000 01 0E 027 00000001 00000001 11 = 00000000 01 0E 028 00000002 00000001 00 = 00000001 00 0E 029 00000002 00000001 01 = 00000001 00 0E 02A 00000002 00000001 10 = 00000001 00 0E 02B 00000002 00000001 11 = 00000001 00 0E 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 0E 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 0E 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 0E 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 0E 030 80000000 00000001 00 = 7FFFFFFF 10 0E 031 80000000 00000001 01 = 7FFFFFFF 10 0E 032 80000000 00000001 10 = 7FFFFFFF 10 0E 033 80000000 00000001 11 = 7FFFFFFF 10 0E 034 80000001 00000001 00 = 80000000 00 0E 035 80000001 00000001 01 = 80000000 00 0E 036 80000001 00000001 10 = 80000000 00 0E 037 80000001 00000001 11 = 80000000 00 0E 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 0E 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 0E 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 0E 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 0E 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 0E 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 0E 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 0E 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 0E 040 00000000 00000002 00 = FFFFFFFE 00 0E 041 00000000 00000002 01 = FFFFFFFE 00 0E 042 00000000 00000002 10 = FFFFFFFE 00 0E 043 00000000 00000002 11 = FFFFFFFE 00 0E 044 00000001 00000002 00 = FFFFFFFF 00 0E 045 00000001 00000002 01 = FFFFFFFF 00 0E 046 00000001 00000002 10 = FFFFFFFF 00 0E 047 00000001 00000002 11 = FFFFFFFF 00 0E 048 00000002 00000002 00 = 00000000 01 0E 049 00000002 00000002 01 = 00000000 01 0E 04A 00000002 00000002 10 = 00000000 01 0E 04B 00000002 00000002 11 = 00000000 01 0E 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 0E 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 0E 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 0E 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 0E 050 80000000 00000002 00 = 7FFFFFFE 10 0E 051 80000000 00000002 01 = 7FFFFFFE 10 0E 052 80000000 00000002 10 = 7FFFFFFE 10 0E 053 80000000 00000002 11 = 7FFFFFFE 10 0E 054 80000001 00000002 00 = 7FFFFFFF 10 0E 055 80000001 00000002 01 = 7FFFFFFF 10 0E 056 80000001 00000002 10 = 7FFFFFFF 10 0E 057 80000001 00000002 11 = 7FFFFFFF 10 0E 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 0E 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 0E 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 0E 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 0E 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 0E 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 0E 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 0E 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 0E 060 00000000 7FFFFFFF 00 = 80000001 00 0E 061 00000000 7FFFFFFF 01 = 80000001 00 0E 062 00000000 7FFFFFFF 10 = 80000001 00 0E 063 00000000 7FFFFFFF 11 = 80000001 00 0E 064 00000001 7FFFFFFF 00 = 80000002 00 0E 065 00000001 7FFFFFFF 01 = 80000002 00 0E 066 00000001 7FFFFFFF 10 = 80000002 00 0E 067 00000001 7FFFFFFF 11 = 80000002 00 0E 068 00000002 7FFFFFFF 00 = 80000003 00 0E 069 00000002 7FFFFFFF 01 = 80000003 00 0E 06A 00000002 7FFFFFFF 10 = 80000003 00 0E 06B 00000002 7FFFFFFF 11 = 80000003 00 0E 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 0E 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 0E 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 0E 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 0E 070 80000000 7FFFFFFF 00 = 00000001 10 0E 071 80000000 7FFFFFFF 01 = 00000001 10 0E 072 80000000 7FFFFFFF 10 = 00000001 10 0E 073 80000000 7FFFFFFF 11 = 00000001 10 0E 074 80000001 7FFFFFFF 00 = 00000002 10 0E 075 80000001 7FFFFFFF 01 = 00000002 10 0E 076 80000001 7FFFFFFF 10 = 00000002 10 0E 077 80000001 7FFFFFFF 11 = 00000002 10 0E 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 0E 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 0E 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 0E 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 0E 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 0E 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 0E 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 0E 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 0E 080 00000000 80000000 00 = 80000000 10 0E 081 00000000 80000000 01 = 80000000 10 0E 082 00000000 80000000 10 = 80000000 10 0E 083 00000000 80000000 11 = 80000000 10 0E 084 00000001 80000000 00 = 80000001 10 0E 085 00000001 80000000 01 = 80000001 10 0E 086 00000001 80000000 10 = 80000001 10 0E 087 00000001 80000000 11 = 80000001 10 0E 088 00000002 80000000 00 = 80000002 10 0E 089 00000002 80000000 01 = 80000002 10 0E 08A 00000002 80000000 10 = 80000002 10 0E 08B 00000002 80000000 11 = 80000002 10 0E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 0E 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 0E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 0E 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 0E 090 80000000 80000000 00 = 00000000 01 0E 091 80000000 80000000 01 = 00000000 01 0E 092 80000000 80000000 10 = 00000000 01 0E 093 80000000 80000000 11 = 00000000 01 0E 094 80000001 80000000 00 = 00000001 00 0E 095 80000001 80000000 01 = 00000001 00 0E 096 80000001 80000000 10 = 00000001 00 0E 097 80000001 80000000 11 = 00000001 00 0E 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 0E 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 0E 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 0E 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 0E 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 0E 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 0E 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 0E 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 0E 0A0 00000000 80000001 00 = 7FFFFFFF 00 0E 0A1 00000000 80000001 01 = 7FFFFFFF 00 0E 0A2 00000000 80000001 10 = 7FFFFFFF 00 0E 0A3 00000000 80000001 11 = 7FFFFFFF 00 0E 0A4 00000001 80000001 00 = 80000000 10 0E 0A5 00000001 80000001 01 = 80000000 10 0E 0A6 00000001 80000001 10 = 80000000 10 0E 0A7 00000001 80000001 11 = 80000000 10 0E 0A8 00000002 80000001 00 = 80000001 10 0E 0A9 00000002 80000001 01 = 80000001 10 0E 0AA 00000002 80000001 10 = 80000001 10 0E 0AB 00000002 80000001 11 = 80000001 10 0E 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 0E 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 0E 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 0E 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 0E 0B0 80000000 80000001 00 = FFFFFFFF 00 0E 0B1 80000000 80000001 01 = FFFFFFFF 00 0E 0B2 80000000 80000001 10 = FFFFFFFF 00 0E 0B3 80000000 80000001 11 = FFFFFFFF 00 0E 0B4 80000001 80000001 00 = 00000000 01 0E 0B5 80000001 80000001 01 = 00000000 01 0E 0B6 80000001 80000001 10 = 00000000 01 0E 0B7 80000001 80000001 11 = 00000000 01 0E 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 0E 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 0E 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 00 0E 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 00 0E 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 0E 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 0E 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 0E 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 0E 0C0 00000000 FFFFFFFE 00 = 00000002 00 0E 0C1 00000000 FFFFFFFE 01 = 00000002 00 0E 0C2 00000000 FFFFFFFE 10 = 00000002 00 0E 0C3 00000000 FFFFFFFE 11 = 00000002 00 0E 0C4 00000001 FFFFFFFE 00 = 00000003 00 0E 0C5 00000001 FFFFFFFE 01 = 00000003 00 0E 0C6 00000001 FFFFFFFE 10 = 00000003 00 0E 0C7 00000001 FFFFFFFE 11 = 00000003 00 0E 0C8 00000002 FFFFFFFE 00 = 00000004 00 0E 0C9 00000002 FFFFFFFE 01 = 00000004 00 0E 0CA 00000002 FFFFFFFE 10 = 00000004 00 0E 0CB 00000002 FFFFFFFE 11 = 00000004 00 0E 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 0E 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 0E 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 0E 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 0E 0D0 80000000 FFFFFFFE 00 = 80000002 00 0E 0D1 80000000 FFFFFFFE 01 = 80000002 00 0E 0D2 80000000 FFFFFFFE 10 = 80000002 00 0E 0D3 80000000 FFFFFFFE 11 = 80000002 00 0E 0D4 80000001 FFFFFFFE 00 = 80000003 00 0E 0D5 80000001 FFFFFFFE 01 = 80000003 00 0E 0D6 80000001 FFFFFFFE 10 = 80000003 00 0E 0D7 80000001 FFFFFFFE 11 = 80000003 00 0E 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 0E 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 0E 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 0E 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 0E 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 0E 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 0E 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 0E 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 0E 0E0 00000000 FFFFFFFF 00 = 00000001 00 0E 0E1 00000000 FFFFFFFF 01 = 00000001 00 0E 0E2 00000000 FFFFFFFF 10 = 00000001 00 0E 0E3 00000000 FFFFFFFF 11 = 00000001 00 0E 0E4 00000001 FFFFFFFF 00 = 00000002 00 0E 0E5 00000001 FFFFFFFF 01 = 00000002 00 0E 0E6 00000001 FFFFFFFF 10 = 00000002 00 0E 0E7 00000001 FFFFFFFF 11 = 00000002 00 0E 0E8 00000002 FFFFFFFF 00 = 00000003 00 0E 0E9 00000002 FFFFFFFF 01 = 00000003 00 0E 0EA 00000002 FFFFFFFF 10 = 00000003 00 0E 0EB 00000002 FFFFFFFF 11 = 00000003 00 0E 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 0E 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 0E 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 0E 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 0E 0F0 80000000 FFFFFFFF 00 = 80000001 00 0E 0F1 80000000 FFFFFFFF 01 = 80000001 00 0E 0F2 80000000 FFFFFFFF 10 = 80000001 00 0E 0F3 80000000 FFFFFFFF 11 = 80000001 00 0E 0F4 80000001 FFFFFFFF 00 = 80000002 00 0E 0F5 80000001 FFFFFFFF 01 = 80000002 00 0E 0F6 80000001 FFFFFFFF 10 = 80000002 00 0E 0F7 80000001 FFFFFFFF 11 = 80000002 00 0E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 0E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 0E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 0E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 0E 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 0E 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 0E 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 0E 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 subsx ---D---- ---S---- CZ = ---Q---- CZ 0F 000 00000000 00000000 00 = 00000000 00 0F 001 00000000 00000000 01 = 00000000 01 0F 002 00000000 00000000 10 = FFFFFFFF 00 0F 003 00000000 00000000 11 = FFFFFFFF 00 0F 004 00000001 00000000 00 = 00000001 00 0F 005 00000001 00000000 01 = 00000001 00 0F 006 00000001 00000000 10 = 00000000 00 0F 007 00000001 00000000 11 = 00000000 01 0F 008 00000002 00000000 00 = 00000002 00 0F 009 00000002 00000000 01 = 00000002 00 0F 00A 00000002 00000000 10 = 00000001 00 0F 00B 00000002 00000000 11 = 00000001 00 0F 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 0F 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 0F 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 00 0F 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 00 0F 010 80000000 00000000 00 = 80000000 00 0F 011 80000000 00000000 01 = 80000000 00 0F 012 80000000 00000000 10 = 7FFFFFFF 10 0F 013 80000000 00000000 11 = 7FFFFFFF 10 0F 014 80000001 00000000 00 = 80000001 00 0F 015 80000001 00000000 01 = 80000001 00 0F 016 80000001 00000000 10 = 80000000 00 0F 017 80000001 00000000 11 = 80000000 00 0F 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 0F 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 0F 01A FFFFFFFE 00000000 10 = FFFFFFFD 00 0F 01B FFFFFFFE 00000000 11 = FFFFFFFD 00 0F 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 0F 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 0F 01E FFFFFFFF 00000000 10 = FFFFFFFE 00 0F 01F FFFFFFFF 00000000 11 = FFFFFFFE 00 0F 020 00000000 00000001 00 = FFFFFFFF 00 0F 021 00000000 00000001 01 = FFFFFFFF 00 0F 022 00000000 00000001 10 = FFFFFFFE 00 0F 023 00000000 00000001 11 = FFFFFFFE 00 0F 024 00000001 00000001 00 = 00000000 00 0F 025 00000001 00000001 01 = 00000000 01 0F 026 00000001 00000001 10 = FFFFFFFF 00 0F 027 00000001 00000001 11 = FFFFFFFF 00 0F 028 00000002 00000001 00 = 00000001 00 0F 029 00000002 00000001 01 = 00000001 00 0F 02A 00000002 00000001 10 = 00000000 00 0F 02B 00000002 00000001 11 = 00000000 01 0F 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 0F 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 0F 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 00 0F 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 00 0F 030 80000000 00000001 00 = 7FFFFFFF 10 0F 031 80000000 00000001 01 = 7FFFFFFF 10 0F 032 80000000 00000001 10 = 7FFFFFFE 10 0F 033 80000000 00000001 11 = 7FFFFFFE 10 0F 034 80000001 00000001 00 = 80000000 00 0F 035 80000001 00000001 01 = 80000000 00 0F 036 80000001 00000001 10 = 7FFFFFFF 10 0F 037 80000001 00000001 11 = 7FFFFFFF 10 0F 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 0F 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 0F 03A FFFFFFFE 00000001 10 = FFFFFFFC 00 0F 03B FFFFFFFE 00000001 11 = FFFFFFFC 00 0F 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 0F 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 0F 03E FFFFFFFF 00000001 10 = FFFFFFFD 00 0F 03F FFFFFFFF 00000001 11 = FFFFFFFD 00 0F 040 00000000 00000002 00 = FFFFFFFE 00 0F 041 00000000 00000002 01 = FFFFFFFE 00 0F 042 00000000 00000002 10 = FFFFFFFD 00 0F 043 00000000 00000002 11 = FFFFFFFD 00 0F 044 00000001 00000002 00 = FFFFFFFF 00 0F 045 00000001 00000002 01 = FFFFFFFF 00 0F 046 00000001 00000002 10 = FFFFFFFE 00 0F 047 00000001 00000002 11 = FFFFFFFE 00 0F 048 00000002 00000002 00 = 00000000 00 0F 049 00000002 00000002 01 = 00000000 01 0F 04A 00000002 00000002 10 = FFFFFFFF 00 0F 04B 00000002 00000002 11 = FFFFFFFF 00 0F 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 0F 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 0F 04E 7FFFFFFF 00000002 10 = 7FFFFFFC 00 0F 04F 7FFFFFFF 00000002 11 = 7FFFFFFC 00 0F 050 80000000 00000002 00 = 7FFFFFFE 10 0F 051 80000000 00000002 01 = 7FFFFFFE 10 0F 052 80000000 00000002 10 = 7FFFFFFD 10 0F 053 80000000 00000002 11 = 7FFFFFFD 10 0F 054 80000001 00000002 00 = 7FFFFFFF 10 0F 055 80000001 00000002 01 = 7FFFFFFF 10 0F 056 80000001 00000002 10 = 7FFFFFFE 10 0F 057 80000001 00000002 11 = 7FFFFFFE 10 0F 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 0F 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 0F 05A FFFFFFFE 00000002 10 = FFFFFFFB 00 0F 05B FFFFFFFE 00000002 11 = FFFFFFFB 00 0F 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 0F 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 0F 05E FFFFFFFF 00000002 10 = FFFFFFFC 00 0F 05F FFFFFFFF 00000002 11 = FFFFFFFC 00 0F 060 00000000 7FFFFFFF 00 = 80000001 00 0F 061 00000000 7FFFFFFF 01 = 80000001 00 0F 062 00000000 7FFFFFFF 10 = 80000000 00 0F 063 00000000 7FFFFFFF 11 = 80000000 00 0F 064 00000001 7FFFFFFF 00 = 80000002 00 0F 065 00000001 7FFFFFFF 01 = 80000002 00 0F 066 00000001 7FFFFFFF 10 = 80000001 00 0F 067 00000001 7FFFFFFF 11 = 80000001 00 0F 068 00000002 7FFFFFFF 00 = 80000003 00 0F 069 00000002 7FFFFFFF 01 = 80000003 00 0F 06A 00000002 7FFFFFFF 10 = 80000002 00 0F 06B 00000002 7FFFFFFF 11 = 80000002 00 0F 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 00 0F 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 0F 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 0F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 0F 070 80000000 7FFFFFFF 00 = 00000001 10 0F 071 80000000 7FFFFFFF 01 = 00000001 10 0F 072 80000000 7FFFFFFF 10 = 00000000 10 0F 073 80000000 7FFFFFFF 11 = 00000000 11 0F 074 80000001 7FFFFFFF 00 = 00000002 10 0F 075 80000001 7FFFFFFF 01 = 00000002 10 0F 076 80000001 7FFFFFFF 10 = 00000001 10 0F 077 80000001 7FFFFFFF 11 = 00000001 10 0F 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 0F 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 0F 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 10 0F 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 10 0F 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 0F 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 0F 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 0F 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 0F 080 00000000 80000000 00 = 80000000 10 0F 081 00000000 80000000 01 = 80000000 10 0F 082 00000000 80000000 10 = 7FFFFFFF 00 0F 083 00000000 80000000 11 = 7FFFFFFF 00 0F 084 00000001 80000000 00 = 80000001 10 0F 085 00000001 80000000 01 = 80000001 10 0F 086 00000001 80000000 10 = 80000000 10 0F 087 00000001 80000000 11 = 80000000 10 0F 088 00000002 80000000 00 = 80000002 10 0F 089 00000002 80000000 01 = 80000002 10 0F 08A 00000002 80000000 10 = 80000001 10 0F 08B 00000002 80000000 11 = 80000001 10 0F 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 0F 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 0F 08E 7FFFFFFF 80000000 10 = FFFFFFFE 10 0F 08F 7FFFFFFF 80000000 11 = FFFFFFFE 10 0F 090 80000000 80000000 00 = 00000000 00 0F 091 80000000 80000000 01 = 00000000 01 0F 092 80000000 80000000 10 = FFFFFFFF 00 0F 093 80000000 80000000 11 = FFFFFFFF 00 0F 094 80000001 80000000 00 = 00000001 00 0F 095 80000001 80000000 01 = 00000001 00 0F 096 80000001 80000000 10 = 00000000 00 0F 097 80000001 80000000 11 = 00000000 01 0F 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 0F 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 0F 09A FFFFFFFE 80000000 10 = 7FFFFFFD 00 0F 09B FFFFFFFE 80000000 11 = 7FFFFFFD 00 0F 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 0F 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 0F 09E FFFFFFFF 80000000 10 = 7FFFFFFE 00 0F 09F FFFFFFFF 80000000 11 = 7FFFFFFE 00 0F 0A0 00000000 80000001 00 = 7FFFFFFF 00 0F 0A1 00000000 80000001 01 = 7FFFFFFF 00 0F 0A2 00000000 80000001 10 = 7FFFFFFE 00 0F 0A3 00000000 80000001 11 = 7FFFFFFE 00 0F 0A4 00000001 80000001 00 = 80000000 10 0F 0A5 00000001 80000001 01 = 80000000 10 0F 0A6 00000001 80000001 10 = 7FFFFFFF 00 0F 0A7 00000001 80000001 11 = 7FFFFFFF 00 0F 0A8 00000002 80000001 00 = 80000001 10 0F 0A9 00000002 80000001 01 = 80000001 10 0F 0AA 00000002 80000001 10 = 80000000 10 0F 0AB 00000002 80000001 11 = 80000000 10 0F 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 0F 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 0F 0AE 7FFFFFFF 80000001 10 = FFFFFFFD 10 0F 0AF 7FFFFFFF 80000001 11 = FFFFFFFD 10 0F 0B0 80000000 80000001 00 = FFFFFFFF 00 0F 0B1 80000000 80000001 01 = FFFFFFFF 00 0F 0B2 80000000 80000001 10 = FFFFFFFE 00 0F 0B3 80000000 80000001 11 = FFFFFFFE 00 0F 0B4 80000001 80000001 00 = 00000000 00 0F 0B5 80000001 80000001 01 = 00000000 01 0F 0B6 80000001 80000001 10 = FFFFFFFF 00 0F 0B7 80000001 80000001 11 = FFFFFFFF 00 0F 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 0F 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 0F 0BA FFFFFFFE 80000001 10 = 7FFFFFFC 00 0F 0BB FFFFFFFE 80000001 11 = 7FFFFFFC 00 0F 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 0F 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 0F 0BE FFFFFFFF 80000001 10 = 7FFFFFFD 00 0F 0BF FFFFFFFF 80000001 11 = 7FFFFFFD 00 0F 0C0 00000000 FFFFFFFE 00 = 00000002 00 0F 0C1 00000000 FFFFFFFE 01 = 00000002 00 0F 0C2 00000000 FFFFFFFE 10 = 00000001 00 0F 0C3 00000000 FFFFFFFE 11 = 00000001 00 0F 0C4 00000001 FFFFFFFE 00 = 00000003 00 0F 0C5 00000001 FFFFFFFE 01 = 00000003 00 0F 0C6 00000001 FFFFFFFE 10 = 00000002 00 0F 0C7 00000001 FFFFFFFE 11 = 00000002 00 0F 0C8 00000002 FFFFFFFE 00 = 00000004 00 0F 0C9 00000002 FFFFFFFE 01 = 00000004 00 0F 0CA 00000002 FFFFFFFE 10 = 00000003 00 0F 0CB 00000002 FFFFFFFE 11 = 00000003 00 0F 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 0F 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 0F 0CE 7FFFFFFF FFFFFFFE 10 = 80000000 10 0F 0CF 7FFFFFFF FFFFFFFE 11 = 80000000 10 0F 0D0 80000000 FFFFFFFE 00 = 80000002 00 0F 0D1 80000000 FFFFFFFE 01 = 80000002 00 0F 0D2 80000000 FFFFFFFE 10 = 80000001 00 0F 0D3 80000000 FFFFFFFE 11 = 80000001 00 0F 0D4 80000001 FFFFFFFE 00 = 80000003 00 0F 0D5 80000001 FFFFFFFE 01 = 80000003 00 0F 0D6 80000001 FFFFFFFE 10 = 80000002 00 0F 0D7 80000001 FFFFFFFE 11 = 80000002 00 0F 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 00 0F 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 0F 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 00 0F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 00 0F 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 0F 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 0F 0DE FFFFFFFF FFFFFFFE 10 = 00000000 00 0F 0DF FFFFFFFF FFFFFFFE 11 = 00000000 01 0F 0E0 00000000 FFFFFFFF 00 = 00000001 00 0F 0E1 00000000 FFFFFFFF 01 = 00000001 00 0F 0E2 00000000 FFFFFFFF 10 = 00000000 00 0F 0E3 00000000 FFFFFFFF 11 = 00000000 01 0F 0E4 00000001 FFFFFFFF 00 = 00000002 00 0F 0E5 00000001 FFFFFFFF 01 = 00000002 00 0F 0E6 00000001 FFFFFFFF 10 = 00000001 00 0F 0E7 00000001 FFFFFFFF 11 = 00000001 00 0F 0E8 00000002 FFFFFFFF 00 = 00000003 00 0F 0E9 00000002 FFFFFFFF 01 = 00000003 00 0F 0EA 00000002 FFFFFFFF 10 = 00000002 00 0F 0EB 00000002 FFFFFFFF 11 = 00000002 00 0F 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 0F 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 0F 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 0F 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 0F 0F0 80000000 FFFFFFFF 00 = 80000001 00 0F 0F1 80000000 FFFFFFFF 01 = 80000001 00 0F 0F2 80000000 FFFFFFFF 10 = 80000000 00 0F 0F3 80000000 FFFFFFFF 11 = 80000000 00 0F 0F4 80000001 FFFFFFFF 00 = 80000002 00 0F 0F5 80000001 FFFFFFFF 01 = 80000002 00 0F 0F6 80000001 FFFFFFFF 10 = 80000001 00 0F 0F7 80000001 FFFFFFFF 11 = 80000001 00 0F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 0F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 0F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 0F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 0F 0FC FFFFFFFF FFFFFFFF 00 = 00000000 00 0F 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 0F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 0F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 cmp ---D---- ---S---- CZ = ---Q---- CZ 10 000 00000000 00000000 00 = 00000000 01 10 001 00000000 00000000 01 = 00000000 01 10 002 00000000 00000000 10 = 00000000 01 10 003 00000000 00000000 11 = 00000000 01 10 004 00000001 00000000 00 = 00000001 00 10 005 00000001 00000000 01 = 00000001 00 10 006 00000001 00000000 10 = 00000001 00 10 007 00000001 00000000 11 = 00000001 00 10 008 00000002 00000000 00 = 00000002 00 10 009 00000002 00000000 01 = 00000002 00 10 00A 00000002 00000000 10 = 00000002 00 10 00B 00000002 00000000 11 = 00000002 00 10 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 10 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 10 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 10 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 10 010 80000000 00000000 00 = 80000000 00 10 011 80000000 00000000 01 = 80000000 00 10 012 80000000 00000000 10 = 80000000 00 10 013 80000000 00000000 11 = 80000000 00 10 014 80000001 00000000 00 = 80000001 00 10 015 80000001 00000000 01 = 80000001 00 10 016 80000001 00000000 10 = 80000001 00 10 017 80000001 00000000 11 = 80000001 00 10 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 10 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 10 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 10 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 10 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 10 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 10 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 10 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 10 020 00000000 00000001 00 = 00000000 10 10 021 00000000 00000001 01 = 00000000 10 10 022 00000000 00000001 10 = 00000000 10 10 023 00000000 00000001 11 = 00000000 10 10 024 00000001 00000001 00 = 00000001 01 10 025 00000001 00000001 01 = 00000001 01 10 026 00000001 00000001 10 = 00000001 01 10 027 00000001 00000001 11 = 00000001 01 10 028 00000002 00000001 00 = 00000002 00 10 029 00000002 00000001 01 = 00000002 00 10 02A 00000002 00000001 10 = 00000002 00 10 02B 00000002 00000001 11 = 00000002 00 10 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 10 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 10 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 10 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 10 030 80000000 00000001 00 = 80000000 00 10 031 80000000 00000001 01 = 80000000 00 10 032 80000000 00000001 10 = 80000000 00 10 033 80000000 00000001 11 = 80000000 00 10 034 80000001 00000001 00 = 80000001 00 10 035 80000001 00000001 01 = 80000001 00 10 036 80000001 00000001 10 = 80000001 00 10 037 80000001 00000001 11 = 80000001 00 10 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 10 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 10 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 10 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 10 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 10 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 10 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 10 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 10 040 00000000 00000002 00 = 00000000 10 10 041 00000000 00000002 01 = 00000000 10 10 042 00000000 00000002 10 = 00000000 10 10 043 00000000 00000002 11 = 00000000 10 10 044 00000001 00000002 00 = 00000001 10 10 045 00000001 00000002 01 = 00000001 10 10 046 00000001 00000002 10 = 00000001 10 10 047 00000001 00000002 11 = 00000001 10 10 048 00000002 00000002 00 = 00000002 01 10 049 00000002 00000002 01 = 00000002 01 10 04A 00000002 00000002 10 = 00000002 01 10 04B 00000002 00000002 11 = 00000002 01 10 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 10 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 10 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 10 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 10 050 80000000 00000002 00 = 80000000 00 10 051 80000000 00000002 01 = 80000000 00 10 052 80000000 00000002 10 = 80000000 00 10 053 80000000 00000002 11 = 80000000 00 10 054 80000001 00000002 00 = 80000001 00 10 055 80000001 00000002 01 = 80000001 00 10 056 80000001 00000002 10 = 80000001 00 10 057 80000001 00000002 11 = 80000001 00 10 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 10 059 FFFFFFFE 00000002 01 = FFFFFFFE 00 10 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 10 05B FFFFFFFE 00000002 11 = FFFFFFFE 00 10 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 10 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 10 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 10 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 10 060 00000000 7FFFFFFF 00 = 00000000 10 10 061 00000000 7FFFFFFF 01 = 00000000 10 10 062 00000000 7FFFFFFF 10 = 00000000 10 10 063 00000000 7FFFFFFF 11 = 00000000 10 10 064 00000001 7FFFFFFF 00 = 00000001 10 10 065 00000001 7FFFFFFF 01 = 00000001 10 10 066 00000001 7FFFFFFF 10 = 00000001 10 10 067 00000001 7FFFFFFF 11 = 00000001 10 10 068 00000002 7FFFFFFF 00 = 00000002 10 10 069 00000002 7FFFFFFF 01 = 00000002 10 10 06A 00000002 7FFFFFFF 10 = 00000002 10 10 06B 00000002 7FFFFFFF 11 = 00000002 10 10 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 01 10 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 10 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 01 10 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 10 070 80000000 7FFFFFFF 00 = 80000000 00 10 071 80000000 7FFFFFFF 01 = 80000000 00 10 072 80000000 7FFFFFFF 10 = 80000000 00 10 073 80000000 7FFFFFFF 11 = 80000000 00 10 074 80000001 7FFFFFFF 00 = 80000001 00 10 075 80000001 7FFFFFFF 01 = 80000001 00 10 076 80000001 7FFFFFFF 10 = 80000001 00 10 077 80000001 7FFFFFFF 11 = 80000001 00 10 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 10 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 10 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 10 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 10 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 10 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 10 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 10 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 10 080 00000000 80000000 00 = 00000000 10 10 081 00000000 80000000 01 = 00000000 10 10 082 00000000 80000000 10 = 00000000 10 10 083 00000000 80000000 11 = 00000000 10 10 084 00000001 80000000 00 = 00000001 10 10 085 00000001 80000000 01 = 00000001 10 10 086 00000001 80000000 10 = 00000001 10 10 087 00000001 80000000 11 = 00000001 10 10 088 00000002 80000000 00 = 00000002 10 10 089 00000002 80000000 01 = 00000002 10 10 08A 00000002 80000000 10 = 00000002 10 10 08B 00000002 80000000 11 = 00000002 10 10 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 10 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 10 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 10 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 10 090 80000000 80000000 00 = 80000000 01 10 091 80000000 80000000 01 = 80000000 01 10 092 80000000 80000000 10 = 80000000 01 10 093 80000000 80000000 11 = 80000000 01 10 094 80000001 80000000 00 = 80000001 00 10 095 80000001 80000000 01 = 80000001 00 10 096 80000001 80000000 10 = 80000001 00 10 097 80000001 80000000 11 = 80000001 00 10 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 10 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 10 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 10 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 10 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 10 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 10 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 10 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 10 0A0 00000000 80000001 00 = 00000000 10 10 0A1 00000000 80000001 01 = 00000000 10 10 0A2 00000000 80000001 10 = 00000000 10 10 0A3 00000000 80000001 11 = 00000000 10 10 0A4 00000001 80000001 00 = 00000001 10 10 0A5 00000001 80000001 01 = 00000001 10 10 0A6 00000001 80000001 10 = 00000001 10 10 0A7 00000001 80000001 11 = 00000001 10 10 0A8 00000002 80000001 00 = 00000002 10 10 0A9 00000002 80000001 01 = 00000002 10 10 0AA 00000002 80000001 10 = 00000002 10 10 0AB 00000002 80000001 11 = 00000002 10 10 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 10 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 10 10 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 10 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 10 10 0B0 80000000 80000001 00 = 80000000 10 10 0B1 80000000 80000001 01 = 80000000 10 10 0B2 80000000 80000001 10 = 80000000 10 10 0B3 80000000 80000001 11 = 80000000 10 10 0B4 80000001 80000001 00 = 80000001 01 10 0B5 80000001 80000001 01 = 80000001 01 10 0B6 80000001 80000001 10 = 80000001 01 10 0B7 80000001 80000001 11 = 80000001 01 10 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 10 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 10 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 10 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 10 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 10 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 10 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 10 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 10 0C0 00000000 FFFFFFFE 00 = 00000000 10 10 0C1 00000000 FFFFFFFE 01 = 00000000 10 10 0C2 00000000 FFFFFFFE 10 = 00000000 10 10 0C3 00000000 FFFFFFFE 11 = 00000000 10 10 0C4 00000001 FFFFFFFE 00 = 00000001 10 10 0C5 00000001 FFFFFFFE 01 = 00000001 10 10 0C6 00000001 FFFFFFFE 10 = 00000001 10 10 0C7 00000001 FFFFFFFE 11 = 00000001 10 10 0C8 00000002 FFFFFFFE 00 = 00000002 10 10 0C9 00000002 FFFFFFFE 01 = 00000002 10 10 0CA 00000002 FFFFFFFE 10 = 00000002 10 10 0CB 00000002 FFFFFFFE 11 = 00000002 10 10 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 10 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 10 10 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 10 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 10 10 0D0 80000000 FFFFFFFE 00 = 80000000 10 10 0D1 80000000 FFFFFFFE 01 = 80000000 10 10 0D2 80000000 FFFFFFFE 10 = 80000000 10 10 0D3 80000000 FFFFFFFE 11 = 80000000 10 10 0D4 80000001 FFFFFFFE 00 = 80000001 10 10 0D5 80000001 FFFFFFFE 01 = 80000001 10 10 0D6 80000001 FFFFFFFE 10 = 80000001 10 10 0D7 80000001 FFFFFFFE 11 = 80000001 10 10 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 01 10 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 10 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 01 10 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 10 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 10 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 10 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 10 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 10 0E0 00000000 FFFFFFFF 00 = 00000000 10 10 0E1 00000000 FFFFFFFF 01 = 00000000 10 10 0E2 00000000 FFFFFFFF 10 = 00000000 10 10 0E3 00000000 FFFFFFFF 11 = 00000000 10 10 0E4 00000001 FFFFFFFF 00 = 00000001 10 10 0E5 00000001 FFFFFFFF 01 = 00000001 10 10 0E6 00000001 FFFFFFFF 10 = 00000001 10 10 0E7 00000001 FFFFFFFF 11 = 00000001 10 10 0E8 00000002 FFFFFFFF 00 = 00000002 10 10 0E9 00000002 FFFFFFFF 01 = 00000002 10 10 0EA 00000002 FFFFFFFF 10 = 00000002 10 10 0EB 00000002 FFFFFFFF 11 = 00000002 10 10 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 10 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 10 10 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 10 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 10 0F0 80000000 FFFFFFFF 00 = 80000000 10 10 0F1 80000000 FFFFFFFF 01 = 80000000 10 10 0F2 80000000 FFFFFFFF 10 = 80000000 10 10 0F3 80000000 FFFFFFFF 11 = 80000000 10 10 0F4 80000001 FFFFFFFF 00 = 80000001 10 10 0F5 80000001 FFFFFFFF 01 = 80000001 10 10 0F6 80000001 FFFFFFFF 10 = 80000001 10 10 0F7 80000001 FFFFFFFF 11 = 80000001 10 10 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 10 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 10 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 10 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 10 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 01 10 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 10 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 01 10 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 cmpx ---D---- ---S---- CZ = ---Q---- CZ 11 000 00000000 00000000 00 = 00000000 00 11 001 00000000 00000000 01 = 00000000 01 11 002 00000000 00000000 10 = 00000000 10 11 003 00000000 00000000 11 = 00000000 10 11 004 00000001 00000000 00 = 00000001 00 11 005 00000001 00000000 01 = 00000001 00 11 006 00000001 00000000 10 = 00000001 00 11 007 00000001 00000000 11 = 00000001 01 11 008 00000002 00000000 00 = 00000002 00 11 009 00000002 00000000 01 = 00000002 00 11 00A 00000002 00000000 10 = 00000002 00 11 00B 00000002 00000000 11 = 00000002 00 11 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 11 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 11 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 11 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 11 010 80000000 00000000 00 = 80000000 00 11 011 80000000 00000000 01 = 80000000 00 11 012 80000000 00000000 10 = 80000000 00 11 013 80000000 00000000 11 = 80000000 00 11 014 80000001 00000000 00 = 80000001 00 11 015 80000001 00000000 01 = 80000001 00 11 016 80000001 00000000 10 = 80000001 00 11 017 80000001 00000000 11 = 80000001 00 11 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 11 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 11 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 11 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 11 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 11 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 11 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 11 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 11 020 00000000 00000001 00 = 00000000 10 11 021 00000000 00000001 01 = 00000000 10 11 022 00000000 00000001 10 = 00000000 10 11 023 00000000 00000001 11 = 00000000 10 11 024 00000001 00000001 00 = 00000001 00 11 025 00000001 00000001 01 = 00000001 01 11 026 00000001 00000001 10 = 00000001 10 11 027 00000001 00000001 11 = 00000001 10 11 028 00000002 00000001 00 = 00000002 00 11 029 00000002 00000001 01 = 00000002 00 11 02A 00000002 00000001 10 = 00000002 00 11 02B 00000002 00000001 11 = 00000002 01 11 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 11 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 11 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 11 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 11 030 80000000 00000001 00 = 80000000 00 11 031 80000000 00000001 01 = 80000000 00 11 032 80000000 00000001 10 = 80000000 00 11 033 80000000 00000001 11 = 80000000 00 11 034 80000001 00000001 00 = 80000001 00 11 035 80000001 00000001 01 = 80000001 00 11 036 80000001 00000001 10 = 80000001 00 11 037 80000001 00000001 11 = 80000001 00 11 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 11 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 11 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 11 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 11 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 11 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 11 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 11 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 11 040 00000000 00000002 00 = 00000000 10 11 041 00000000 00000002 01 = 00000000 10 11 042 00000000 00000002 10 = 00000000 10 11 043 00000000 00000002 11 = 00000000 10 11 044 00000001 00000002 00 = 00000001 10 11 045 00000001 00000002 01 = 00000001 10 11 046 00000001 00000002 10 = 00000001 10 11 047 00000001 00000002 11 = 00000001 10 11 048 00000002 00000002 00 = 00000002 00 11 049 00000002 00000002 01 = 00000002 01 11 04A 00000002 00000002 10 = 00000002 10 11 04B 00000002 00000002 11 = 00000002 10 11 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 11 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 11 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 11 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 11 050 80000000 00000002 00 = 80000000 00 11 051 80000000 00000002 01 = 80000000 00 11 052 80000000 00000002 10 = 80000000 00 11 053 80000000 00000002 11 = 80000000 00 11 054 80000001 00000002 00 = 80000001 00 11 055 80000001 00000002 01 = 80000001 00 11 056 80000001 00000002 10 = 80000001 00 11 057 80000001 00000002 11 = 80000001 00 11 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 11 059 FFFFFFFE 00000002 01 = FFFFFFFE 00 11 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 11 05B FFFFFFFE 00000002 11 = FFFFFFFE 00 11 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 11 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 11 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 11 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 11 060 00000000 7FFFFFFF 00 = 00000000 10 11 061 00000000 7FFFFFFF 01 = 00000000 10 11 062 00000000 7FFFFFFF 10 = 00000000 10 11 063 00000000 7FFFFFFF 11 = 00000000 10 11 064 00000001 7FFFFFFF 00 = 00000001 10 11 065 00000001 7FFFFFFF 01 = 00000001 10 11 066 00000001 7FFFFFFF 10 = 00000001 10 11 067 00000001 7FFFFFFF 11 = 00000001 10 11 068 00000002 7FFFFFFF 00 = 00000002 10 11 069 00000002 7FFFFFFF 01 = 00000002 10 11 06A 00000002 7FFFFFFF 10 = 00000002 10 11 06B 00000002 7FFFFFFF 11 = 00000002 10 11 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 11 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 11 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 11 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 11 070 80000000 7FFFFFFF 00 = 80000000 00 11 071 80000000 7FFFFFFF 01 = 80000000 00 11 072 80000000 7FFFFFFF 10 = 80000000 00 11 073 80000000 7FFFFFFF 11 = 80000000 01 11 074 80000001 7FFFFFFF 00 = 80000001 00 11 075 80000001 7FFFFFFF 01 = 80000001 00 11 076 80000001 7FFFFFFF 10 = 80000001 00 11 077 80000001 7FFFFFFF 11 = 80000001 00 11 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 11 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 11 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 11 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 11 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 11 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 11 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 11 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 11 080 00000000 80000000 00 = 00000000 10 11 081 00000000 80000000 01 = 00000000 10 11 082 00000000 80000000 10 = 00000000 10 11 083 00000000 80000000 11 = 00000000 10 11 084 00000001 80000000 00 = 00000001 10 11 085 00000001 80000000 01 = 00000001 10 11 086 00000001 80000000 10 = 00000001 10 11 087 00000001 80000000 11 = 00000001 10 11 088 00000002 80000000 00 = 00000002 10 11 089 00000002 80000000 01 = 00000002 10 11 08A 00000002 80000000 10 = 00000002 10 11 08B 00000002 80000000 11 = 00000002 10 11 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 11 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 11 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 11 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 11 090 80000000 80000000 00 = 80000000 00 11 091 80000000 80000000 01 = 80000000 01 11 092 80000000 80000000 10 = 80000000 10 11 093 80000000 80000000 11 = 80000000 10 11 094 80000001 80000000 00 = 80000001 00 11 095 80000001 80000000 01 = 80000001 00 11 096 80000001 80000000 10 = 80000001 00 11 097 80000001 80000000 11 = 80000001 01 11 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 11 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 11 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 11 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 11 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 11 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 11 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 11 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 11 0A0 00000000 80000001 00 = 00000000 10 11 0A1 00000000 80000001 01 = 00000000 10 11 0A2 00000000 80000001 10 = 00000000 10 11 0A3 00000000 80000001 11 = 00000000 10 11 0A4 00000001 80000001 00 = 00000001 10 11 0A5 00000001 80000001 01 = 00000001 10 11 0A6 00000001 80000001 10 = 00000001 10 11 0A7 00000001 80000001 11 = 00000001 10 11 0A8 00000002 80000001 00 = 00000002 10 11 0A9 00000002 80000001 01 = 00000002 10 11 0AA 00000002 80000001 10 = 00000002 10 11 0AB 00000002 80000001 11 = 00000002 10 11 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 11 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 10 11 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 11 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 10 11 0B0 80000000 80000001 00 = 80000000 10 11 0B1 80000000 80000001 01 = 80000000 10 11 0B2 80000000 80000001 10 = 80000000 10 11 0B3 80000000 80000001 11 = 80000000 10 11 0B4 80000001 80000001 00 = 80000001 00 11 0B5 80000001 80000001 01 = 80000001 01 11 0B6 80000001 80000001 10 = 80000001 10 11 0B7 80000001 80000001 11 = 80000001 10 11 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 11 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 11 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 11 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 11 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 11 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 11 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 11 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 11 0C0 00000000 FFFFFFFE 00 = 00000000 10 11 0C1 00000000 FFFFFFFE 01 = 00000000 10 11 0C2 00000000 FFFFFFFE 10 = 00000000 10 11 0C3 00000000 FFFFFFFE 11 = 00000000 10 11 0C4 00000001 FFFFFFFE 00 = 00000001 10 11 0C5 00000001 FFFFFFFE 01 = 00000001 10 11 0C6 00000001 FFFFFFFE 10 = 00000001 10 11 0C7 00000001 FFFFFFFE 11 = 00000001 10 11 0C8 00000002 FFFFFFFE 00 = 00000002 10 11 0C9 00000002 FFFFFFFE 01 = 00000002 10 11 0CA 00000002 FFFFFFFE 10 = 00000002 10 11 0CB 00000002 FFFFFFFE 11 = 00000002 10 11 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 11 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 10 11 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 11 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 10 11 0D0 80000000 FFFFFFFE 00 = 80000000 10 11 0D1 80000000 FFFFFFFE 01 = 80000000 10 11 0D2 80000000 FFFFFFFE 10 = 80000000 10 11 0D3 80000000 FFFFFFFE 11 = 80000000 10 11 0D4 80000001 FFFFFFFE 00 = 80000001 10 11 0D5 80000001 FFFFFFFE 01 = 80000001 10 11 0D6 80000001 FFFFFFFE 10 = 80000001 10 11 0D7 80000001 FFFFFFFE 11 = 80000001 10 11 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 11 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 11 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 11 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 11 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 11 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 11 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 11 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 01 11 0E0 00000000 FFFFFFFF 00 = 00000000 10 11 0E1 00000000 FFFFFFFF 01 = 00000000 10 11 0E2 00000000 FFFFFFFF 10 = 00000000 10 11 0E3 00000000 FFFFFFFF 11 = 00000000 11 11 0E4 00000001 FFFFFFFF 00 = 00000001 10 11 0E5 00000001 FFFFFFFF 01 = 00000001 10 11 0E6 00000001 FFFFFFFF 10 = 00000001 10 11 0E7 00000001 FFFFFFFF 11 = 00000001 10 11 0E8 00000002 FFFFFFFF 00 = 00000002 10 11 0E9 00000002 FFFFFFFF 01 = 00000002 10 11 0EA 00000002 FFFFFFFF 10 = 00000002 10 11 0EB 00000002 FFFFFFFF 11 = 00000002 10 11 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 11 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 10 11 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 11 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 11 0F0 80000000 FFFFFFFF 00 = 80000000 10 11 0F1 80000000 FFFFFFFF 01 = 80000000 10 11 0F2 80000000 FFFFFFFF 10 = 80000000 10 11 0F3 80000000 FFFFFFFF 11 = 80000000 10 11 0F4 80000001 FFFFFFFF 00 = 80000001 10 11 0F5 80000001 FFFFFFFF 01 = 80000001 10 11 0F6 80000001 FFFFFFFF 10 = 80000001 10 11 0F7 80000001 FFFFFFFF 11 = 80000001 10 11 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 11 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 11 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 11 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 11 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 11 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 11 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 11 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 cmps ---D---- ---S---- CZ = ---Q---- CZ 12 000 00000000 00000000 00 = 00000000 01 12 001 00000000 00000000 01 = 00000000 01 12 002 00000000 00000000 10 = 00000000 01 12 003 00000000 00000000 11 = 00000000 01 12 004 00000001 00000000 00 = 00000001 00 12 005 00000001 00000000 01 = 00000001 00 12 006 00000001 00000000 10 = 00000001 00 12 007 00000001 00000000 11 = 00000001 00 12 008 00000002 00000000 00 = 00000002 00 12 009 00000002 00000000 01 = 00000002 00 12 00A 00000002 00000000 10 = 00000002 00 12 00B 00000002 00000000 11 = 00000002 00 12 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 12 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 12 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 12 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 12 010 80000000 00000000 00 = 80000000 10 12 011 80000000 00000000 01 = 80000000 10 12 012 80000000 00000000 10 = 80000000 10 12 013 80000000 00000000 11 = 80000000 10 12 014 80000001 00000000 00 = 80000001 10 12 015 80000001 00000000 01 = 80000001 10 12 016 80000001 00000000 10 = 80000001 10 12 017 80000001 00000000 11 = 80000001 10 12 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 12 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 12 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 12 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 12 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 12 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 12 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 12 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 12 020 00000000 00000001 00 = 00000000 10 12 021 00000000 00000001 01 = 00000000 10 12 022 00000000 00000001 10 = 00000000 10 12 023 00000000 00000001 11 = 00000000 10 12 024 00000001 00000001 00 = 00000001 01 12 025 00000001 00000001 01 = 00000001 01 12 026 00000001 00000001 10 = 00000001 01 12 027 00000001 00000001 11 = 00000001 01 12 028 00000002 00000001 00 = 00000002 00 12 029 00000002 00000001 01 = 00000002 00 12 02A 00000002 00000001 10 = 00000002 00 12 02B 00000002 00000001 11 = 00000002 00 12 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 12 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 12 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 12 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 12 030 80000000 00000001 00 = 80000000 10 12 031 80000000 00000001 01 = 80000000 10 12 032 80000000 00000001 10 = 80000000 10 12 033 80000000 00000001 11 = 80000000 10 12 034 80000001 00000001 00 = 80000001 10 12 035 80000001 00000001 01 = 80000001 10 12 036 80000001 00000001 10 = 80000001 10 12 037 80000001 00000001 11 = 80000001 10 12 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 12 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 12 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 12 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 12 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 12 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 12 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 12 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 12 040 00000000 00000002 00 = 00000000 10 12 041 00000000 00000002 01 = 00000000 10 12 042 00000000 00000002 10 = 00000000 10 12 043 00000000 00000002 11 = 00000000 10 12 044 00000001 00000002 00 = 00000001 10 12 045 00000001 00000002 01 = 00000001 10 12 046 00000001 00000002 10 = 00000001 10 12 047 00000001 00000002 11 = 00000001 10 12 048 00000002 00000002 00 = 00000002 01 12 049 00000002 00000002 01 = 00000002 01 12 04A 00000002 00000002 10 = 00000002 01 12 04B 00000002 00000002 11 = 00000002 01 12 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 12 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 12 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 12 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 12 050 80000000 00000002 00 = 80000000 10 12 051 80000000 00000002 01 = 80000000 10 12 052 80000000 00000002 10 = 80000000 10 12 053 80000000 00000002 11 = 80000000 10 12 054 80000001 00000002 00 = 80000001 10 12 055 80000001 00000002 01 = 80000001 10 12 056 80000001 00000002 10 = 80000001 10 12 057 80000001 00000002 11 = 80000001 10 12 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 12 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 12 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 12 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 12 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 12 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 12 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 12 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 12 060 00000000 7FFFFFFF 00 = 00000000 10 12 061 00000000 7FFFFFFF 01 = 00000000 10 12 062 00000000 7FFFFFFF 10 = 00000000 10 12 063 00000000 7FFFFFFF 11 = 00000000 10 12 064 00000001 7FFFFFFF 00 = 00000001 10 12 065 00000001 7FFFFFFF 01 = 00000001 10 12 066 00000001 7FFFFFFF 10 = 00000001 10 12 067 00000001 7FFFFFFF 11 = 00000001 10 12 068 00000002 7FFFFFFF 00 = 00000002 10 12 069 00000002 7FFFFFFF 01 = 00000002 10 12 06A 00000002 7FFFFFFF 10 = 00000002 10 12 06B 00000002 7FFFFFFF 11 = 00000002 10 12 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 01 12 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 12 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 01 12 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 12 070 80000000 7FFFFFFF 00 = 80000000 10 12 071 80000000 7FFFFFFF 01 = 80000000 10 12 072 80000000 7FFFFFFF 10 = 80000000 10 12 073 80000000 7FFFFFFF 11 = 80000000 10 12 074 80000001 7FFFFFFF 00 = 80000001 10 12 075 80000001 7FFFFFFF 01 = 80000001 10 12 076 80000001 7FFFFFFF 10 = 80000001 10 12 077 80000001 7FFFFFFF 11 = 80000001 10 12 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 12 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 10 12 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 12 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 10 12 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 12 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 12 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 12 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 12 080 00000000 80000000 00 = 00000000 00 12 081 00000000 80000000 01 = 00000000 00 12 082 00000000 80000000 10 = 00000000 00 12 083 00000000 80000000 11 = 00000000 00 12 084 00000001 80000000 00 = 00000001 00 12 085 00000001 80000000 01 = 00000001 00 12 086 00000001 80000000 10 = 00000001 00 12 087 00000001 80000000 11 = 00000001 00 12 088 00000002 80000000 00 = 00000002 00 12 089 00000002 80000000 01 = 00000002 00 12 08A 00000002 80000000 10 = 00000002 00 12 08B 00000002 80000000 11 = 00000002 00 12 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 12 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 12 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 12 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 12 090 80000000 80000000 00 = 80000000 01 12 091 80000000 80000000 01 = 80000000 01 12 092 80000000 80000000 10 = 80000000 01 12 093 80000000 80000000 11 = 80000000 01 12 094 80000001 80000000 00 = 80000001 00 12 095 80000001 80000000 01 = 80000001 00 12 096 80000001 80000000 10 = 80000001 00 12 097 80000001 80000000 11 = 80000001 00 12 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 12 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 12 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 12 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 12 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 12 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 12 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 12 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 12 0A0 00000000 80000001 00 = 00000000 00 12 0A1 00000000 80000001 01 = 00000000 00 12 0A2 00000000 80000001 10 = 00000000 00 12 0A3 00000000 80000001 11 = 00000000 00 12 0A4 00000001 80000001 00 = 00000001 00 12 0A5 00000001 80000001 01 = 00000001 00 12 0A6 00000001 80000001 10 = 00000001 00 12 0A7 00000001 80000001 11 = 00000001 00 12 0A8 00000002 80000001 00 = 00000002 00 12 0A9 00000002 80000001 01 = 00000002 00 12 0AA 00000002 80000001 10 = 00000002 00 12 0AB 00000002 80000001 11 = 00000002 00 12 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 12 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 12 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 12 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 12 0B0 80000000 80000001 00 = 80000000 10 12 0B1 80000000 80000001 01 = 80000000 10 12 0B2 80000000 80000001 10 = 80000000 10 12 0B3 80000000 80000001 11 = 80000000 10 12 0B4 80000001 80000001 00 = 80000001 01 12 0B5 80000001 80000001 01 = 80000001 01 12 0B6 80000001 80000001 10 = 80000001 01 12 0B7 80000001 80000001 11 = 80000001 01 12 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 12 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 12 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 12 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 12 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 12 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 12 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 12 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 12 0C0 00000000 FFFFFFFE 00 = 00000000 00 12 0C1 00000000 FFFFFFFE 01 = 00000000 00 12 0C2 00000000 FFFFFFFE 10 = 00000000 00 12 0C3 00000000 FFFFFFFE 11 = 00000000 00 12 0C4 00000001 FFFFFFFE 00 = 00000001 00 12 0C5 00000001 FFFFFFFE 01 = 00000001 00 12 0C6 00000001 FFFFFFFE 10 = 00000001 00 12 0C7 00000001 FFFFFFFE 11 = 00000001 00 12 0C8 00000002 FFFFFFFE 00 = 00000002 00 12 0C9 00000002 FFFFFFFE 01 = 00000002 00 12 0CA 00000002 FFFFFFFE 10 = 00000002 00 12 0CB 00000002 FFFFFFFE 11 = 00000002 00 12 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 12 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 12 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 12 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 12 0D0 80000000 FFFFFFFE 00 = 80000000 10 12 0D1 80000000 FFFFFFFE 01 = 80000000 10 12 0D2 80000000 FFFFFFFE 10 = 80000000 10 12 0D3 80000000 FFFFFFFE 11 = 80000000 10 12 0D4 80000001 FFFFFFFE 00 = 80000001 10 12 0D5 80000001 FFFFFFFE 01 = 80000001 10 12 0D6 80000001 FFFFFFFE 10 = 80000001 10 12 0D7 80000001 FFFFFFFE 11 = 80000001 10 12 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 01 12 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 12 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 01 12 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 12 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 12 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 12 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 12 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 12 0E0 00000000 FFFFFFFF 00 = 00000000 00 12 0E1 00000000 FFFFFFFF 01 = 00000000 00 12 0E2 00000000 FFFFFFFF 10 = 00000000 00 12 0E3 00000000 FFFFFFFF 11 = 00000000 00 12 0E4 00000001 FFFFFFFF 00 = 00000001 00 12 0E5 00000001 FFFFFFFF 01 = 00000001 00 12 0E6 00000001 FFFFFFFF 10 = 00000001 00 12 0E7 00000001 FFFFFFFF 11 = 00000001 00 12 0E8 00000002 FFFFFFFF 00 = 00000002 00 12 0E9 00000002 FFFFFFFF 01 = 00000002 00 12 0EA 00000002 FFFFFFFF 10 = 00000002 00 12 0EB 00000002 FFFFFFFF 11 = 00000002 00 12 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 12 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 12 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 12 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 12 0F0 80000000 FFFFFFFF 00 = 80000000 10 12 0F1 80000000 FFFFFFFF 01 = 80000000 10 12 0F2 80000000 FFFFFFFF 10 = 80000000 10 12 0F3 80000000 FFFFFFFF 11 = 80000000 10 12 0F4 80000001 FFFFFFFF 00 = 80000001 10 12 0F5 80000001 FFFFFFFF 01 = 80000001 10 12 0F6 80000001 FFFFFFFF 10 = 80000001 10 12 0F7 80000001 FFFFFFFF 11 = 80000001 10 12 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 12 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 12 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 12 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 12 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 01 12 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 12 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 01 12 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 cmpsx ---D---- ---S---- CZ = ---Q---- CZ 13 000 00000000 00000000 00 = 00000000 00 13 001 00000000 00000000 01 = 00000000 01 13 002 00000000 00000000 10 = 00000000 10 13 003 00000000 00000000 11 = 00000000 10 13 004 00000001 00000000 00 = 00000001 00 13 005 00000001 00000000 01 = 00000001 00 13 006 00000001 00000000 10 = 00000001 00 13 007 00000001 00000000 11 = 00000001 01 13 008 00000002 00000000 00 = 00000002 00 13 009 00000002 00000000 01 = 00000002 00 13 00A 00000002 00000000 10 = 00000002 00 13 00B 00000002 00000000 11 = 00000002 00 13 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 13 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 13 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 13 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 13 010 80000000 00000000 00 = 80000000 10 13 011 80000000 00000000 01 = 80000000 10 13 012 80000000 00000000 10 = 80000000 10 13 013 80000000 00000000 11 = 80000000 10 13 014 80000001 00000000 00 = 80000001 10 13 015 80000001 00000000 01 = 80000001 10 13 016 80000001 00000000 10 = 80000001 10 13 017 80000001 00000000 11 = 80000001 10 13 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 13 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 13 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 13 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 13 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 13 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 13 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 13 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 13 020 00000000 00000001 00 = 00000000 10 13 021 00000000 00000001 01 = 00000000 10 13 022 00000000 00000001 10 = 00000000 10 13 023 00000000 00000001 11 = 00000000 10 13 024 00000001 00000001 00 = 00000001 00 13 025 00000001 00000001 01 = 00000001 01 13 026 00000001 00000001 10 = 00000001 10 13 027 00000001 00000001 11 = 00000001 10 13 028 00000002 00000001 00 = 00000002 00 13 029 00000002 00000001 01 = 00000002 00 13 02A 00000002 00000001 10 = 00000002 00 13 02B 00000002 00000001 11 = 00000002 01 13 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 13 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 13 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 13 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 13 030 80000000 00000001 00 = 80000000 10 13 031 80000000 00000001 01 = 80000000 10 13 032 80000000 00000001 10 = 80000000 10 13 033 80000000 00000001 11 = 80000000 10 13 034 80000001 00000001 00 = 80000001 10 13 035 80000001 00000001 01 = 80000001 10 13 036 80000001 00000001 10 = 80000001 10 13 037 80000001 00000001 11 = 80000001 10 13 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 13 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 13 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 13 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 13 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 13 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 13 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 13 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 13 040 00000000 00000002 00 = 00000000 10 13 041 00000000 00000002 01 = 00000000 10 13 042 00000000 00000002 10 = 00000000 10 13 043 00000000 00000002 11 = 00000000 10 13 044 00000001 00000002 00 = 00000001 10 13 045 00000001 00000002 01 = 00000001 10 13 046 00000001 00000002 10 = 00000001 10 13 047 00000001 00000002 11 = 00000001 10 13 048 00000002 00000002 00 = 00000002 00 13 049 00000002 00000002 01 = 00000002 01 13 04A 00000002 00000002 10 = 00000002 10 13 04B 00000002 00000002 11 = 00000002 10 13 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 13 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 13 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 13 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 13 050 80000000 00000002 00 = 80000000 10 13 051 80000000 00000002 01 = 80000000 10 13 052 80000000 00000002 10 = 80000000 10 13 053 80000000 00000002 11 = 80000000 10 13 054 80000001 00000002 00 = 80000001 10 13 055 80000001 00000002 01 = 80000001 10 13 056 80000001 00000002 10 = 80000001 10 13 057 80000001 00000002 11 = 80000001 10 13 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 13 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 13 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 13 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 13 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 13 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 13 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 13 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 13 060 00000000 7FFFFFFF 00 = 00000000 10 13 061 00000000 7FFFFFFF 01 = 00000000 10 13 062 00000000 7FFFFFFF 10 = 00000000 10 13 063 00000000 7FFFFFFF 11 = 00000000 10 13 064 00000001 7FFFFFFF 00 = 00000001 10 13 065 00000001 7FFFFFFF 01 = 00000001 10 13 066 00000001 7FFFFFFF 10 = 00000001 10 13 067 00000001 7FFFFFFF 11 = 00000001 10 13 068 00000002 7FFFFFFF 00 = 00000002 10 13 069 00000002 7FFFFFFF 01 = 00000002 10 13 06A 00000002 7FFFFFFF 10 = 00000002 10 13 06B 00000002 7FFFFFFF 11 = 00000002 10 13 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 13 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 13 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 13 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 13 070 80000000 7FFFFFFF 00 = 80000000 10 13 071 80000000 7FFFFFFF 01 = 80000000 10 13 072 80000000 7FFFFFFF 10 = 80000000 10 13 073 80000000 7FFFFFFF 11 = 80000000 11 13 074 80000001 7FFFFFFF 00 = 80000001 10 13 075 80000001 7FFFFFFF 01 = 80000001 10 13 076 80000001 7FFFFFFF 10 = 80000001 10 13 077 80000001 7FFFFFFF 11 = 80000001 10 13 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 13 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 10 13 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 13 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 10 13 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 13 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 13 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 13 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 13 080 00000000 80000000 00 = 00000000 00 13 081 00000000 80000000 01 = 00000000 00 13 082 00000000 80000000 10 = 00000000 00 13 083 00000000 80000000 11 = 00000000 00 13 084 00000001 80000000 00 = 00000001 00 13 085 00000001 80000000 01 = 00000001 00 13 086 00000001 80000000 10 = 00000001 00 13 087 00000001 80000000 11 = 00000001 00 13 088 00000002 80000000 00 = 00000002 00 13 089 00000002 80000000 01 = 00000002 00 13 08A 00000002 80000000 10 = 00000002 00 13 08B 00000002 80000000 11 = 00000002 00 13 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 13 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 13 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 13 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 13 090 80000000 80000000 00 = 80000000 00 13 091 80000000 80000000 01 = 80000000 01 13 092 80000000 80000000 10 = 80000000 10 13 093 80000000 80000000 11 = 80000000 10 13 094 80000001 80000000 00 = 80000001 00 13 095 80000001 80000000 01 = 80000001 00 13 096 80000001 80000000 10 = 80000001 00 13 097 80000001 80000000 11 = 80000001 01 13 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 13 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 13 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 13 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 13 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 13 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 13 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 13 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 13 0A0 00000000 80000001 00 = 00000000 00 13 0A1 00000000 80000001 01 = 00000000 00 13 0A2 00000000 80000001 10 = 00000000 00 13 0A3 00000000 80000001 11 = 00000000 00 13 0A4 00000001 80000001 00 = 00000001 00 13 0A5 00000001 80000001 01 = 00000001 00 13 0A6 00000001 80000001 10 = 00000001 00 13 0A7 00000001 80000001 11 = 00000001 00 13 0A8 00000002 80000001 00 = 00000002 00 13 0A9 00000002 80000001 01 = 00000002 00 13 0AA 00000002 80000001 10 = 00000002 00 13 0AB 00000002 80000001 11 = 00000002 00 13 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 13 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 13 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 13 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 13 0B0 80000000 80000001 00 = 80000000 10 13 0B1 80000000 80000001 01 = 80000000 10 13 0B2 80000000 80000001 10 = 80000000 10 13 0B3 80000000 80000001 11 = 80000000 10 13 0B4 80000001 80000001 00 = 80000001 00 13 0B5 80000001 80000001 01 = 80000001 01 13 0B6 80000001 80000001 10 = 80000001 10 13 0B7 80000001 80000001 11 = 80000001 10 13 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 13 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 13 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 13 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 13 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 13 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 13 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 13 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 13 0C0 00000000 FFFFFFFE 00 = 00000000 00 13 0C1 00000000 FFFFFFFE 01 = 00000000 00 13 0C2 00000000 FFFFFFFE 10 = 00000000 00 13 0C3 00000000 FFFFFFFE 11 = 00000000 00 13 0C4 00000001 FFFFFFFE 00 = 00000001 00 13 0C5 00000001 FFFFFFFE 01 = 00000001 00 13 0C6 00000001 FFFFFFFE 10 = 00000001 00 13 0C7 00000001 FFFFFFFE 11 = 00000001 00 13 0C8 00000002 FFFFFFFE 00 = 00000002 00 13 0C9 00000002 FFFFFFFE 01 = 00000002 00 13 0CA 00000002 FFFFFFFE 10 = 00000002 00 13 0CB 00000002 FFFFFFFE 11 = 00000002 00 13 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 13 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 13 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 13 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 13 0D0 80000000 FFFFFFFE 00 = 80000000 10 13 0D1 80000000 FFFFFFFE 01 = 80000000 10 13 0D2 80000000 FFFFFFFE 10 = 80000000 10 13 0D3 80000000 FFFFFFFE 11 = 80000000 10 13 0D4 80000001 FFFFFFFE 00 = 80000001 10 13 0D5 80000001 FFFFFFFE 01 = 80000001 10 13 0D6 80000001 FFFFFFFE 10 = 80000001 10 13 0D7 80000001 FFFFFFFE 11 = 80000001 10 13 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 13 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 13 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 13 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 13 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 13 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 13 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 13 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 01 13 0E0 00000000 FFFFFFFF 00 = 00000000 00 13 0E1 00000000 FFFFFFFF 01 = 00000000 00 13 0E2 00000000 FFFFFFFF 10 = 00000000 00 13 0E3 00000000 FFFFFFFF 11 = 00000000 01 13 0E4 00000001 FFFFFFFF 00 = 00000001 00 13 0E5 00000001 FFFFFFFF 01 = 00000001 00 13 0E6 00000001 FFFFFFFF 10 = 00000001 00 13 0E7 00000001 FFFFFFFF 11 = 00000001 00 13 0E8 00000002 FFFFFFFF 00 = 00000002 00 13 0E9 00000002 FFFFFFFF 01 = 00000002 00 13 0EA 00000002 FFFFFFFF 10 = 00000002 00 13 0EB 00000002 FFFFFFFF 11 = 00000002 00 13 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 13 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 13 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 13 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 13 0F0 80000000 FFFFFFFF 00 = 80000000 10 13 0F1 80000000 FFFFFFFF 01 = 80000000 10 13 0F2 80000000 FFFFFFFF 10 = 80000000 10 13 0F3 80000000 FFFFFFFF 11 = 80000000 10 13 0F4 80000001 FFFFFFFF 00 = 80000001 10 13 0F5 80000001 FFFFFFFF 01 = 80000001 10 13 0F6 80000001 FFFFFFFF 10 = 80000001 10 13 0F7 80000001 FFFFFFFF 11 = 80000001 10 13 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 13 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 13 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 13 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 13 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 13 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 13 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 13 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 cmpr ---D---- ---S---- CZ = ---Q---- CZ 14 000 00000000 00000000 00 = 00000000 01 14 001 00000000 00000000 01 = 00000000 01 14 002 00000000 00000000 10 = 00000000 01 14 003 00000000 00000000 11 = 00000000 01 14 004 00000001 00000000 00 = 00000001 10 14 005 00000001 00000000 01 = 00000001 10 14 006 00000001 00000000 10 = 00000001 10 14 007 00000001 00000000 11 = 00000001 10 14 008 00000002 00000000 00 = 00000002 10 14 009 00000002 00000000 01 = 00000002 10 14 00A 00000002 00000000 10 = 00000002 10 14 00B 00000002 00000000 11 = 00000002 10 14 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 14 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 14 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 14 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 14 010 80000000 00000000 00 = 80000000 10 14 011 80000000 00000000 01 = 80000000 10 14 012 80000000 00000000 10 = 80000000 10 14 013 80000000 00000000 11 = 80000000 10 14 014 80000001 00000000 00 = 80000001 10 14 015 80000001 00000000 01 = 80000001 10 14 016 80000001 00000000 10 = 80000001 10 14 017 80000001 00000000 11 = 80000001 10 14 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 14 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 14 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 14 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 14 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 14 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 14 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 14 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 14 020 00000000 00000001 00 = 00000000 00 14 021 00000000 00000001 01 = 00000000 00 14 022 00000000 00000001 10 = 00000000 00 14 023 00000000 00000001 11 = 00000000 00 14 024 00000001 00000001 00 = 00000001 01 14 025 00000001 00000001 01 = 00000001 01 14 026 00000001 00000001 10 = 00000001 01 14 027 00000001 00000001 11 = 00000001 01 14 028 00000002 00000001 00 = 00000002 10 14 029 00000002 00000001 01 = 00000002 10 14 02A 00000002 00000001 10 = 00000002 10 14 02B 00000002 00000001 11 = 00000002 10 14 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 14 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 14 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 14 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 14 030 80000000 00000001 00 = 80000000 10 14 031 80000000 00000001 01 = 80000000 10 14 032 80000000 00000001 10 = 80000000 10 14 033 80000000 00000001 11 = 80000000 10 14 034 80000001 00000001 00 = 80000001 10 14 035 80000001 00000001 01 = 80000001 10 14 036 80000001 00000001 10 = 80000001 10 14 037 80000001 00000001 11 = 80000001 10 14 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 14 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 14 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 14 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 14 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 14 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 14 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 14 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 14 040 00000000 00000002 00 = 00000000 00 14 041 00000000 00000002 01 = 00000000 00 14 042 00000000 00000002 10 = 00000000 00 14 043 00000000 00000002 11 = 00000000 00 14 044 00000001 00000002 00 = 00000001 00 14 045 00000001 00000002 01 = 00000001 00 14 046 00000001 00000002 10 = 00000001 00 14 047 00000001 00000002 11 = 00000001 00 14 048 00000002 00000002 00 = 00000002 01 14 049 00000002 00000002 01 = 00000002 01 14 04A 00000002 00000002 10 = 00000002 01 14 04B 00000002 00000002 11 = 00000002 01 14 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 14 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 14 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 14 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 14 050 80000000 00000002 00 = 80000000 10 14 051 80000000 00000002 01 = 80000000 10 14 052 80000000 00000002 10 = 80000000 10 14 053 80000000 00000002 11 = 80000000 10 14 054 80000001 00000002 00 = 80000001 10 14 055 80000001 00000002 01 = 80000001 10 14 056 80000001 00000002 10 = 80000001 10 14 057 80000001 00000002 11 = 80000001 10 14 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 14 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 14 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 14 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 14 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 14 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 14 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 14 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 14 060 00000000 7FFFFFFF 00 = 00000000 00 14 061 00000000 7FFFFFFF 01 = 00000000 00 14 062 00000000 7FFFFFFF 10 = 00000000 00 14 063 00000000 7FFFFFFF 11 = 00000000 00 14 064 00000001 7FFFFFFF 00 = 00000001 00 14 065 00000001 7FFFFFFF 01 = 00000001 00 14 066 00000001 7FFFFFFF 10 = 00000001 00 14 067 00000001 7FFFFFFF 11 = 00000001 00 14 068 00000002 7FFFFFFF 00 = 00000002 00 14 069 00000002 7FFFFFFF 01 = 00000002 00 14 06A 00000002 7FFFFFFF 10 = 00000002 00 14 06B 00000002 7FFFFFFF 11 = 00000002 00 14 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 01 14 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 14 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 01 14 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 14 070 80000000 7FFFFFFF 00 = 80000000 10 14 071 80000000 7FFFFFFF 01 = 80000000 10 14 072 80000000 7FFFFFFF 10 = 80000000 10 14 073 80000000 7FFFFFFF 11 = 80000000 10 14 074 80000001 7FFFFFFF 00 = 80000001 10 14 075 80000001 7FFFFFFF 01 = 80000001 10 14 076 80000001 7FFFFFFF 10 = 80000001 10 14 077 80000001 7FFFFFFF 11 = 80000001 10 14 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 14 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 10 14 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 14 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 10 14 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 14 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 14 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 14 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 14 080 00000000 80000000 00 = 00000000 00 14 081 00000000 80000000 01 = 00000000 00 14 082 00000000 80000000 10 = 00000000 00 14 083 00000000 80000000 11 = 00000000 00 14 084 00000001 80000000 00 = 00000001 00 14 085 00000001 80000000 01 = 00000001 00 14 086 00000001 80000000 10 = 00000001 00 14 087 00000001 80000000 11 = 00000001 00 14 088 00000002 80000000 00 = 00000002 00 14 089 00000002 80000000 01 = 00000002 00 14 08A 00000002 80000000 10 = 00000002 00 14 08B 00000002 80000000 11 = 00000002 00 14 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 14 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 14 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 14 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 14 090 80000000 80000000 00 = 80000000 01 14 091 80000000 80000000 01 = 80000000 01 14 092 80000000 80000000 10 = 80000000 01 14 093 80000000 80000000 11 = 80000000 01 14 094 80000001 80000000 00 = 80000001 10 14 095 80000001 80000000 01 = 80000001 10 14 096 80000001 80000000 10 = 80000001 10 14 097 80000001 80000000 11 = 80000001 10 14 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 14 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 14 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 14 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 14 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 14 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 14 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 14 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 14 0A0 00000000 80000001 00 = 00000000 00 14 0A1 00000000 80000001 01 = 00000000 00 14 0A2 00000000 80000001 10 = 00000000 00 14 0A3 00000000 80000001 11 = 00000000 00 14 0A4 00000001 80000001 00 = 00000001 00 14 0A5 00000001 80000001 01 = 00000001 00 14 0A6 00000001 80000001 10 = 00000001 00 14 0A7 00000001 80000001 11 = 00000001 00 14 0A8 00000002 80000001 00 = 00000002 00 14 0A9 00000002 80000001 01 = 00000002 00 14 0AA 00000002 80000001 10 = 00000002 00 14 0AB 00000002 80000001 11 = 00000002 00 14 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 14 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 14 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 14 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 14 0B0 80000000 80000001 00 = 80000000 00 14 0B1 80000000 80000001 01 = 80000000 00 14 0B2 80000000 80000001 10 = 80000000 00 14 0B3 80000000 80000001 11 = 80000000 00 14 0B4 80000001 80000001 00 = 80000001 01 14 0B5 80000001 80000001 01 = 80000001 01 14 0B6 80000001 80000001 10 = 80000001 01 14 0B7 80000001 80000001 11 = 80000001 01 14 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 10 14 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 10 14 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 14 0BB FFFFFFFE 80000001 11 = FFFFFFFE 10 14 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 14 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 14 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 14 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 14 0C0 00000000 FFFFFFFE 00 = 00000000 00 14 0C1 00000000 FFFFFFFE 01 = 00000000 00 14 0C2 00000000 FFFFFFFE 10 = 00000000 00 14 0C3 00000000 FFFFFFFE 11 = 00000000 00 14 0C4 00000001 FFFFFFFE 00 = 00000001 00 14 0C5 00000001 FFFFFFFE 01 = 00000001 00 14 0C6 00000001 FFFFFFFE 10 = 00000001 00 14 0C7 00000001 FFFFFFFE 11 = 00000001 00 14 0C8 00000002 FFFFFFFE 00 = 00000002 00 14 0C9 00000002 FFFFFFFE 01 = 00000002 00 14 0CA 00000002 FFFFFFFE 10 = 00000002 00 14 0CB 00000002 FFFFFFFE 11 = 00000002 00 14 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 14 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 14 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 14 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 14 0D0 80000000 FFFFFFFE 00 = 80000000 00 14 0D1 80000000 FFFFFFFE 01 = 80000000 00 14 0D2 80000000 FFFFFFFE 10 = 80000000 00 14 0D3 80000000 FFFFFFFE 11 = 80000000 00 14 0D4 80000001 FFFFFFFE 00 = 80000001 00 14 0D5 80000001 FFFFFFFE 01 = 80000001 00 14 0D6 80000001 FFFFFFFE 10 = 80000001 00 14 0D7 80000001 FFFFFFFE 11 = 80000001 00 14 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 01 14 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 14 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 01 14 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 14 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 14 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 14 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 14 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 14 0E0 00000000 FFFFFFFF 00 = 00000000 00 14 0E1 00000000 FFFFFFFF 01 = 00000000 00 14 0E2 00000000 FFFFFFFF 10 = 00000000 00 14 0E3 00000000 FFFFFFFF 11 = 00000000 00 14 0E4 00000001 FFFFFFFF 00 = 00000001 00 14 0E5 00000001 FFFFFFFF 01 = 00000001 00 14 0E6 00000001 FFFFFFFF 10 = 00000001 00 14 0E7 00000001 FFFFFFFF 11 = 00000001 00 14 0E8 00000002 FFFFFFFF 00 = 00000002 00 14 0E9 00000002 FFFFFFFF 01 = 00000002 00 14 0EA 00000002 FFFFFFFF 10 = 00000002 00 14 0EB 00000002 FFFFFFFF 11 = 00000002 00 14 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 14 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 14 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 14 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 14 0F0 80000000 FFFFFFFF 00 = 80000000 00 14 0F1 80000000 FFFFFFFF 01 = 80000000 00 14 0F2 80000000 FFFFFFFF 10 = 80000000 00 14 0F3 80000000 FFFFFFFF 11 = 80000000 00 14 0F4 80000001 FFFFFFFF 00 = 80000001 00 14 0F5 80000001 FFFFFFFF 01 = 80000001 00 14 0F6 80000001 FFFFFFFF 10 = 80000001 00 14 0F7 80000001 FFFFFFFF 11 = 80000001 00 14 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 14 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 14 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 14 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 14 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 01 14 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 14 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 01 14 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 cmpm ---D---- ---S---- CZ = ---Q---- CZ 15 000 00000000 00000000 00 = 00000000 01 15 001 00000000 00000000 01 = 00000000 01 15 002 00000000 00000000 10 = 00000000 01 15 003 00000000 00000000 11 = 00000000 01 15 004 00000001 00000000 00 = 00000001 00 15 005 00000001 00000000 01 = 00000001 00 15 006 00000001 00000000 10 = 00000001 00 15 007 00000001 00000000 11 = 00000001 00 15 008 00000002 00000000 00 = 00000002 00 15 009 00000002 00000000 01 = 00000002 00 15 00A 00000002 00000000 10 = 00000002 00 15 00B 00000002 00000000 11 = 00000002 00 15 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 15 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 15 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 15 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 15 010 80000000 00000000 00 = 80000000 10 15 011 80000000 00000000 01 = 80000000 10 15 012 80000000 00000000 10 = 80000000 10 15 013 80000000 00000000 11 = 80000000 10 15 014 80000001 00000000 00 = 80000001 10 15 015 80000001 00000000 01 = 80000001 10 15 016 80000001 00000000 10 = 80000001 10 15 017 80000001 00000000 11 = 80000001 10 15 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 15 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 15 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 15 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 15 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 15 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 15 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 15 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 15 020 00000000 00000001 00 = 00000000 10 15 021 00000000 00000001 01 = 00000000 10 15 022 00000000 00000001 10 = 00000000 10 15 023 00000000 00000001 11 = 00000000 10 15 024 00000001 00000001 00 = 00000001 01 15 025 00000001 00000001 01 = 00000001 01 15 026 00000001 00000001 10 = 00000001 01 15 027 00000001 00000001 11 = 00000001 01 15 028 00000002 00000001 00 = 00000002 00 15 029 00000002 00000001 01 = 00000002 00 15 02A 00000002 00000001 10 = 00000002 00 15 02B 00000002 00000001 11 = 00000002 00 15 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 15 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 15 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 15 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 15 030 80000000 00000001 00 = 80000000 00 15 031 80000000 00000001 01 = 80000000 00 15 032 80000000 00000001 10 = 80000000 00 15 033 80000000 00000001 11 = 80000000 00 15 034 80000001 00000001 00 = 80000001 10 15 035 80000001 00000001 01 = 80000001 10 15 036 80000001 00000001 10 = 80000001 10 15 037 80000001 00000001 11 = 80000001 10 15 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 15 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 15 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 15 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 15 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 15 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 15 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 15 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 15 040 00000000 00000002 00 = 00000000 10 15 041 00000000 00000002 01 = 00000000 10 15 042 00000000 00000002 10 = 00000000 10 15 043 00000000 00000002 11 = 00000000 10 15 044 00000001 00000002 00 = 00000001 10 15 045 00000001 00000002 01 = 00000001 10 15 046 00000001 00000002 10 = 00000001 10 15 047 00000001 00000002 11 = 00000001 10 15 048 00000002 00000002 00 = 00000002 01 15 049 00000002 00000002 01 = 00000002 01 15 04A 00000002 00000002 10 = 00000002 01 15 04B 00000002 00000002 11 = 00000002 01 15 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 15 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 15 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 15 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 15 050 80000000 00000002 00 = 80000000 00 15 051 80000000 00000002 01 = 80000000 00 15 052 80000000 00000002 10 = 80000000 00 15 053 80000000 00000002 11 = 80000000 00 15 054 80000001 00000002 00 = 80000001 00 15 055 80000001 00000002 01 = 80000001 00 15 056 80000001 00000002 10 = 80000001 00 15 057 80000001 00000002 11 = 80000001 00 15 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 15 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 15 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 15 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 15 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 15 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 15 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 15 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 15 060 00000000 7FFFFFFF 00 = 00000000 10 15 061 00000000 7FFFFFFF 01 = 00000000 10 15 062 00000000 7FFFFFFF 10 = 00000000 10 15 063 00000000 7FFFFFFF 11 = 00000000 10 15 064 00000001 7FFFFFFF 00 = 00000001 10 15 065 00000001 7FFFFFFF 01 = 00000001 10 15 066 00000001 7FFFFFFF 10 = 00000001 10 15 067 00000001 7FFFFFFF 11 = 00000001 10 15 068 00000002 7FFFFFFF 00 = 00000002 10 15 069 00000002 7FFFFFFF 01 = 00000002 10 15 06A 00000002 7FFFFFFF 10 = 00000002 10 15 06B 00000002 7FFFFFFF 11 = 00000002 10 15 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 01 15 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 15 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 01 15 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 15 070 80000000 7FFFFFFF 00 = 80000000 00 15 071 80000000 7FFFFFFF 01 = 80000000 00 15 072 80000000 7FFFFFFF 10 = 80000000 00 15 073 80000000 7FFFFFFF 11 = 80000000 00 15 074 80000001 7FFFFFFF 00 = 80000001 00 15 075 80000001 7FFFFFFF 01 = 80000001 00 15 076 80000001 7FFFFFFF 10 = 80000001 00 15 077 80000001 7FFFFFFF 11 = 80000001 00 15 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 15 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 15 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 15 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 15 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 15 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 15 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 15 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 15 080 00000000 80000000 00 = 00000000 10 15 081 00000000 80000000 01 = 00000000 10 15 082 00000000 80000000 10 = 00000000 10 15 083 00000000 80000000 11 = 00000000 10 15 084 00000001 80000000 00 = 00000001 10 15 085 00000001 80000000 01 = 00000001 10 15 086 00000001 80000000 10 = 00000001 10 15 087 00000001 80000000 11 = 00000001 10 15 088 00000002 80000000 00 = 00000002 10 15 089 00000002 80000000 01 = 00000002 10 15 08A 00000002 80000000 10 = 00000002 10 15 08B 00000002 80000000 11 = 00000002 10 15 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 15 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 15 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 15 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 15 090 80000000 80000000 00 = 80000000 01 15 091 80000000 80000000 01 = 80000000 01 15 092 80000000 80000000 10 = 80000000 01 15 093 80000000 80000000 11 = 80000000 01 15 094 80000001 80000000 00 = 80000001 00 15 095 80000001 80000000 01 = 80000001 00 15 096 80000001 80000000 10 = 80000001 00 15 097 80000001 80000000 11 = 80000001 00 15 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 15 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 15 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 15 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 15 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 15 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 15 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 15 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 15 0A0 00000000 80000001 00 = 00000000 00 15 0A1 00000000 80000001 01 = 00000000 00 15 0A2 00000000 80000001 10 = 00000000 00 15 0A3 00000000 80000001 11 = 00000000 00 15 0A4 00000001 80000001 00 = 00000001 10 15 0A5 00000001 80000001 01 = 00000001 10 15 0A6 00000001 80000001 10 = 00000001 10 15 0A7 00000001 80000001 11 = 00000001 10 15 0A8 00000002 80000001 00 = 00000002 10 15 0A9 00000002 80000001 01 = 00000002 10 15 0AA 00000002 80000001 10 = 00000002 10 15 0AB 00000002 80000001 11 = 00000002 10 15 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 15 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 10 15 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 15 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 10 15 0B0 80000000 80000001 00 = 80000000 10 15 0B1 80000000 80000001 01 = 80000000 10 15 0B2 80000000 80000001 10 = 80000000 10 15 0B3 80000000 80000001 11 = 80000000 10 15 0B4 80000001 80000001 00 = 80000001 01 15 0B5 80000001 80000001 01 = 80000001 01 15 0B6 80000001 80000001 10 = 80000001 01 15 0B7 80000001 80000001 11 = 80000001 01 15 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 15 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 15 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 15 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 15 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 15 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 15 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 15 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 15 0C0 00000000 FFFFFFFE 00 = 00000000 00 15 0C1 00000000 FFFFFFFE 01 = 00000000 00 15 0C2 00000000 FFFFFFFE 10 = 00000000 00 15 0C3 00000000 FFFFFFFE 11 = 00000000 00 15 0C4 00000001 FFFFFFFE 00 = 00000001 00 15 0C5 00000001 FFFFFFFE 01 = 00000001 00 15 0C6 00000001 FFFFFFFE 10 = 00000001 00 15 0C7 00000001 FFFFFFFE 11 = 00000001 00 15 0C8 00000002 FFFFFFFE 00 = 00000002 00 15 0C9 00000002 FFFFFFFE 01 = 00000002 00 15 0CA 00000002 FFFFFFFE 10 = 00000002 00 15 0CB 00000002 FFFFFFFE 11 = 00000002 00 15 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 15 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 10 15 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 15 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 10 15 0D0 80000000 FFFFFFFE 00 = 80000000 10 15 0D1 80000000 FFFFFFFE 01 = 80000000 10 15 0D2 80000000 FFFFFFFE 10 = 80000000 10 15 0D3 80000000 FFFFFFFE 11 = 80000000 10 15 0D4 80000001 FFFFFFFE 00 = 80000001 10 15 0D5 80000001 FFFFFFFE 01 = 80000001 10 15 0D6 80000001 FFFFFFFE 10 = 80000001 10 15 0D7 80000001 FFFFFFFE 11 = 80000001 10 15 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 01 15 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 15 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 01 15 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 15 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 15 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 15 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 15 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 15 0E0 00000000 FFFFFFFF 00 = 00000000 00 15 0E1 00000000 FFFFFFFF 01 = 00000000 00 15 0E2 00000000 FFFFFFFF 10 = 00000000 00 15 0E3 00000000 FFFFFFFF 11 = 00000000 00 15 0E4 00000001 FFFFFFFF 00 = 00000001 00 15 0E5 00000001 FFFFFFFF 01 = 00000001 00 15 0E6 00000001 FFFFFFFF 10 = 00000001 00 15 0E7 00000001 FFFFFFFF 11 = 00000001 00 15 0E8 00000002 FFFFFFFF 00 = 00000002 00 15 0E9 00000002 FFFFFFFF 01 = 00000002 00 15 0EA 00000002 FFFFFFFF 10 = 00000002 00 15 0EB 00000002 FFFFFFFF 11 = 00000002 00 15 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 15 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 10 15 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 15 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 15 0F0 80000000 FFFFFFFF 00 = 80000000 10 15 0F1 80000000 FFFFFFFF 01 = 80000000 10 15 0F2 80000000 FFFFFFFF 10 = 80000000 10 15 0F3 80000000 FFFFFFFF 11 = 80000000 10 15 0F4 80000001 FFFFFFFF 00 = 80000001 10 15 0F5 80000001 FFFFFFFF 01 = 80000001 10 15 0F6 80000001 FFFFFFFF 10 = 80000001 10 15 0F7 80000001 FFFFFFFF 11 = 80000001 10 15 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 15 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 15 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 15 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 15 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 01 15 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 15 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 01 15 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 subr ---D---- ---S---- CZ = ---Q---- CZ 16 000 00000000 00000000 00 = 00000000 01 16 001 00000000 00000000 01 = 00000000 01 16 002 00000000 00000000 10 = 00000000 01 16 003 00000000 00000000 11 = 00000000 01 16 004 00000001 00000000 00 = FFFFFFFF 10 16 005 00000001 00000000 01 = FFFFFFFF 10 16 006 00000001 00000000 10 = FFFFFFFF 10 16 007 00000001 00000000 11 = FFFFFFFF 10 16 008 00000002 00000000 00 = FFFFFFFE 10 16 009 00000002 00000000 01 = FFFFFFFE 10 16 00A 00000002 00000000 10 = FFFFFFFE 10 16 00B 00000002 00000000 11 = FFFFFFFE 10 16 00C 7FFFFFFF 00000000 00 = 80000001 10 16 00D 7FFFFFFF 00000000 01 = 80000001 10 16 00E 7FFFFFFF 00000000 10 = 80000001 10 16 00F 7FFFFFFF 00000000 11 = 80000001 10 16 010 80000000 00000000 00 = 80000000 10 16 011 80000000 00000000 01 = 80000000 10 16 012 80000000 00000000 10 = 80000000 10 16 013 80000000 00000000 11 = 80000000 10 16 014 80000001 00000000 00 = 7FFFFFFF 10 16 015 80000001 00000000 01 = 7FFFFFFF 10 16 016 80000001 00000000 10 = 7FFFFFFF 10 16 017 80000001 00000000 11 = 7FFFFFFF 10 16 018 FFFFFFFE 00000000 00 = 00000002 10 16 019 FFFFFFFE 00000000 01 = 00000002 10 16 01A FFFFFFFE 00000000 10 = 00000002 10 16 01B FFFFFFFE 00000000 11 = 00000002 10 16 01C FFFFFFFF 00000000 00 = 00000001 10 16 01D FFFFFFFF 00000000 01 = 00000001 10 16 01E FFFFFFFF 00000000 10 = 00000001 10 16 01F FFFFFFFF 00000000 11 = 00000001 10 16 020 00000000 00000001 00 = 00000001 00 16 021 00000000 00000001 01 = 00000001 00 16 022 00000000 00000001 10 = 00000001 00 16 023 00000000 00000001 11 = 00000001 00 16 024 00000001 00000001 00 = 00000000 01 16 025 00000001 00000001 01 = 00000000 01 16 026 00000001 00000001 10 = 00000000 01 16 027 00000001 00000001 11 = 00000000 01 16 028 00000002 00000001 00 = FFFFFFFF 10 16 029 00000002 00000001 01 = FFFFFFFF 10 16 02A 00000002 00000001 10 = FFFFFFFF 10 16 02B 00000002 00000001 11 = FFFFFFFF 10 16 02C 7FFFFFFF 00000001 00 = 80000002 10 16 02D 7FFFFFFF 00000001 01 = 80000002 10 16 02E 7FFFFFFF 00000001 10 = 80000002 10 16 02F 7FFFFFFF 00000001 11 = 80000002 10 16 030 80000000 00000001 00 = 80000001 10 16 031 80000000 00000001 01 = 80000001 10 16 032 80000000 00000001 10 = 80000001 10 16 033 80000000 00000001 11 = 80000001 10 16 034 80000001 00000001 00 = 80000000 10 16 035 80000001 00000001 01 = 80000000 10 16 036 80000001 00000001 10 = 80000000 10 16 037 80000001 00000001 11 = 80000000 10 16 038 FFFFFFFE 00000001 00 = 00000003 10 16 039 FFFFFFFE 00000001 01 = 00000003 10 16 03A FFFFFFFE 00000001 10 = 00000003 10 16 03B FFFFFFFE 00000001 11 = 00000003 10 16 03C FFFFFFFF 00000001 00 = 00000002 10 16 03D FFFFFFFF 00000001 01 = 00000002 10 16 03E FFFFFFFF 00000001 10 = 00000002 10 16 03F FFFFFFFF 00000001 11 = 00000002 10 16 040 00000000 00000002 00 = 00000002 00 16 041 00000000 00000002 01 = 00000002 00 16 042 00000000 00000002 10 = 00000002 00 16 043 00000000 00000002 11 = 00000002 00 16 044 00000001 00000002 00 = 00000001 00 16 045 00000001 00000002 01 = 00000001 00 16 046 00000001 00000002 10 = 00000001 00 16 047 00000001 00000002 11 = 00000001 00 16 048 00000002 00000002 00 = 00000000 01 16 049 00000002 00000002 01 = 00000000 01 16 04A 00000002 00000002 10 = 00000000 01 16 04B 00000002 00000002 11 = 00000000 01 16 04C 7FFFFFFF 00000002 00 = 80000003 10 16 04D 7FFFFFFF 00000002 01 = 80000003 10 16 04E 7FFFFFFF 00000002 10 = 80000003 10 16 04F 7FFFFFFF 00000002 11 = 80000003 10 16 050 80000000 00000002 00 = 80000002 10 16 051 80000000 00000002 01 = 80000002 10 16 052 80000000 00000002 10 = 80000002 10 16 053 80000000 00000002 11 = 80000002 10 16 054 80000001 00000002 00 = 80000001 10 16 055 80000001 00000002 01 = 80000001 10 16 056 80000001 00000002 10 = 80000001 10 16 057 80000001 00000002 11 = 80000001 10 16 058 FFFFFFFE 00000002 00 = 00000004 10 16 059 FFFFFFFE 00000002 01 = 00000004 10 16 05A FFFFFFFE 00000002 10 = 00000004 10 16 05B FFFFFFFE 00000002 11 = 00000004 10 16 05C FFFFFFFF 00000002 00 = 00000003 10 16 05D FFFFFFFF 00000002 01 = 00000003 10 16 05E FFFFFFFF 00000002 10 = 00000003 10 16 05F FFFFFFFF 00000002 11 = 00000003 10 16 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 16 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 16 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 16 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 16 064 00000001 7FFFFFFF 00 = 7FFFFFFE 00 16 065 00000001 7FFFFFFF 01 = 7FFFFFFE 00 16 066 00000001 7FFFFFFF 10 = 7FFFFFFE 00 16 067 00000001 7FFFFFFF 11 = 7FFFFFFE 00 16 068 00000002 7FFFFFFF 00 = 7FFFFFFD 00 16 069 00000002 7FFFFFFF 01 = 7FFFFFFD 00 16 06A 00000002 7FFFFFFF 10 = 7FFFFFFD 00 16 06B 00000002 7FFFFFFF 11 = 7FFFFFFD 00 16 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 16 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 16 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 16 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 16 070 80000000 7FFFFFFF 00 = FFFFFFFF 10 16 071 80000000 7FFFFFFF 01 = FFFFFFFF 10 16 072 80000000 7FFFFFFF 10 = FFFFFFFF 10 16 073 80000000 7FFFFFFF 11 = FFFFFFFF 10 16 074 80000001 7FFFFFFF 00 = FFFFFFFE 10 16 075 80000001 7FFFFFFF 01 = FFFFFFFE 10 16 076 80000001 7FFFFFFF 10 = FFFFFFFE 10 16 077 80000001 7FFFFFFF 11 = FFFFFFFE 10 16 078 FFFFFFFE 7FFFFFFF 00 = 80000001 10 16 079 FFFFFFFE 7FFFFFFF 01 = 80000001 10 16 07A FFFFFFFE 7FFFFFFF 10 = 80000001 10 16 07B FFFFFFFE 7FFFFFFF 11 = 80000001 10 16 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 16 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 16 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 16 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 16 080 00000000 80000000 00 = 80000000 00 16 081 00000000 80000000 01 = 80000000 00 16 082 00000000 80000000 10 = 80000000 00 16 083 00000000 80000000 11 = 80000000 00 16 084 00000001 80000000 00 = 7FFFFFFF 00 16 085 00000001 80000000 01 = 7FFFFFFF 00 16 086 00000001 80000000 10 = 7FFFFFFF 00 16 087 00000001 80000000 11 = 7FFFFFFF 00 16 088 00000002 80000000 00 = 7FFFFFFE 00 16 089 00000002 80000000 01 = 7FFFFFFE 00 16 08A 00000002 80000000 10 = 7FFFFFFE 00 16 08B 00000002 80000000 11 = 7FFFFFFE 00 16 08C 7FFFFFFF 80000000 00 = 00000001 00 16 08D 7FFFFFFF 80000000 01 = 00000001 00 16 08E 7FFFFFFF 80000000 10 = 00000001 00 16 08F 7FFFFFFF 80000000 11 = 00000001 00 16 090 80000000 80000000 00 = 00000000 01 16 091 80000000 80000000 01 = 00000000 01 16 092 80000000 80000000 10 = 00000000 01 16 093 80000000 80000000 11 = 00000000 01 16 094 80000001 80000000 00 = FFFFFFFF 10 16 095 80000001 80000000 01 = FFFFFFFF 10 16 096 80000001 80000000 10 = FFFFFFFF 10 16 097 80000001 80000000 11 = FFFFFFFF 10 16 098 FFFFFFFE 80000000 00 = 80000002 10 16 099 FFFFFFFE 80000000 01 = 80000002 10 16 09A FFFFFFFE 80000000 10 = 80000002 10 16 09B FFFFFFFE 80000000 11 = 80000002 10 16 09C FFFFFFFF 80000000 00 = 80000001 10 16 09D FFFFFFFF 80000000 01 = 80000001 10 16 09E FFFFFFFF 80000000 10 = 80000001 10 16 09F FFFFFFFF 80000000 11 = 80000001 10 16 0A0 00000000 80000001 00 = 80000001 00 16 0A1 00000000 80000001 01 = 80000001 00 16 0A2 00000000 80000001 10 = 80000001 00 16 0A3 00000000 80000001 11 = 80000001 00 16 0A4 00000001 80000001 00 = 80000000 00 16 0A5 00000001 80000001 01 = 80000000 00 16 0A6 00000001 80000001 10 = 80000000 00 16 0A7 00000001 80000001 11 = 80000000 00 16 0A8 00000002 80000001 00 = 7FFFFFFF 00 16 0A9 00000002 80000001 01 = 7FFFFFFF 00 16 0AA 00000002 80000001 10 = 7FFFFFFF 00 16 0AB 00000002 80000001 11 = 7FFFFFFF 00 16 0AC 7FFFFFFF 80000001 00 = 00000002 00 16 0AD 7FFFFFFF 80000001 01 = 00000002 00 16 0AE 7FFFFFFF 80000001 10 = 00000002 00 16 0AF 7FFFFFFF 80000001 11 = 00000002 00 16 0B0 80000000 80000001 00 = 00000001 00 16 0B1 80000000 80000001 01 = 00000001 00 16 0B2 80000000 80000001 10 = 00000001 00 16 0B3 80000000 80000001 11 = 00000001 00 16 0B4 80000001 80000001 00 = 00000000 01 16 0B5 80000001 80000001 01 = 00000000 01 16 0B6 80000001 80000001 10 = 00000000 01 16 0B7 80000001 80000001 11 = 00000000 01 16 0B8 FFFFFFFE 80000001 00 = 80000003 10 16 0B9 FFFFFFFE 80000001 01 = 80000003 10 16 0BA FFFFFFFE 80000001 10 = 80000003 10 16 0BB FFFFFFFE 80000001 11 = 80000003 10 16 0BC FFFFFFFF 80000001 00 = 80000002 10 16 0BD FFFFFFFF 80000001 01 = 80000002 10 16 0BE FFFFFFFF 80000001 10 = 80000002 10 16 0BF FFFFFFFF 80000001 11 = 80000002 10 16 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 16 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 16 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 16 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 16 0C4 00000001 FFFFFFFE 00 = FFFFFFFD 00 16 0C5 00000001 FFFFFFFE 01 = FFFFFFFD 00 16 0C6 00000001 FFFFFFFE 10 = FFFFFFFD 00 16 0C7 00000001 FFFFFFFE 11 = FFFFFFFD 00 16 0C8 00000002 FFFFFFFE 00 = FFFFFFFC 00 16 0C9 00000002 FFFFFFFE 01 = FFFFFFFC 00 16 0CA 00000002 FFFFFFFE 10 = FFFFFFFC 00 16 0CB 00000002 FFFFFFFE 11 = FFFFFFFC 00 16 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 16 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 16 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 16 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 16 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 00 16 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 00 16 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 00 16 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 00 16 0D4 80000001 FFFFFFFE 00 = 7FFFFFFD 00 16 0D5 80000001 FFFFFFFE 01 = 7FFFFFFD 00 16 0D6 80000001 FFFFFFFE 10 = 7FFFFFFD 00 16 0D7 80000001 FFFFFFFE 11 = 7FFFFFFD 00 16 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 16 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 16 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 16 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 16 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 16 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 16 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 16 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 16 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 16 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 16 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 16 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 16 0E4 00000001 FFFFFFFF 00 = FFFFFFFE 00 16 0E5 00000001 FFFFFFFF 01 = FFFFFFFE 00 16 0E6 00000001 FFFFFFFF 10 = FFFFFFFE 00 16 0E7 00000001 FFFFFFFF 11 = FFFFFFFE 00 16 0E8 00000002 FFFFFFFF 00 = FFFFFFFD 00 16 0E9 00000002 FFFFFFFF 01 = FFFFFFFD 00 16 0EA 00000002 FFFFFFFF 10 = FFFFFFFD 00 16 0EB 00000002 FFFFFFFF 11 = FFFFFFFD 00 16 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 00 16 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 00 16 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 00 16 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 00 16 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 00 16 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 00 16 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 00 16 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 00 16 0F4 80000001 FFFFFFFF 00 = 7FFFFFFE 00 16 0F5 80000001 FFFFFFFF 01 = 7FFFFFFE 00 16 0F6 80000001 FFFFFFFF 10 = 7FFFFFFE 00 16 0F7 80000001 FFFFFFFF 11 = 7FFFFFFE 00 16 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 00 16 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 00 16 0FA FFFFFFFE FFFFFFFF 10 = 00000001 00 16 0FB FFFFFFFE FFFFFFFF 11 = 00000001 00 16 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 16 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 16 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 16 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 cmpsub ---D---- ---S---- CZ = ---Q---- CZ 17 000 00000000 00000000 00 = 00000000 11 17 001 00000000 00000000 01 = 00000000 11 17 002 00000000 00000000 10 = 00000000 11 17 003 00000000 00000000 11 = 00000000 11 17 004 00000001 00000000 00 = 00000001 10 17 005 00000001 00000000 01 = 00000001 10 17 006 00000001 00000000 10 = 00000001 10 17 007 00000001 00000000 11 = 00000001 10 17 008 00000002 00000000 00 = 00000002 10 17 009 00000002 00000000 01 = 00000002 10 17 00A 00000002 00000000 10 = 00000002 10 17 00B 00000002 00000000 11 = 00000002 10 17 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 17 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 17 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 17 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 17 010 80000000 00000000 00 = 80000000 10 17 011 80000000 00000000 01 = 80000000 10 17 012 80000000 00000000 10 = 80000000 10 17 013 80000000 00000000 11 = 80000000 10 17 014 80000001 00000000 00 = 80000001 10 17 015 80000001 00000000 01 = 80000001 10 17 016 80000001 00000000 10 = 80000001 10 17 017 80000001 00000000 11 = 80000001 10 17 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 17 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 17 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 17 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 17 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 17 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 17 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 17 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 17 020 00000000 00000001 00 = 00000000 01 17 021 00000000 00000001 01 = 00000000 01 17 022 00000000 00000001 10 = 00000000 01 17 023 00000000 00000001 11 = 00000000 01 17 024 00000001 00000001 00 = 00000000 11 17 025 00000001 00000001 01 = 00000000 11 17 026 00000001 00000001 10 = 00000000 11 17 027 00000001 00000001 11 = 00000000 11 17 028 00000002 00000001 00 = 00000001 10 17 029 00000002 00000001 01 = 00000001 10 17 02A 00000002 00000001 10 = 00000001 10 17 02B 00000002 00000001 11 = 00000001 10 17 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 10 17 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 10 17 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 10 17 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 10 17 030 80000000 00000001 00 = 7FFFFFFF 10 17 031 80000000 00000001 01 = 7FFFFFFF 10 17 032 80000000 00000001 10 = 7FFFFFFF 10 17 033 80000000 00000001 11 = 7FFFFFFF 10 17 034 80000001 00000001 00 = 80000000 10 17 035 80000001 00000001 01 = 80000000 10 17 036 80000001 00000001 10 = 80000000 10 17 037 80000001 00000001 11 = 80000000 10 17 038 FFFFFFFE 00000001 00 = FFFFFFFD 10 17 039 FFFFFFFE 00000001 01 = FFFFFFFD 10 17 03A FFFFFFFE 00000001 10 = FFFFFFFD 10 17 03B FFFFFFFE 00000001 11 = FFFFFFFD 10 17 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 17 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 17 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 17 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 17 040 00000000 00000002 00 = 00000000 01 17 041 00000000 00000002 01 = 00000000 01 17 042 00000000 00000002 10 = 00000000 01 17 043 00000000 00000002 11 = 00000000 01 17 044 00000001 00000002 00 = 00000001 00 17 045 00000001 00000002 01 = 00000001 00 17 046 00000001 00000002 10 = 00000001 00 17 047 00000001 00000002 11 = 00000001 00 17 048 00000002 00000002 00 = 00000000 11 17 049 00000002 00000002 01 = 00000000 11 17 04A 00000002 00000002 10 = 00000000 11 17 04B 00000002 00000002 11 = 00000000 11 17 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 10 17 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 10 17 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 10 17 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 10 17 050 80000000 00000002 00 = 7FFFFFFE 10 17 051 80000000 00000002 01 = 7FFFFFFE 10 17 052 80000000 00000002 10 = 7FFFFFFE 10 17 053 80000000 00000002 11 = 7FFFFFFE 10 17 054 80000001 00000002 00 = 7FFFFFFF 10 17 055 80000001 00000002 01 = 7FFFFFFF 10 17 056 80000001 00000002 10 = 7FFFFFFF 10 17 057 80000001 00000002 11 = 7FFFFFFF 10 17 058 FFFFFFFE 00000002 00 = FFFFFFFC 10 17 059 FFFFFFFE 00000002 01 = FFFFFFFC 10 17 05A FFFFFFFE 00000002 10 = FFFFFFFC 10 17 05B FFFFFFFE 00000002 11 = FFFFFFFC 10 17 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 17 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 17 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 17 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 17 060 00000000 7FFFFFFF 00 = 00000000 01 17 061 00000000 7FFFFFFF 01 = 00000000 01 17 062 00000000 7FFFFFFF 10 = 00000000 01 17 063 00000000 7FFFFFFF 11 = 00000000 01 17 064 00000001 7FFFFFFF 00 = 00000001 00 17 065 00000001 7FFFFFFF 01 = 00000001 00 17 066 00000001 7FFFFFFF 10 = 00000001 00 17 067 00000001 7FFFFFFF 11 = 00000001 00 17 068 00000002 7FFFFFFF 00 = 00000002 00 17 069 00000002 7FFFFFFF 01 = 00000002 00 17 06A 00000002 7FFFFFFF 10 = 00000002 00 17 06B 00000002 7FFFFFFF 11 = 00000002 00 17 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 11 17 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 11 17 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 11 17 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 11 17 070 80000000 7FFFFFFF 00 = 00000001 10 17 071 80000000 7FFFFFFF 01 = 00000001 10 17 072 80000000 7FFFFFFF 10 = 00000001 10 17 073 80000000 7FFFFFFF 11 = 00000001 10 17 074 80000001 7FFFFFFF 00 = 00000002 10 17 075 80000001 7FFFFFFF 01 = 00000002 10 17 076 80000001 7FFFFFFF 10 = 00000002 10 17 077 80000001 7FFFFFFF 11 = 00000002 10 17 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 17 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 17 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 17 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 17 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 17 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 17 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 17 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 17 080 00000000 80000000 00 = 00000000 01 17 081 00000000 80000000 01 = 00000000 01 17 082 00000000 80000000 10 = 00000000 01 17 083 00000000 80000000 11 = 00000000 01 17 084 00000001 80000000 00 = 00000001 00 17 085 00000001 80000000 01 = 00000001 00 17 086 00000001 80000000 10 = 00000001 00 17 087 00000001 80000000 11 = 00000001 00 17 088 00000002 80000000 00 = 00000002 00 17 089 00000002 80000000 01 = 00000002 00 17 08A 00000002 80000000 10 = 00000002 00 17 08B 00000002 80000000 11 = 00000002 00 17 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 17 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 17 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 17 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 17 090 80000000 80000000 00 = 00000000 11 17 091 80000000 80000000 01 = 00000000 11 17 092 80000000 80000000 10 = 00000000 11 17 093 80000000 80000000 11 = 00000000 11 17 094 80000001 80000000 00 = 00000001 10 17 095 80000001 80000000 01 = 00000001 10 17 096 80000001 80000000 10 = 00000001 10 17 097 80000001 80000000 11 = 00000001 10 17 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 17 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 17 09A FFFFFFFE 80000000 10 = 7FFFFFFE 10 17 09B FFFFFFFE 80000000 11 = 7FFFFFFE 10 17 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 17 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 17 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 17 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 17 0A0 00000000 80000001 00 = 00000000 01 17 0A1 00000000 80000001 01 = 00000000 01 17 0A2 00000000 80000001 10 = 00000000 01 17 0A3 00000000 80000001 11 = 00000000 01 17 0A4 00000001 80000001 00 = 00000001 00 17 0A5 00000001 80000001 01 = 00000001 00 17 0A6 00000001 80000001 10 = 00000001 00 17 0A7 00000001 80000001 11 = 00000001 00 17 0A8 00000002 80000001 00 = 00000002 00 17 0A9 00000002 80000001 01 = 00000002 00 17 0AA 00000002 80000001 10 = 00000002 00 17 0AB 00000002 80000001 11 = 00000002 00 17 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 17 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 17 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 17 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 17 0B0 80000000 80000001 00 = 80000000 00 17 0B1 80000000 80000001 01 = 80000000 00 17 0B2 80000000 80000001 10 = 80000000 00 17 0B3 80000000 80000001 11 = 80000000 00 17 0B4 80000001 80000001 00 = 00000000 11 17 0B5 80000001 80000001 01 = 00000000 11 17 0B6 80000001 80000001 10 = 00000000 11 17 0B7 80000001 80000001 11 = 00000000 11 17 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 10 17 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 10 17 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 10 17 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 10 17 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 10 17 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 10 17 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 10 17 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 10 17 0C0 00000000 FFFFFFFE 00 = 00000000 01 17 0C1 00000000 FFFFFFFE 01 = 00000000 01 17 0C2 00000000 FFFFFFFE 10 = 00000000 01 17 0C3 00000000 FFFFFFFE 11 = 00000000 01 17 0C4 00000001 FFFFFFFE 00 = 00000001 00 17 0C5 00000001 FFFFFFFE 01 = 00000001 00 17 0C6 00000001 FFFFFFFE 10 = 00000001 00 17 0C7 00000001 FFFFFFFE 11 = 00000001 00 17 0C8 00000002 FFFFFFFE 00 = 00000002 00 17 0C9 00000002 FFFFFFFE 01 = 00000002 00 17 0CA 00000002 FFFFFFFE 10 = 00000002 00 17 0CB 00000002 FFFFFFFE 11 = 00000002 00 17 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 17 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 17 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 17 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 17 0D0 80000000 FFFFFFFE 00 = 80000000 00 17 0D1 80000000 FFFFFFFE 01 = 80000000 00 17 0D2 80000000 FFFFFFFE 10 = 80000000 00 17 0D3 80000000 FFFFFFFE 11 = 80000000 00 17 0D4 80000001 FFFFFFFE 00 = 80000001 00 17 0D5 80000001 FFFFFFFE 01 = 80000001 00 17 0D6 80000001 FFFFFFFE 10 = 80000001 00 17 0D7 80000001 FFFFFFFE 11 = 80000001 00 17 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 11 17 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 11 17 0DA FFFFFFFE FFFFFFFE 10 = 00000000 11 17 0DB FFFFFFFE FFFFFFFE 11 = 00000000 11 17 0DC FFFFFFFF FFFFFFFE 00 = 00000001 10 17 0DD FFFFFFFF FFFFFFFE 01 = 00000001 10 17 0DE FFFFFFFF FFFFFFFE 10 = 00000001 10 17 0DF FFFFFFFF FFFFFFFE 11 = 00000001 10 17 0E0 00000000 FFFFFFFF 00 = 00000000 01 17 0E1 00000000 FFFFFFFF 01 = 00000000 01 17 0E2 00000000 FFFFFFFF 10 = 00000000 01 17 0E3 00000000 FFFFFFFF 11 = 00000000 01 17 0E4 00000001 FFFFFFFF 00 = 00000001 00 17 0E5 00000001 FFFFFFFF 01 = 00000001 00 17 0E6 00000001 FFFFFFFF 10 = 00000001 00 17 0E7 00000001 FFFFFFFF 11 = 00000001 00 17 0E8 00000002 FFFFFFFF 00 = 00000002 00 17 0E9 00000002 FFFFFFFF 01 = 00000002 00 17 0EA 00000002 FFFFFFFF 10 = 00000002 00 17 0EB 00000002 FFFFFFFF 11 = 00000002 00 17 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 17 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 17 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 17 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 17 0F0 80000000 FFFFFFFF 00 = 80000000 00 17 0F1 80000000 FFFFFFFF 01 = 80000000 00 17 0F2 80000000 FFFFFFFF 10 = 80000000 00 17 0F3 80000000 FFFFFFFF 11 = 80000000 00 17 0F4 80000001 FFFFFFFF 00 = 80000001 00 17 0F5 80000001 FFFFFFFF 01 = 80000001 00 17 0F6 80000001 FFFFFFFF 10 = 80000001 00 17 0F7 80000001 FFFFFFFF 11 = 80000001 00 17 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 17 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 17 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 17 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 17 0FC FFFFFFFF FFFFFFFF 00 = 00000000 11 17 0FD FFFFFFFF FFFFFFFF 01 = 00000000 11 17 0FE FFFFFFFF FFFFFFFF 10 = 00000000 11 17 0FF FFFFFFFF FFFFFFFF 11 = 00000000 11 fge ---D---- ---S---- CZ = ---Q---- CZ 18 000 00000000 00000000 00 = 00000000 01 18 001 00000000 00000000 01 = 00000000 01 18 002 00000000 00000000 10 = 00000000 01 18 003 00000000 00000000 11 = 00000000 01 18 004 00000001 00000000 00 = 00000001 00 18 005 00000001 00000000 01 = 00000001 00 18 006 00000001 00000000 10 = 00000001 00 18 007 00000001 00000000 11 = 00000001 00 18 008 00000002 00000000 00 = 00000002 00 18 009 00000002 00000000 01 = 00000002 00 18 00A 00000002 00000000 10 = 00000002 00 18 00B 00000002 00000000 11 = 00000002 00 18 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 18 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 18 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 18 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 18 010 80000000 00000000 00 = 80000000 00 18 011 80000000 00000000 01 = 80000000 00 18 012 80000000 00000000 10 = 80000000 00 18 013 80000000 00000000 11 = 80000000 00 18 014 80000001 00000000 00 = 80000001 00 18 015 80000001 00000000 01 = 80000001 00 18 016 80000001 00000000 10 = 80000001 00 18 017 80000001 00000000 11 = 80000001 00 18 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 18 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 18 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 18 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 18 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 18 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 18 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 18 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 18 020 00000000 00000001 00 = 00000001 10 18 021 00000000 00000001 01 = 00000001 10 18 022 00000000 00000001 10 = 00000001 10 18 023 00000000 00000001 11 = 00000001 10 18 024 00000001 00000001 00 = 00000001 00 18 025 00000001 00000001 01 = 00000001 00 18 026 00000001 00000001 10 = 00000001 00 18 027 00000001 00000001 11 = 00000001 00 18 028 00000002 00000001 00 = 00000002 00 18 029 00000002 00000001 01 = 00000002 00 18 02A 00000002 00000001 10 = 00000002 00 18 02B 00000002 00000001 11 = 00000002 00 18 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 18 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 18 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 18 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 18 030 80000000 00000001 00 = 80000000 00 18 031 80000000 00000001 01 = 80000000 00 18 032 80000000 00000001 10 = 80000000 00 18 033 80000000 00000001 11 = 80000000 00 18 034 80000001 00000001 00 = 80000001 00 18 035 80000001 00000001 01 = 80000001 00 18 036 80000001 00000001 10 = 80000001 00 18 037 80000001 00000001 11 = 80000001 00 18 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 18 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 18 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 18 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 18 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 18 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 18 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 18 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 18 040 00000000 00000002 00 = 00000002 10 18 041 00000000 00000002 01 = 00000002 10 18 042 00000000 00000002 10 = 00000002 10 18 043 00000000 00000002 11 = 00000002 10 18 044 00000001 00000002 00 = 00000002 10 18 045 00000001 00000002 01 = 00000002 10 18 046 00000001 00000002 10 = 00000002 10 18 047 00000001 00000002 11 = 00000002 10 18 048 00000002 00000002 00 = 00000002 00 18 049 00000002 00000002 01 = 00000002 00 18 04A 00000002 00000002 10 = 00000002 00 18 04B 00000002 00000002 11 = 00000002 00 18 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 18 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 18 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 18 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 18 050 80000000 00000002 00 = 80000000 00 18 051 80000000 00000002 01 = 80000000 00 18 052 80000000 00000002 10 = 80000000 00 18 053 80000000 00000002 11 = 80000000 00 18 054 80000001 00000002 00 = 80000001 00 18 055 80000001 00000002 01 = 80000001 00 18 056 80000001 00000002 10 = 80000001 00 18 057 80000001 00000002 11 = 80000001 00 18 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 18 059 FFFFFFFE 00000002 01 = FFFFFFFE 00 18 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 18 05B FFFFFFFE 00000002 11 = FFFFFFFE 00 18 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 18 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 18 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 18 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 18 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 18 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 18 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 18 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 18 064 00000001 7FFFFFFF 00 = 7FFFFFFF 10 18 065 00000001 7FFFFFFF 01 = 7FFFFFFF 10 18 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 18 067 00000001 7FFFFFFF 11 = 7FFFFFFF 10 18 068 00000002 7FFFFFFF 00 = 7FFFFFFF 10 18 069 00000002 7FFFFFFF 01 = 7FFFFFFF 10 18 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 18 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 18 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 18 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 18 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 18 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 18 070 80000000 7FFFFFFF 00 = 80000000 00 18 071 80000000 7FFFFFFF 01 = 80000000 00 18 072 80000000 7FFFFFFF 10 = 80000000 00 18 073 80000000 7FFFFFFF 11 = 80000000 00 18 074 80000001 7FFFFFFF 00 = 80000001 00 18 075 80000001 7FFFFFFF 01 = 80000001 00 18 076 80000001 7FFFFFFF 10 = 80000001 00 18 077 80000001 7FFFFFFF 11 = 80000001 00 18 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 18 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 18 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 18 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 18 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 18 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 18 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 18 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 18 080 00000000 80000000 00 = 80000000 10 18 081 00000000 80000000 01 = 80000000 10 18 082 00000000 80000000 10 = 80000000 10 18 083 00000000 80000000 11 = 80000000 10 18 084 00000001 80000000 00 = 80000000 10 18 085 00000001 80000000 01 = 80000000 10 18 086 00000001 80000000 10 = 80000000 10 18 087 00000001 80000000 11 = 80000000 10 18 088 00000002 80000000 00 = 80000000 10 18 089 00000002 80000000 01 = 80000000 10 18 08A 00000002 80000000 10 = 80000000 10 18 08B 00000002 80000000 11 = 80000000 10 18 08C 7FFFFFFF 80000000 00 = 80000000 10 18 08D 7FFFFFFF 80000000 01 = 80000000 10 18 08E 7FFFFFFF 80000000 10 = 80000000 10 18 08F 7FFFFFFF 80000000 11 = 80000000 10 18 090 80000000 80000000 00 = 80000000 00 18 091 80000000 80000000 01 = 80000000 00 18 092 80000000 80000000 10 = 80000000 00 18 093 80000000 80000000 11 = 80000000 00 18 094 80000001 80000000 00 = 80000001 00 18 095 80000001 80000000 01 = 80000001 00 18 096 80000001 80000000 10 = 80000001 00 18 097 80000001 80000000 11 = 80000001 00 18 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 18 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 18 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 18 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 18 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 18 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 18 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 18 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 18 0A0 00000000 80000001 00 = 80000001 10 18 0A1 00000000 80000001 01 = 80000001 10 18 0A2 00000000 80000001 10 = 80000001 10 18 0A3 00000000 80000001 11 = 80000001 10 18 0A4 00000001 80000001 00 = 80000001 10 18 0A5 00000001 80000001 01 = 80000001 10 18 0A6 00000001 80000001 10 = 80000001 10 18 0A7 00000001 80000001 11 = 80000001 10 18 0A8 00000002 80000001 00 = 80000001 10 18 0A9 00000002 80000001 01 = 80000001 10 18 0AA 00000002 80000001 10 = 80000001 10 18 0AB 00000002 80000001 11 = 80000001 10 18 0AC 7FFFFFFF 80000001 00 = 80000001 10 18 0AD 7FFFFFFF 80000001 01 = 80000001 10 18 0AE 7FFFFFFF 80000001 10 = 80000001 10 18 0AF 7FFFFFFF 80000001 11 = 80000001 10 18 0B0 80000000 80000001 00 = 80000001 10 18 0B1 80000000 80000001 01 = 80000001 10 18 0B2 80000000 80000001 10 = 80000001 10 18 0B3 80000000 80000001 11 = 80000001 10 18 0B4 80000001 80000001 00 = 80000001 00 18 0B5 80000001 80000001 01 = 80000001 00 18 0B6 80000001 80000001 10 = 80000001 00 18 0B7 80000001 80000001 11 = 80000001 00 18 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 18 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 18 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 18 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 18 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 18 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 18 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 18 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 18 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 18 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 18 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 18 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 18 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 10 18 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 10 18 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 18 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 18 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 18 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 18 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 18 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 18 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 10 18 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 10 18 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 18 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 10 18 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 18 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 18 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 18 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 18 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 10 18 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 10 18 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 18 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 18 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 18 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 00 18 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 18 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 00 18 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 18 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 18 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 18 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 18 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 18 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 18 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 18 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 18 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 10 18 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 10 18 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 18 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 18 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 10 18 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 10 18 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 18 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 10 18 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 18 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 18 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 18 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 18 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 10 18 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 10 18 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 18 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 10 18 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 10 18 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 10 18 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 18 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 18 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 18 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 18 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 18 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 18 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 18 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 18 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 18 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 fle ---D---- ---S---- CZ = ---Q---- CZ 19 000 00000000 00000000 00 = 00000000 01 19 001 00000000 00000000 01 = 00000000 01 19 002 00000000 00000000 10 = 00000000 01 19 003 00000000 00000000 11 = 00000000 01 19 004 00000001 00000000 00 = 00000000 11 19 005 00000001 00000000 01 = 00000000 11 19 006 00000001 00000000 10 = 00000000 11 19 007 00000001 00000000 11 = 00000000 11 19 008 00000002 00000000 00 = 00000000 11 19 009 00000002 00000000 01 = 00000000 11 19 00A 00000002 00000000 10 = 00000000 11 19 00B 00000002 00000000 11 = 00000000 11 19 00C 7FFFFFFF 00000000 00 = 00000000 11 19 00D 7FFFFFFF 00000000 01 = 00000000 11 19 00E 7FFFFFFF 00000000 10 = 00000000 11 19 00F 7FFFFFFF 00000000 11 = 00000000 11 19 010 80000000 00000000 00 = 00000000 11 19 011 80000000 00000000 01 = 00000000 11 19 012 80000000 00000000 10 = 00000000 11 19 013 80000000 00000000 11 = 00000000 11 19 014 80000001 00000000 00 = 00000000 11 19 015 80000001 00000000 01 = 00000000 11 19 016 80000001 00000000 10 = 00000000 11 19 017 80000001 00000000 11 = 00000000 11 19 018 FFFFFFFE 00000000 00 = 00000000 11 19 019 FFFFFFFE 00000000 01 = 00000000 11 19 01A FFFFFFFE 00000000 10 = 00000000 11 19 01B FFFFFFFE 00000000 11 = 00000000 11 19 01C FFFFFFFF 00000000 00 = 00000000 11 19 01D FFFFFFFF 00000000 01 = 00000000 11 19 01E FFFFFFFF 00000000 10 = 00000000 11 19 01F FFFFFFFF 00000000 11 = 00000000 11 19 020 00000000 00000001 00 = 00000000 01 19 021 00000000 00000001 01 = 00000000 01 19 022 00000000 00000001 10 = 00000000 01 19 023 00000000 00000001 11 = 00000000 01 19 024 00000001 00000001 00 = 00000001 00 19 025 00000001 00000001 01 = 00000001 00 19 026 00000001 00000001 10 = 00000001 00 19 027 00000001 00000001 11 = 00000001 00 19 028 00000002 00000001 00 = 00000001 10 19 029 00000002 00000001 01 = 00000001 10 19 02A 00000002 00000001 10 = 00000001 10 19 02B 00000002 00000001 11 = 00000001 10 19 02C 7FFFFFFF 00000001 00 = 00000001 10 19 02D 7FFFFFFF 00000001 01 = 00000001 10 19 02E 7FFFFFFF 00000001 10 = 00000001 10 19 02F 7FFFFFFF 00000001 11 = 00000001 10 19 030 80000000 00000001 00 = 00000001 10 19 031 80000000 00000001 01 = 00000001 10 19 032 80000000 00000001 10 = 00000001 10 19 033 80000000 00000001 11 = 00000001 10 19 034 80000001 00000001 00 = 00000001 10 19 035 80000001 00000001 01 = 00000001 10 19 036 80000001 00000001 10 = 00000001 10 19 037 80000001 00000001 11 = 00000001 10 19 038 FFFFFFFE 00000001 00 = 00000001 10 19 039 FFFFFFFE 00000001 01 = 00000001 10 19 03A FFFFFFFE 00000001 10 = 00000001 10 19 03B FFFFFFFE 00000001 11 = 00000001 10 19 03C FFFFFFFF 00000001 00 = 00000001 10 19 03D FFFFFFFF 00000001 01 = 00000001 10 19 03E FFFFFFFF 00000001 10 = 00000001 10 19 03F FFFFFFFF 00000001 11 = 00000001 10 19 040 00000000 00000002 00 = 00000000 01 19 041 00000000 00000002 01 = 00000000 01 19 042 00000000 00000002 10 = 00000000 01 19 043 00000000 00000002 11 = 00000000 01 19 044 00000001 00000002 00 = 00000001 00 19 045 00000001 00000002 01 = 00000001 00 19 046 00000001 00000002 10 = 00000001 00 19 047 00000001 00000002 11 = 00000001 00 19 048 00000002 00000002 00 = 00000002 00 19 049 00000002 00000002 01 = 00000002 00 19 04A 00000002 00000002 10 = 00000002 00 19 04B 00000002 00000002 11 = 00000002 00 19 04C 7FFFFFFF 00000002 00 = 00000002 10 19 04D 7FFFFFFF 00000002 01 = 00000002 10 19 04E 7FFFFFFF 00000002 10 = 00000002 10 19 04F 7FFFFFFF 00000002 11 = 00000002 10 19 050 80000000 00000002 00 = 00000002 10 19 051 80000000 00000002 01 = 00000002 10 19 052 80000000 00000002 10 = 00000002 10 19 053 80000000 00000002 11 = 00000002 10 19 054 80000001 00000002 00 = 00000002 10 19 055 80000001 00000002 01 = 00000002 10 19 056 80000001 00000002 10 = 00000002 10 19 057 80000001 00000002 11 = 00000002 10 19 058 FFFFFFFE 00000002 00 = 00000002 10 19 059 FFFFFFFE 00000002 01 = 00000002 10 19 05A FFFFFFFE 00000002 10 = 00000002 10 19 05B FFFFFFFE 00000002 11 = 00000002 10 19 05C FFFFFFFF 00000002 00 = 00000002 10 19 05D FFFFFFFF 00000002 01 = 00000002 10 19 05E FFFFFFFF 00000002 10 = 00000002 10 19 05F FFFFFFFF 00000002 11 = 00000002 10 19 060 00000000 7FFFFFFF 00 = 00000000 01 19 061 00000000 7FFFFFFF 01 = 00000000 01 19 062 00000000 7FFFFFFF 10 = 00000000 01 19 063 00000000 7FFFFFFF 11 = 00000000 01 19 064 00000001 7FFFFFFF 00 = 00000001 00 19 065 00000001 7FFFFFFF 01 = 00000001 00 19 066 00000001 7FFFFFFF 10 = 00000001 00 19 067 00000001 7FFFFFFF 11 = 00000001 00 19 068 00000002 7FFFFFFF 00 = 00000002 00 19 069 00000002 7FFFFFFF 01 = 00000002 00 19 06A 00000002 7FFFFFFF 10 = 00000002 00 19 06B 00000002 7FFFFFFF 11 = 00000002 00 19 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 19 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 19 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 19 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 19 070 80000000 7FFFFFFF 00 = 7FFFFFFF 10 19 071 80000000 7FFFFFFF 01 = 7FFFFFFF 10 19 072 80000000 7FFFFFFF 10 = 7FFFFFFF 10 19 073 80000000 7FFFFFFF 11 = 7FFFFFFF 10 19 074 80000001 7FFFFFFF 00 = 7FFFFFFF 10 19 075 80000001 7FFFFFFF 01 = 7FFFFFFF 10 19 076 80000001 7FFFFFFF 10 = 7FFFFFFF 10 19 077 80000001 7FFFFFFF 11 = 7FFFFFFF 10 19 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 19 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 19 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 19 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 19 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 19 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 19 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 19 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 19 080 00000000 80000000 00 = 00000000 01 19 081 00000000 80000000 01 = 00000000 01 19 082 00000000 80000000 10 = 00000000 01 19 083 00000000 80000000 11 = 00000000 01 19 084 00000001 80000000 00 = 00000001 00 19 085 00000001 80000000 01 = 00000001 00 19 086 00000001 80000000 10 = 00000001 00 19 087 00000001 80000000 11 = 00000001 00 19 088 00000002 80000000 00 = 00000002 00 19 089 00000002 80000000 01 = 00000002 00 19 08A 00000002 80000000 10 = 00000002 00 19 08B 00000002 80000000 11 = 00000002 00 19 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 19 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 19 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 19 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 19 090 80000000 80000000 00 = 80000000 00 19 091 80000000 80000000 01 = 80000000 00 19 092 80000000 80000000 10 = 80000000 00 19 093 80000000 80000000 11 = 80000000 00 19 094 80000001 80000000 00 = 80000000 10 19 095 80000001 80000000 01 = 80000000 10 19 096 80000001 80000000 10 = 80000000 10 19 097 80000001 80000000 11 = 80000000 10 19 098 FFFFFFFE 80000000 00 = 80000000 10 19 099 FFFFFFFE 80000000 01 = 80000000 10 19 09A FFFFFFFE 80000000 10 = 80000000 10 19 09B FFFFFFFE 80000000 11 = 80000000 10 19 09C FFFFFFFF 80000000 00 = 80000000 10 19 09D FFFFFFFF 80000000 01 = 80000000 10 19 09E FFFFFFFF 80000000 10 = 80000000 10 19 09F FFFFFFFF 80000000 11 = 80000000 10 19 0A0 00000000 80000001 00 = 00000000 01 19 0A1 00000000 80000001 01 = 00000000 01 19 0A2 00000000 80000001 10 = 00000000 01 19 0A3 00000000 80000001 11 = 00000000 01 19 0A4 00000001 80000001 00 = 00000001 00 19 0A5 00000001 80000001 01 = 00000001 00 19 0A6 00000001 80000001 10 = 00000001 00 19 0A7 00000001 80000001 11 = 00000001 00 19 0A8 00000002 80000001 00 = 00000002 00 19 0A9 00000002 80000001 01 = 00000002 00 19 0AA 00000002 80000001 10 = 00000002 00 19 0AB 00000002 80000001 11 = 00000002 00 19 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 19 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 19 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 19 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 19 0B0 80000000 80000001 00 = 80000000 00 19 0B1 80000000 80000001 01 = 80000000 00 19 0B2 80000000 80000001 10 = 80000000 00 19 0B3 80000000 80000001 11 = 80000000 00 19 0B4 80000001 80000001 00 = 80000001 00 19 0B5 80000001 80000001 01 = 80000001 00 19 0B6 80000001 80000001 10 = 80000001 00 19 0B7 80000001 80000001 11 = 80000001 00 19 0B8 FFFFFFFE 80000001 00 = 80000001 10 19 0B9 FFFFFFFE 80000001 01 = 80000001 10 19 0BA FFFFFFFE 80000001 10 = 80000001 10 19 0BB FFFFFFFE 80000001 11 = 80000001 10 19 0BC FFFFFFFF 80000001 00 = 80000001 10 19 0BD FFFFFFFF 80000001 01 = 80000001 10 19 0BE FFFFFFFF 80000001 10 = 80000001 10 19 0BF FFFFFFFF 80000001 11 = 80000001 10 19 0C0 00000000 FFFFFFFE 00 = 00000000 01 19 0C1 00000000 FFFFFFFE 01 = 00000000 01 19 0C2 00000000 FFFFFFFE 10 = 00000000 01 19 0C3 00000000 FFFFFFFE 11 = 00000000 01 19 0C4 00000001 FFFFFFFE 00 = 00000001 00 19 0C5 00000001 FFFFFFFE 01 = 00000001 00 19 0C6 00000001 FFFFFFFE 10 = 00000001 00 19 0C7 00000001 FFFFFFFE 11 = 00000001 00 19 0C8 00000002 FFFFFFFE 00 = 00000002 00 19 0C9 00000002 FFFFFFFE 01 = 00000002 00 19 0CA 00000002 FFFFFFFE 10 = 00000002 00 19 0CB 00000002 FFFFFFFE 11 = 00000002 00 19 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 19 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 19 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 19 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 19 0D0 80000000 FFFFFFFE 00 = 80000000 00 19 0D1 80000000 FFFFFFFE 01 = 80000000 00 19 0D2 80000000 FFFFFFFE 10 = 80000000 00 19 0D3 80000000 FFFFFFFE 11 = 80000000 00 19 0D4 80000001 FFFFFFFE 00 = 80000001 00 19 0D5 80000001 FFFFFFFE 01 = 80000001 00 19 0D6 80000001 FFFFFFFE 10 = 80000001 00 19 0D7 80000001 FFFFFFFE 11 = 80000001 00 19 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 19 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 00 19 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 19 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 00 19 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 19 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 19 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 19 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 19 0E0 00000000 FFFFFFFF 00 = 00000000 01 19 0E1 00000000 FFFFFFFF 01 = 00000000 01 19 0E2 00000000 FFFFFFFF 10 = 00000000 01 19 0E3 00000000 FFFFFFFF 11 = 00000000 01 19 0E4 00000001 FFFFFFFF 00 = 00000001 00 19 0E5 00000001 FFFFFFFF 01 = 00000001 00 19 0E6 00000001 FFFFFFFF 10 = 00000001 00 19 0E7 00000001 FFFFFFFF 11 = 00000001 00 19 0E8 00000002 FFFFFFFF 00 = 00000002 00 19 0E9 00000002 FFFFFFFF 01 = 00000002 00 19 0EA 00000002 FFFFFFFF 10 = 00000002 00 19 0EB 00000002 FFFFFFFF 11 = 00000002 00 19 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 19 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 19 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 19 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 19 0F0 80000000 FFFFFFFF 00 = 80000000 00 19 0F1 80000000 FFFFFFFF 01 = 80000000 00 19 0F2 80000000 FFFFFFFF 10 = 80000000 00 19 0F3 80000000 FFFFFFFF 11 = 80000000 00 19 0F4 80000001 FFFFFFFF 00 = 80000001 00 19 0F5 80000001 FFFFFFFF 01 = 80000001 00 19 0F6 80000001 FFFFFFFF 10 = 80000001 00 19 0F7 80000001 FFFFFFFF 11 = 80000001 00 19 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 19 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 19 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 19 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 19 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 19 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 19 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 19 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 fges ---D---- ---S---- CZ = ---Q---- CZ 1A 000 00000000 00000000 00 = 00000000 01 1A 001 00000000 00000000 01 = 00000000 01 1A 002 00000000 00000000 10 = 00000000 01 1A 003 00000000 00000000 11 = 00000000 01 1A 004 00000001 00000000 00 = 00000001 00 1A 005 00000001 00000000 01 = 00000001 00 1A 006 00000001 00000000 10 = 00000001 00 1A 007 00000001 00000000 11 = 00000001 00 1A 008 00000002 00000000 00 = 00000002 00 1A 009 00000002 00000000 01 = 00000002 00 1A 00A 00000002 00000000 10 = 00000002 00 1A 00B 00000002 00000000 11 = 00000002 00 1A 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 1A 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 1A 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 1A 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 1A 010 80000000 00000000 00 = 00000000 11 1A 011 80000000 00000000 01 = 00000000 11 1A 012 80000000 00000000 10 = 00000000 11 1A 013 80000000 00000000 11 = 00000000 11 1A 014 80000001 00000000 00 = 00000000 11 1A 015 80000001 00000000 01 = 00000000 11 1A 016 80000001 00000000 10 = 00000000 11 1A 017 80000001 00000000 11 = 00000000 11 1A 018 FFFFFFFE 00000000 00 = 00000000 11 1A 019 FFFFFFFE 00000000 01 = 00000000 11 1A 01A FFFFFFFE 00000000 10 = 00000000 11 1A 01B FFFFFFFE 00000000 11 = 00000000 11 1A 01C FFFFFFFF 00000000 00 = 00000000 11 1A 01D FFFFFFFF 00000000 01 = 00000000 11 1A 01E FFFFFFFF 00000000 10 = 00000000 11 1A 01F FFFFFFFF 00000000 11 = 00000000 11 1A 020 00000000 00000001 00 = 00000001 10 1A 021 00000000 00000001 01 = 00000001 10 1A 022 00000000 00000001 10 = 00000001 10 1A 023 00000000 00000001 11 = 00000001 10 1A 024 00000001 00000001 00 = 00000001 00 1A 025 00000001 00000001 01 = 00000001 00 1A 026 00000001 00000001 10 = 00000001 00 1A 027 00000001 00000001 11 = 00000001 00 1A 028 00000002 00000001 00 = 00000002 00 1A 029 00000002 00000001 01 = 00000002 00 1A 02A 00000002 00000001 10 = 00000002 00 1A 02B 00000002 00000001 11 = 00000002 00 1A 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 1A 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 1A 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 1A 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 1A 030 80000000 00000001 00 = 00000001 10 1A 031 80000000 00000001 01 = 00000001 10 1A 032 80000000 00000001 10 = 00000001 10 1A 033 80000000 00000001 11 = 00000001 10 1A 034 80000001 00000001 00 = 00000001 10 1A 035 80000001 00000001 01 = 00000001 10 1A 036 80000001 00000001 10 = 00000001 10 1A 037 80000001 00000001 11 = 00000001 10 1A 038 FFFFFFFE 00000001 00 = 00000001 10 1A 039 FFFFFFFE 00000001 01 = 00000001 10 1A 03A FFFFFFFE 00000001 10 = 00000001 10 1A 03B FFFFFFFE 00000001 11 = 00000001 10 1A 03C FFFFFFFF 00000001 00 = 00000001 10 1A 03D FFFFFFFF 00000001 01 = 00000001 10 1A 03E FFFFFFFF 00000001 10 = 00000001 10 1A 03F FFFFFFFF 00000001 11 = 00000001 10 1A 040 00000000 00000002 00 = 00000002 10 1A 041 00000000 00000002 01 = 00000002 10 1A 042 00000000 00000002 10 = 00000002 10 1A 043 00000000 00000002 11 = 00000002 10 1A 044 00000001 00000002 00 = 00000002 10 1A 045 00000001 00000002 01 = 00000002 10 1A 046 00000001 00000002 10 = 00000002 10 1A 047 00000001 00000002 11 = 00000002 10 1A 048 00000002 00000002 00 = 00000002 00 1A 049 00000002 00000002 01 = 00000002 00 1A 04A 00000002 00000002 10 = 00000002 00 1A 04B 00000002 00000002 11 = 00000002 00 1A 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 1A 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 1A 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 1A 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 1A 050 80000000 00000002 00 = 00000002 10 1A 051 80000000 00000002 01 = 00000002 10 1A 052 80000000 00000002 10 = 00000002 10 1A 053 80000000 00000002 11 = 00000002 10 1A 054 80000001 00000002 00 = 00000002 10 1A 055 80000001 00000002 01 = 00000002 10 1A 056 80000001 00000002 10 = 00000002 10 1A 057 80000001 00000002 11 = 00000002 10 1A 058 FFFFFFFE 00000002 00 = 00000002 10 1A 059 FFFFFFFE 00000002 01 = 00000002 10 1A 05A FFFFFFFE 00000002 10 = 00000002 10 1A 05B FFFFFFFE 00000002 11 = 00000002 10 1A 05C FFFFFFFF 00000002 00 = 00000002 10 1A 05D FFFFFFFF 00000002 01 = 00000002 10 1A 05E FFFFFFFF 00000002 10 = 00000002 10 1A 05F FFFFFFFF 00000002 11 = 00000002 10 1A 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 1A 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 1A 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 1A 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 1A 064 00000001 7FFFFFFF 00 = 7FFFFFFF 10 1A 065 00000001 7FFFFFFF 01 = 7FFFFFFF 10 1A 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 1A 067 00000001 7FFFFFFF 11 = 7FFFFFFF 10 1A 068 00000002 7FFFFFFF 00 = 7FFFFFFF 10 1A 069 00000002 7FFFFFFF 01 = 7FFFFFFF 10 1A 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 1A 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 1A 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 1A 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 1A 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 1A 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 1A 070 80000000 7FFFFFFF 00 = 7FFFFFFF 10 1A 071 80000000 7FFFFFFF 01 = 7FFFFFFF 10 1A 072 80000000 7FFFFFFF 10 = 7FFFFFFF 10 1A 073 80000000 7FFFFFFF 11 = 7FFFFFFF 10 1A 074 80000001 7FFFFFFF 00 = 7FFFFFFF 10 1A 075 80000001 7FFFFFFF 01 = 7FFFFFFF 10 1A 076 80000001 7FFFFFFF 10 = 7FFFFFFF 10 1A 077 80000001 7FFFFFFF 11 = 7FFFFFFF 10 1A 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 1A 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 1A 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 1A 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 1A 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 1A 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 1A 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 1A 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 1A 080 00000000 80000000 00 = 00000000 01 1A 081 00000000 80000000 01 = 00000000 01 1A 082 00000000 80000000 10 = 00000000 01 1A 083 00000000 80000000 11 = 00000000 01 1A 084 00000001 80000000 00 = 00000001 00 1A 085 00000001 80000000 01 = 00000001 00 1A 086 00000001 80000000 10 = 00000001 00 1A 087 00000001 80000000 11 = 00000001 00 1A 088 00000002 80000000 00 = 00000002 00 1A 089 00000002 80000000 01 = 00000002 00 1A 08A 00000002 80000000 10 = 00000002 00 1A 08B 00000002 80000000 11 = 00000002 00 1A 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 1A 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 1A 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 1A 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 1A 090 80000000 80000000 00 = 80000000 00 1A 091 80000000 80000000 01 = 80000000 00 1A 092 80000000 80000000 10 = 80000000 00 1A 093 80000000 80000000 11 = 80000000 00 1A 094 80000001 80000000 00 = 80000001 00 1A 095 80000001 80000000 01 = 80000001 00 1A 096 80000001 80000000 10 = 80000001 00 1A 097 80000001 80000000 11 = 80000001 00 1A 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 1A 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 1A 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 1A 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 1A 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 1A 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 1A 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 1A 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 1A 0A0 00000000 80000001 00 = 00000000 01 1A 0A1 00000000 80000001 01 = 00000000 01 1A 0A2 00000000 80000001 10 = 00000000 01 1A 0A3 00000000 80000001 11 = 00000000 01 1A 0A4 00000001 80000001 00 = 00000001 00 1A 0A5 00000001 80000001 01 = 00000001 00 1A 0A6 00000001 80000001 10 = 00000001 00 1A 0A7 00000001 80000001 11 = 00000001 00 1A 0A8 00000002 80000001 00 = 00000002 00 1A 0A9 00000002 80000001 01 = 00000002 00 1A 0AA 00000002 80000001 10 = 00000002 00 1A 0AB 00000002 80000001 11 = 00000002 00 1A 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 1A 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 1A 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 1A 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 1A 0B0 80000000 80000001 00 = 80000001 10 1A 0B1 80000000 80000001 01 = 80000001 10 1A 0B2 80000000 80000001 10 = 80000001 10 1A 0B3 80000000 80000001 11 = 80000001 10 1A 0B4 80000001 80000001 00 = 80000001 00 1A 0B5 80000001 80000001 01 = 80000001 00 1A 0B6 80000001 80000001 10 = 80000001 00 1A 0B7 80000001 80000001 11 = 80000001 00 1A 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 1A 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 1A 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 1A 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 1A 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 1A 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 1A 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 1A 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 1A 0C0 00000000 FFFFFFFE 00 = 00000000 01 1A 0C1 00000000 FFFFFFFE 01 = 00000000 01 1A 0C2 00000000 FFFFFFFE 10 = 00000000 01 1A 0C3 00000000 FFFFFFFE 11 = 00000000 01 1A 0C4 00000001 FFFFFFFE 00 = 00000001 00 1A 0C5 00000001 FFFFFFFE 01 = 00000001 00 1A 0C6 00000001 FFFFFFFE 10 = 00000001 00 1A 0C7 00000001 FFFFFFFE 11 = 00000001 00 1A 0C8 00000002 FFFFFFFE 00 = 00000002 00 1A 0C9 00000002 FFFFFFFE 01 = 00000002 00 1A 0CA 00000002 FFFFFFFE 10 = 00000002 00 1A 0CB 00000002 FFFFFFFE 11 = 00000002 00 1A 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 1A 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 1A 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 1A 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 1A 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 1A 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 1A 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 1A 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 1A 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 10 1A 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 10 1A 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 1A 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 1A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 1A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 00 1A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 1A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 00 1A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 1A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 1A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 1A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 1A 0E0 00000000 FFFFFFFF 00 = 00000000 01 1A 0E1 00000000 FFFFFFFF 01 = 00000000 01 1A 0E2 00000000 FFFFFFFF 10 = 00000000 01 1A 0E3 00000000 FFFFFFFF 11 = 00000000 01 1A 0E4 00000001 FFFFFFFF 00 = 00000001 00 1A 0E5 00000001 FFFFFFFF 01 = 00000001 00 1A 0E6 00000001 FFFFFFFF 10 = 00000001 00 1A 0E7 00000001 FFFFFFFF 11 = 00000001 00 1A 0E8 00000002 FFFFFFFF 00 = 00000002 00 1A 0E9 00000002 FFFFFFFF 01 = 00000002 00 1A 0EA 00000002 FFFFFFFF 10 = 00000002 00 1A 0EB 00000002 FFFFFFFF 11 = 00000002 00 1A 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 1A 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 1A 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 1A 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 1A 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 10 1A 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 10 1A 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 1A 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 10 1A 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 10 1A 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 10 1A 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 1A 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 1A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 1A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 1A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 1A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 1A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 1A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 1A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 1A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 fles ---D---- ---S---- CZ = ---Q---- CZ 1B 000 00000000 00000000 00 = 00000000 01 1B 001 00000000 00000000 01 = 00000000 01 1B 002 00000000 00000000 10 = 00000000 01 1B 003 00000000 00000000 11 = 00000000 01 1B 004 00000001 00000000 00 = 00000000 11 1B 005 00000001 00000000 01 = 00000000 11 1B 006 00000001 00000000 10 = 00000000 11 1B 007 00000001 00000000 11 = 00000000 11 1B 008 00000002 00000000 00 = 00000000 11 1B 009 00000002 00000000 01 = 00000000 11 1B 00A 00000002 00000000 10 = 00000000 11 1B 00B 00000002 00000000 11 = 00000000 11 1B 00C 7FFFFFFF 00000000 00 = 00000000 11 1B 00D 7FFFFFFF 00000000 01 = 00000000 11 1B 00E 7FFFFFFF 00000000 10 = 00000000 11 1B 00F 7FFFFFFF 00000000 11 = 00000000 11 1B 010 80000000 00000000 00 = 80000000 00 1B 011 80000000 00000000 01 = 80000000 00 1B 012 80000000 00000000 10 = 80000000 00 1B 013 80000000 00000000 11 = 80000000 00 1B 014 80000001 00000000 00 = 80000001 00 1B 015 80000001 00000000 01 = 80000001 00 1B 016 80000001 00000000 10 = 80000001 00 1B 017 80000001 00000000 11 = 80000001 00 1B 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 1B 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 1B 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 1B 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 1B 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 1B 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 1B 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 1B 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 1B 020 00000000 00000001 00 = 00000000 01 1B 021 00000000 00000001 01 = 00000000 01 1B 022 00000000 00000001 10 = 00000000 01 1B 023 00000000 00000001 11 = 00000000 01 1B 024 00000001 00000001 00 = 00000001 00 1B 025 00000001 00000001 01 = 00000001 00 1B 026 00000001 00000001 10 = 00000001 00 1B 027 00000001 00000001 11 = 00000001 00 1B 028 00000002 00000001 00 = 00000001 10 1B 029 00000002 00000001 01 = 00000001 10 1B 02A 00000002 00000001 10 = 00000001 10 1B 02B 00000002 00000001 11 = 00000001 10 1B 02C 7FFFFFFF 00000001 00 = 00000001 10 1B 02D 7FFFFFFF 00000001 01 = 00000001 10 1B 02E 7FFFFFFF 00000001 10 = 00000001 10 1B 02F 7FFFFFFF 00000001 11 = 00000001 10 1B 030 80000000 00000001 00 = 80000000 00 1B 031 80000000 00000001 01 = 80000000 00 1B 032 80000000 00000001 10 = 80000000 00 1B 033 80000000 00000001 11 = 80000000 00 1B 034 80000001 00000001 00 = 80000001 00 1B 035 80000001 00000001 01 = 80000001 00 1B 036 80000001 00000001 10 = 80000001 00 1B 037 80000001 00000001 11 = 80000001 00 1B 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 1B 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 1B 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 1B 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 1B 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 1B 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 1B 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 1B 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 1B 040 00000000 00000002 00 = 00000000 01 1B 041 00000000 00000002 01 = 00000000 01 1B 042 00000000 00000002 10 = 00000000 01 1B 043 00000000 00000002 11 = 00000000 01 1B 044 00000001 00000002 00 = 00000001 00 1B 045 00000001 00000002 01 = 00000001 00 1B 046 00000001 00000002 10 = 00000001 00 1B 047 00000001 00000002 11 = 00000001 00 1B 048 00000002 00000002 00 = 00000002 00 1B 049 00000002 00000002 01 = 00000002 00 1B 04A 00000002 00000002 10 = 00000002 00 1B 04B 00000002 00000002 11 = 00000002 00 1B 04C 7FFFFFFF 00000002 00 = 00000002 10 1B 04D 7FFFFFFF 00000002 01 = 00000002 10 1B 04E 7FFFFFFF 00000002 10 = 00000002 10 1B 04F 7FFFFFFF 00000002 11 = 00000002 10 1B 050 80000000 00000002 00 = 80000000 00 1B 051 80000000 00000002 01 = 80000000 00 1B 052 80000000 00000002 10 = 80000000 00 1B 053 80000000 00000002 11 = 80000000 00 1B 054 80000001 00000002 00 = 80000001 00 1B 055 80000001 00000002 01 = 80000001 00 1B 056 80000001 00000002 10 = 80000001 00 1B 057 80000001 00000002 11 = 80000001 00 1B 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 1B 059 FFFFFFFE 00000002 01 = FFFFFFFE 00 1B 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 1B 05B FFFFFFFE 00000002 11 = FFFFFFFE 00 1B 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 1B 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 1B 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 1B 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 1B 060 00000000 7FFFFFFF 00 = 00000000 01 1B 061 00000000 7FFFFFFF 01 = 00000000 01 1B 062 00000000 7FFFFFFF 10 = 00000000 01 1B 063 00000000 7FFFFFFF 11 = 00000000 01 1B 064 00000001 7FFFFFFF 00 = 00000001 00 1B 065 00000001 7FFFFFFF 01 = 00000001 00 1B 066 00000001 7FFFFFFF 10 = 00000001 00 1B 067 00000001 7FFFFFFF 11 = 00000001 00 1B 068 00000002 7FFFFFFF 00 = 00000002 00 1B 069 00000002 7FFFFFFF 01 = 00000002 00 1B 06A 00000002 7FFFFFFF 10 = 00000002 00 1B 06B 00000002 7FFFFFFF 11 = 00000002 00 1B 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 1B 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 1B 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 1B 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 1B 070 80000000 7FFFFFFF 00 = 80000000 00 1B 071 80000000 7FFFFFFF 01 = 80000000 00 1B 072 80000000 7FFFFFFF 10 = 80000000 00 1B 073 80000000 7FFFFFFF 11 = 80000000 00 1B 074 80000001 7FFFFFFF 00 = 80000001 00 1B 075 80000001 7FFFFFFF 01 = 80000001 00 1B 076 80000001 7FFFFFFF 10 = 80000001 00 1B 077 80000001 7FFFFFFF 11 = 80000001 00 1B 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 1B 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 1B 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 1B 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 1B 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 1B 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 1B 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 1B 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 1B 080 00000000 80000000 00 = 80000000 10 1B 081 00000000 80000000 01 = 80000000 10 1B 082 00000000 80000000 10 = 80000000 10 1B 083 00000000 80000000 11 = 80000000 10 1B 084 00000001 80000000 00 = 80000000 10 1B 085 00000001 80000000 01 = 80000000 10 1B 086 00000001 80000000 10 = 80000000 10 1B 087 00000001 80000000 11 = 80000000 10 1B 088 00000002 80000000 00 = 80000000 10 1B 089 00000002 80000000 01 = 80000000 10 1B 08A 00000002 80000000 10 = 80000000 10 1B 08B 00000002 80000000 11 = 80000000 10 1B 08C 7FFFFFFF 80000000 00 = 80000000 10 1B 08D 7FFFFFFF 80000000 01 = 80000000 10 1B 08E 7FFFFFFF 80000000 10 = 80000000 10 1B 08F 7FFFFFFF 80000000 11 = 80000000 10 1B 090 80000000 80000000 00 = 80000000 00 1B 091 80000000 80000000 01 = 80000000 00 1B 092 80000000 80000000 10 = 80000000 00 1B 093 80000000 80000000 11 = 80000000 00 1B 094 80000001 80000000 00 = 80000000 10 1B 095 80000001 80000000 01 = 80000000 10 1B 096 80000001 80000000 10 = 80000000 10 1B 097 80000001 80000000 11 = 80000000 10 1B 098 FFFFFFFE 80000000 00 = 80000000 10 1B 099 FFFFFFFE 80000000 01 = 80000000 10 1B 09A FFFFFFFE 80000000 10 = 80000000 10 1B 09B FFFFFFFE 80000000 11 = 80000000 10 1B 09C FFFFFFFF 80000000 00 = 80000000 10 1B 09D FFFFFFFF 80000000 01 = 80000000 10 1B 09E FFFFFFFF 80000000 10 = 80000000 10 1B 09F FFFFFFFF 80000000 11 = 80000000 10 1B 0A0 00000000 80000001 00 = 80000001 10 1B 0A1 00000000 80000001 01 = 80000001 10 1B 0A2 00000000 80000001 10 = 80000001 10 1B 0A3 00000000 80000001 11 = 80000001 10 1B 0A4 00000001 80000001 00 = 80000001 10 1B 0A5 00000001 80000001 01 = 80000001 10 1B 0A6 00000001 80000001 10 = 80000001 10 1B 0A7 00000001 80000001 11 = 80000001 10 1B 0A8 00000002 80000001 00 = 80000001 10 1B 0A9 00000002 80000001 01 = 80000001 10 1B 0AA 00000002 80000001 10 = 80000001 10 1B 0AB 00000002 80000001 11 = 80000001 10 1B 0AC 7FFFFFFF 80000001 00 = 80000001 10 1B 0AD 7FFFFFFF 80000001 01 = 80000001 10 1B 0AE 7FFFFFFF 80000001 10 = 80000001 10 1B 0AF 7FFFFFFF 80000001 11 = 80000001 10 1B 0B0 80000000 80000001 00 = 80000000 00 1B 0B1 80000000 80000001 01 = 80000000 00 1B 0B2 80000000 80000001 10 = 80000000 00 1B 0B3 80000000 80000001 11 = 80000000 00 1B 0B4 80000001 80000001 00 = 80000001 00 1B 0B5 80000001 80000001 01 = 80000001 00 1B 0B6 80000001 80000001 10 = 80000001 00 1B 0B7 80000001 80000001 11 = 80000001 00 1B 0B8 FFFFFFFE 80000001 00 = 80000001 10 1B 0B9 FFFFFFFE 80000001 01 = 80000001 10 1B 0BA FFFFFFFE 80000001 10 = 80000001 10 1B 0BB FFFFFFFE 80000001 11 = 80000001 10 1B 0BC FFFFFFFF 80000001 00 = 80000001 10 1B 0BD FFFFFFFF 80000001 01 = 80000001 10 1B 0BE FFFFFFFF 80000001 10 = 80000001 10 1B 0BF FFFFFFFF 80000001 11 = 80000001 10 1B 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 1B 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 1B 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 1B 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 1B 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 10 1B 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 10 1B 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 1B 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 1B 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 1B 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 1B 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 1B 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 1B 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 10 1B 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 10 1B 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 1B 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 10 1B 0D0 80000000 FFFFFFFE 00 = 80000000 00 1B 0D1 80000000 FFFFFFFE 01 = 80000000 00 1B 0D2 80000000 FFFFFFFE 10 = 80000000 00 1B 0D3 80000000 FFFFFFFE 11 = 80000000 00 1B 0D4 80000001 FFFFFFFE 00 = 80000001 00 1B 0D5 80000001 FFFFFFFE 01 = 80000001 00 1B 0D6 80000001 FFFFFFFE 10 = 80000001 00 1B 0D7 80000001 FFFFFFFE 11 = 80000001 00 1B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 1B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 00 1B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 1B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 00 1B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 1B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 1B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 1B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 1B 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 1B 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 1B 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 1B 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 1B 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 10 1B 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 10 1B 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 1B 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 1B 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 10 1B 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 10 1B 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 1B 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 10 1B 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 1B 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 1B 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 1B 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 1B 0F0 80000000 FFFFFFFF 00 = 80000000 00 1B 0F1 80000000 FFFFFFFF 01 = 80000000 00 1B 0F2 80000000 FFFFFFFF 10 = 80000000 00 1B 0F3 80000000 FFFFFFFF 11 = 80000000 00 1B 0F4 80000001 FFFFFFFF 00 = 80000001 00 1B 0F5 80000001 FFFFFFFF 01 = 80000001 00 1B 0F6 80000001 FFFFFFFF 10 = 80000001 00 1B 0F7 80000001 FFFFFFFF 11 = 80000001 00 1B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 1B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 1B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 1B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 1B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 1B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 1B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 1B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 sumc ---D---- ---S---- CZ = ---Q---- CZ 1C 000 00000000 00000000 00 = 00000000 01 1C 001 00000000 00000000 01 = 00000000 01 1C 002 00000000 00000000 10 = 00000000 01 1C 003 00000000 00000000 11 = 00000000 01 1C 004 00000001 00000000 00 = 00000001 00 1C 005 00000001 00000000 01 = 00000001 00 1C 006 00000001 00000000 10 = 00000001 00 1C 007 00000001 00000000 11 = 00000001 00 1C 008 00000002 00000000 00 = 00000002 00 1C 009 00000002 00000000 01 = 00000002 00 1C 00A 00000002 00000000 10 = 00000002 00 1C 00B 00000002 00000000 11 = 00000002 00 1C 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 1C 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 1C 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 1C 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 1C 010 80000000 00000000 00 = 80000000 00 1C 011 80000000 00000000 01 = 80000000 00 1C 012 80000000 00000000 10 = 80000000 00 1C 013 80000000 00000000 11 = 80000000 00 1C 014 80000001 00000000 00 = 80000001 00 1C 015 80000001 00000000 01 = 80000001 00 1C 016 80000001 00000000 10 = 80000001 00 1C 017 80000001 00000000 11 = 80000001 00 1C 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 1C 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 1C 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 1C 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 1C 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 1C 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 1C 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 1C 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 1C 020 00000000 00000001 00 = 00000001 00 1C 021 00000000 00000001 01 = 00000001 00 1C 022 00000000 00000001 10 = FFFFFFFF 00 1C 023 00000000 00000001 11 = FFFFFFFF 00 1C 024 00000001 00000001 00 = 00000002 00 1C 025 00000001 00000001 01 = 00000002 00 1C 026 00000001 00000001 10 = 00000000 01 1C 027 00000001 00000001 11 = 00000000 01 1C 028 00000002 00000001 00 = 00000003 00 1C 029 00000002 00000001 01 = 00000003 00 1C 02A 00000002 00000001 10 = 00000001 00 1C 02B 00000002 00000001 11 = 00000001 00 1C 02C 7FFFFFFF 00000001 00 = 80000000 10 1C 02D 7FFFFFFF 00000001 01 = 80000000 10 1C 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 1C 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 1C 030 80000000 00000001 00 = 80000001 00 1C 031 80000000 00000001 01 = 80000001 00 1C 032 80000000 00000001 10 = 7FFFFFFF 10 1C 033 80000000 00000001 11 = 7FFFFFFF 10 1C 034 80000001 00000001 00 = 80000002 00 1C 035 80000001 00000001 01 = 80000002 00 1C 036 80000001 00000001 10 = 80000000 00 1C 037 80000001 00000001 11 = 80000000 00 1C 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 1C 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 1C 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 1C 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 1C 03C FFFFFFFF 00000001 00 = 00000000 01 1C 03D FFFFFFFF 00000001 01 = 00000000 01 1C 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 1C 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 1C 040 00000000 00000002 00 = 00000002 00 1C 041 00000000 00000002 01 = 00000002 00 1C 042 00000000 00000002 10 = FFFFFFFE 00 1C 043 00000000 00000002 11 = FFFFFFFE 00 1C 044 00000001 00000002 00 = 00000003 00 1C 045 00000001 00000002 01 = 00000003 00 1C 046 00000001 00000002 10 = FFFFFFFF 00 1C 047 00000001 00000002 11 = FFFFFFFF 00 1C 048 00000002 00000002 00 = 00000004 00 1C 049 00000002 00000002 01 = 00000004 00 1C 04A 00000002 00000002 10 = 00000000 01 1C 04B 00000002 00000002 11 = 00000000 01 1C 04C 7FFFFFFF 00000002 00 = 80000001 10 1C 04D 7FFFFFFF 00000002 01 = 80000001 10 1C 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 1C 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 1C 050 80000000 00000002 00 = 80000002 00 1C 051 80000000 00000002 01 = 80000002 00 1C 052 80000000 00000002 10 = 7FFFFFFE 10 1C 053 80000000 00000002 11 = 7FFFFFFE 10 1C 054 80000001 00000002 00 = 80000003 00 1C 055 80000001 00000002 01 = 80000003 00 1C 056 80000001 00000002 10 = 7FFFFFFF 10 1C 057 80000001 00000002 11 = 7FFFFFFF 10 1C 058 FFFFFFFE 00000002 00 = 00000000 01 1C 059 FFFFFFFE 00000002 01 = 00000000 01 1C 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 1C 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 1C 05C FFFFFFFF 00000002 00 = 00000001 00 1C 05D FFFFFFFF 00000002 01 = 00000001 00 1C 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 1C 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 1C 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 1C 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 1C 062 00000000 7FFFFFFF 10 = 80000001 00 1C 063 00000000 7FFFFFFF 11 = 80000001 00 1C 064 00000001 7FFFFFFF 00 = 80000000 10 1C 065 00000001 7FFFFFFF 01 = 80000000 10 1C 066 00000001 7FFFFFFF 10 = 80000002 00 1C 067 00000001 7FFFFFFF 11 = 80000002 00 1C 068 00000002 7FFFFFFF 00 = 80000001 10 1C 069 00000002 7FFFFFFF 01 = 80000001 10 1C 06A 00000002 7FFFFFFF 10 = 80000003 00 1C 06B 00000002 7FFFFFFF 11 = 80000003 00 1C 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 1C 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 1C 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 1C 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 1C 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 1C 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 1C 072 80000000 7FFFFFFF 10 = 00000001 10 1C 073 80000000 7FFFFFFF 11 = 00000001 10 1C 074 80000001 7FFFFFFF 00 = 00000000 01 1C 075 80000001 7FFFFFFF 01 = 00000000 01 1C 076 80000001 7FFFFFFF 10 = 00000002 10 1C 077 80000001 7FFFFFFF 11 = 00000002 10 1C 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 00 1C 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 00 1C 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 1C 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 1C 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 1C 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 1C 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 1C 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 1C 080 00000000 80000000 00 = 80000000 00 1C 081 00000000 80000000 01 = 80000000 00 1C 082 00000000 80000000 10 = 80000000 10 1C 083 00000000 80000000 11 = 80000000 10 1C 084 00000001 80000000 00 = 80000001 00 1C 085 00000001 80000000 01 = 80000001 00 1C 086 00000001 80000000 10 = 80000001 10 1C 087 00000001 80000000 11 = 80000001 10 1C 088 00000002 80000000 00 = 80000002 00 1C 089 00000002 80000000 01 = 80000002 00 1C 08A 00000002 80000000 10 = 80000002 10 1C 08B 00000002 80000000 11 = 80000002 10 1C 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 1C 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 1C 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 1C 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 1C 090 80000000 80000000 00 = 00000000 11 1C 091 80000000 80000000 01 = 00000000 11 1C 092 80000000 80000000 10 = 00000000 01 1C 093 80000000 80000000 11 = 00000000 01 1C 094 80000001 80000000 00 = 00000001 10 1C 095 80000001 80000000 01 = 00000001 10 1C 096 80000001 80000000 10 = 00000001 00 1C 097 80000001 80000000 11 = 00000001 00 1C 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 1C 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 1C 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 1C 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 1C 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 1C 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 1C 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 1C 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 1C 0A0 00000000 80000001 00 = 80000001 00 1C 0A1 00000000 80000001 01 = 80000001 00 1C 0A2 00000000 80000001 10 = 7FFFFFFF 00 1C 0A3 00000000 80000001 11 = 7FFFFFFF 00 1C 0A4 00000001 80000001 00 = 80000002 00 1C 0A5 00000001 80000001 01 = 80000002 00 1C 0A6 00000001 80000001 10 = 80000000 10 1C 0A7 00000001 80000001 11 = 80000000 10 1C 0A8 00000002 80000001 00 = 80000003 00 1C 0A9 00000002 80000001 01 = 80000003 00 1C 0AA 00000002 80000001 10 = 80000001 10 1C 0AB 00000002 80000001 11 = 80000001 10 1C 0AC 7FFFFFFF 80000001 00 = 00000000 01 1C 0AD 7FFFFFFF 80000001 01 = 00000000 01 1C 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 1C 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 1C 0B0 80000000 80000001 00 = 00000001 10 1C 0B1 80000000 80000001 01 = 00000001 10 1C 0B2 80000000 80000001 10 = FFFFFFFF 00 1C 0B3 80000000 80000001 11 = FFFFFFFF 00 1C 0B4 80000001 80000001 00 = 00000002 10 1C 0B5 80000001 80000001 01 = 00000002 10 1C 0B6 80000001 80000001 10 = 00000000 01 1C 0B7 80000001 80000001 11 = 00000000 01 1C 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 1C 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 1C 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 00 1C 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 00 1C 0BC FFFFFFFF 80000001 00 = 80000000 00 1C 0BD FFFFFFFF 80000001 01 = 80000000 00 1C 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 1C 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 1C 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 1C 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 1C 0C2 00000000 FFFFFFFE 10 = 00000002 00 1C 0C3 00000000 FFFFFFFE 11 = 00000002 00 1C 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 1C 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 1C 0C6 00000001 FFFFFFFE 10 = 00000003 00 1C 0C7 00000001 FFFFFFFE 11 = 00000003 00 1C 0C8 00000002 FFFFFFFE 00 = 00000000 01 1C 0C9 00000002 FFFFFFFE 01 = 00000000 01 1C 0CA 00000002 FFFFFFFE 10 = 00000004 00 1C 0CB 00000002 FFFFFFFE 11 = 00000004 00 1C 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 00 1C 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 00 1C 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 1C 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 1C 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 1C 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 1C 0D2 80000000 FFFFFFFE 10 = 80000002 00 1C 0D3 80000000 FFFFFFFE 11 = 80000002 00 1C 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 1C 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 1C 0D6 80000001 FFFFFFFE 10 = 80000003 00 1C 0D7 80000001 FFFFFFFE 11 = 80000003 00 1C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 1C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 1C 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 1C 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 1C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 1C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 1C 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 1C 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 1C 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 1C 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 1C 0E2 00000000 FFFFFFFF 10 = 00000001 00 1C 0E3 00000000 FFFFFFFF 11 = 00000001 00 1C 0E4 00000001 FFFFFFFF 00 = 00000000 01 1C 0E5 00000001 FFFFFFFF 01 = 00000000 01 1C 0E6 00000001 FFFFFFFF 10 = 00000002 00 1C 0E7 00000001 FFFFFFFF 11 = 00000002 00 1C 0E8 00000002 FFFFFFFF 00 = 00000001 00 1C 0E9 00000002 FFFFFFFF 01 = 00000001 00 1C 0EA 00000002 FFFFFFFF 10 = 00000003 00 1C 0EB 00000002 FFFFFFFF 11 = 00000003 00 1C 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 1C 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 1C 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 1C 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 1C 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 1C 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 1C 0F2 80000000 FFFFFFFF 10 = 80000001 00 1C 0F3 80000000 FFFFFFFF 11 = 80000001 00 1C 0F4 80000001 FFFFFFFF 00 = 80000000 00 1C 0F5 80000001 FFFFFFFF 01 = 80000000 00 1C 0F6 80000001 FFFFFFFF 10 = 80000002 00 1C 0F7 80000001 FFFFFFFF 11 = 80000002 00 1C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 1C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 1C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 1C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 1C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 1C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 1C 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 1C 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 sumnc ---D---- ---S---- CZ = ---Q---- CZ 1D 000 00000000 00000000 00 = 00000000 01 1D 001 00000000 00000000 01 = 00000000 01 1D 002 00000000 00000000 10 = 00000000 01 1D 003 00000000 00000000 11 = 00000000 01 1D 004 00000001 00000000 00 = 00000001 00 1D 005 00000001 00000000 01 = 00000001 00 1D 006 00000001 00000000 10 = 00000001 00 1D 007 00000001 00000000 11 = 00000001 00 1D 008 00000002 00000000 00 = 00000002 00 1D 009 00000002 00000000 01 = 00000002 00 1D 00A 00000002 00000000 10 = 00000002 00 1D 00B 00000002 00000000 11 = 00000002 00 1D 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 1D 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 1D 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 1D 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 1D 010 80000000 00000000 00 = 80000000 00 1D 011 80000000 00000000 01 = 80000000 00 1D 012 80000000 00000000 10 = 80000000 00 1D 013 80000000 00000000 11 = 80000000 00 1D 014 80000001 00000000 00 = 80000001 00 1D 015 80000001 00000000 01 = 80000001 00 1D 016 80000001 00000000 10 = 80000001 00 1D 017 80000001 00000000 11 = 80000001 00 1D 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 1D 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 1D 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 1D 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 1D 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 1D 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 1D 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 1D 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 1D 020 00000000 00000001 00 = FFFFFFFF 00 1D 021 00000000 00000001 01 = FFFFFFFF 00 1D 022 00000000 00000001 10 = 00000001 00 1D 023 00000000 00000001 11 = 00000001 00 1D 024 00000001 00000001 00 = 00000000 01 1D 025 00000001 00000001 01 = 00000000 01 1D 026 00000001 00000001 10 = 00000002 00 1D 027 00000001 00000001 11 = 00000002 00 1D 028 00000002 00000001 00 = 00000001 00 1D 029 00000002 00000001 01 = 00000001 00 1D 02A 00000002 00000001 10 = 00000003 00 1D 02B 00000002 00000001 11 = 00000003 00 1D 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 1D 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 1D 02E 7FFFFFFF 00000001 10 = 80000000 10 1D 02F 7FFFFFFF 00000001 11 = 80000000 10 1D 030 80000000 00000001 00 = 7FFFFFFF 10 1D 031 80000000 00000001 01 = 7FFFFFFF 10 1D 032 80000000 00000001 10 = 80000001 00 1D 033 80000000 00000001 11 = 80000001 00 1D 034 80000001 00000001 00 = 80000000 00 1D 035 80000001 00000001 01 = 80000000 00 1D 036 80000001 00000001 10 = 80000002 00 1D 037 80000001 00000001 11 = 80000002 00 1D 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 1D 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 1D 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 1D 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 1D 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 1D 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 1D 03E FFFFFFFF 00000001 10 = 00000000 01 1D 03F FFFFFFFF 00000001 11 = 00000000 01 1D 040 00000000 00000002 00 = FFFFFFFE 00 1D 041 00000000 00000002 01 = FFFFFFFE 00 1D 042 00000000 00000002 10 = 00000002 00 1D 043 00000000 00000002 11 = 00000002 00 1D 044 00000001 00000002 00 = FFFFFFFF 00 1D 045 00000001 00000002 01 = FFFFFFFF 00 1D 046 00000001 00000002 10 = 00000003 00 1D 047 00000001 00000002 11 = 00000003 00 1D 048 00000002 00000002 00 = 00000000 01 1D 049 00000002 00000002 01 = 00000000 01 1D 04A 00000002 00000002 10 = 00000004 00 1D 04B 00000002 00000002 11 = 00000004 00 1D 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 1D 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 1D 04E 7FFFFFFF 00000002 10 = 80000001 10 1D 04F 7FFFFFFF 00000002 11 = 80000001 10 1D 050 80000000 00000002 00 = 7FFFFFFE 10 1D 051 80000000 00000002 01 = 7FFFFFFE 10 1D 052 80000000 00000002 10 = 80000002 00 1D 053 80000000 00000002 11 = 80000002 00 1D 054 80000001 00000002 00 = 7FFFFFFF 10 1D 055 80000001 00000002 01 = 7FFFFFFF 10 1D 056 80000001 00000002 10 = 80000003 00 1D 057 80000001 00000002 11 = 80000003 00 1D 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 1D 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 1D 05A FFFFFFFE 00000002 10 = 00000000 01 1D 05B FFFFFFFE 00000002 11 = 00000000 01 1D 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 1D 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 1D 05E FFFFFFFF 00000002 10 = 00000001 00 1D 05F FFFFFFFF 00000002 11 = 00000001 00 1D 060 00000000 7FFFFFFF 00 = 80000001 00 1D 061 00000000 7FFFFFFF 01 = 80000001 00 1D 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 1D 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 1D 064 00000001 7FFFFFFF 00 = 80000002 00 1D 065 00000001 7FFFFFFF 01 = 80000002 00 1D 066 00000001 7FFFFFFF 10 = 80000000 10 1D 067 00000001 7FFFFFFF 11 = 80000000 10 1D 068 00000002 7FFFFFFF 00 = 80000003 00 1D 069 00000002 7FFFFFFF 01 = 80000003 00 1D 06A 00000002 7FFFFFFF 10 = 80000001 10 1D 06B 00000002 7FFFFFFF 11 = 80000001 10 1D 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 1D 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 1D 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 1D 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 1D 070 80000000 7FFFFFFF 00 = 00000001 10 1D 071 80000000 7FFFFFFF 01 = 00000001 10 1D 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 1D 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 1D 074 80000001 7FFFFFFF 00 = 00000002 10 1D 075 80000001 7FFFFFFF 01 = 00000002 10 1D 076 80000001 7FFFFFFF 10 = 00000000 01 1D 077 80000001 7FFFFFFF 11 = 00000000 01 1D 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 1D 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 1D 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFD 00 1D 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFD 00 1D 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 1D 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 1D 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 1D 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 1D 080 00000000 80000000 00 = 80000000 10 1D 081 00000000 80000000 01 = 80000000 10 1D 082 00000000 80000000 10 = 80000000 00 1D 083 00000000 80000000 11 = 80000000 00 1D 084 00000001 80000000 00 = 80000001 10 1D 085 00000001 80000000 01 = 80000001 10 1D 086 00000001 80000000 10 = 80000001 00 1D 087 00000001 80000000 11 = 80000001 00 1D 088 00000002 80000000 00 = 80000002 10 1D 089 00000002 80000000 01 = 80000002 10 1D 08A 00000002 80000000 10 = 80000002 00 1D 08B 00000002 80000000 11 = 80000002 00 1D 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 1D 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 1D 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 1D 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 1D 090 80000000 80000000 00 = 00000000 01 1D 091 80000000 80000000 01 = 00000000 01 1D 092 80000000 80000000 10 = 00000000 11 1D 093 80000000 80000000 11 = 00000000 11 1D 094 80000001 80000000 00 = 00000001 00 1D 095 80000001 80000000 01 = 00000001 00 1D 096 80000001 80000000 10 = 00000001 10 1D 097 80000001 80000000 11 = 00000001 10 1D 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 1D 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 1D 09A FFFFFFFE 80000000 10 = 7FFFFFFE 10 1D 09B FFFFFFFE 80000000 11 = 7FFFFFFE 10 1D 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 1D 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 1D 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 1D 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 1D 0A0 00000000 80000001 00 = 7FFFFFFF 00 1D 0A1 00000000 80000001 01 = 7FFFFFFF 00 1D 0A2 00000000 80000001 10 = 80000001 00 1D 0A3 00000000 80000001 11 = 80000001 00 1D 0A4 00000001 80000001 00 = 80000000 10 1D 0A5 00000001 80000001 01 = 80000000 10 1D 0A6 00000001 80000001 10 = 80000002 00 1D 0A7 00000001 80000001 11 = 80000002 00 1D 0A8 00000002 80000001 00 = 80000001 10 1D 0A9 00000002 80000001 01 = 80000001 10 1D 0AA 00000002 80000001 10 = 80000003 00 1D 0AB 00000002 80000001 11 = 80000003 00 1D 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 1D 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 1D 0AE 7FFFFFFF 80000001 10 = 00000000 01 1D 0AF 7FFFFFFF 80000001 11 = 00000000 01 1D 0B0 80000000 80000001 00 = FFFFFFFF 00 1D 0B1 80000000 80000001 01 = FFFFFFFF 00 1D 0B2 80000000 80000001 10 = 00000001 10 1D 0B3 80000000 80000001 11 = 00000001 10 1D 0B4 80000001 80000001 00 = 00000000 01 1D 0B5 80000001 80000001 01 = 00000000 01 1D 0B6 80000001 80000001 10 = 00000002 10 1D 0B7 80000001 80000001 11 = 00000002 10 1D 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 1D 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 1D 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 1D 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 1D 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 1D 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 1D 0BE FFFFFFFF 80000001 10 = 80000000 00 1D 0BF FFFFFFFF 80000001 11 = 80000000 00 1D 0C0 00000000 FFFFFFFE 00 = 00000002 00 1D 0C1 00000000 FFFFFFFE 01 = 00000002 00 1D 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 1D 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 1D 0C4 00000001 FFFFFFFE 00 = 00000003 00 1D 0C5 00000001 FFFFFFFE 01 = 00000003 00 1D 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 1D 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 1D 0C8 00000002 FFFFFFFE 00 = 00000004 00 1D 0C9 00000002 FFFFFFFE 01 = 00000004 00 1D 0CA 00000002 FFFFFFFE 10 = 00000000 01 1D 0CB 00000002 FFFFFFFE 11 = 00000000 01 1D 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 1D 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 1D 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFD 00 1D 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFD 00 1D 0D0 80000000 FFFFFFFE 00 = 80000002 00 1D 0D1 80000000 FFFFFFFE 01 = 80000002 00 1D 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 10 1D 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 10 1D 0D4 80000001 FFFFFFFE 00 = 80000003 00 1D 0D5 80000001 FFFFFFFE 01 = 80000003 00 1D 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 1D 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 1D 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 1D 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 1D 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 00 1D 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 00 1D 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 1D 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 1D 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 00 1D 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 00 1D 0E0 00000000 FFFFFFFF 00 = 00000001 00 1D 0E1 00000000 FFFFFFFF 01 = 00000001 00 1D 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 1D 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 1D 0E4 00000001 FFFFFFFF 00 = 00000002 00 1D 0E5 00000001 FFFFFFFF 01 = 00000002 00 1D 0E6 00000001 FFFFFFFF 10 = 00000000 01 1D 0E7 00000001 FFFFFFFF 11 = 00000000 01 1D 0E8 00000002 FFFFFFFF 00 = 00000003 00 1D 0E9 00000002 FFFFFFFF 01 = 00000003 00 1D 0EA 00000002 FFFFFFFF 10 = 00000001 00 1D 0EB 00000002 FFFFFFFF 11 = 00000001 00 1D 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 1D 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 1D 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 00 1D 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 00 1D 0F0 80000000 FFFFFFFF 00 = 80000001 00 1D 0F1 80000000 FFFFFFFF 01 = 80000001 00 1D 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 1D 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 1D 0F4 80000001 FFFFFFFF 00 = 80000002 00 1D 0F5 80000001 FFFFFFFF 01 = 80000002 00 1D 0F6 80000001 FFFFFFFF 10 = 80000000 00 1D 0F7 80000001 FFFFFFFF 11 = 80000000 00 1D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 1D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 1D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 1D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 1D 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 1D 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 1D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 1D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 sumz ---D---- ---S---- CZ = ---Q---- CZ 1E 000 00000000 00000000 00 = 00000000 01 1E 001 00000000 00000000 01 = 00000000 01 1E 002 00000000 00000000 10 = 00000000 01 1E 003 00000000 00000000 11 = 00000000 01 1E 004 00000001 00000000 00 = 00000001 00 1E 005 00000001 00000000 01 = 00000001 00 1E 006 00000001 00000000 10 = 00000001 00 1E 007 00000001 00000000 11 = 00000001 00 1E 008 00000002 00000000 00 = 00000002 00 1E 009 00000002 00000000 01 = 00000002 00 1E 00A 00000002 00000000 10 = 00000002 00 1E 00B 00000002 00000000 11 = 00000002 00 1E 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 1E 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 1E 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 1E 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 1E 010 80000000 00000000 00 = 80000000 00 1E 011 80000000 00000000 01 = 80000000 00 1E 012 80000000 00000000 10 = 80000000 00 1E 013 80000000 00000000 11 = 80000000 00 1E 014 80000001 00000000 00 = 80000001 00 1E 015 80000001 00000000 01 = 80000001 00 1E 016 80000001 00000000 10 = 80000001 00 1E 017 80000001 00000000 11 = 80000001 00 1E 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 1E 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 1E 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 1E 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 1E 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 1E 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 1E 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 1E 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 1E 020 00000000 00000001 00 = 00000001 00 1E 021 00000000 00000001 01 = FFFFFFFF 00 1E 022 00000000 00000001 10 = 00000001 00 1E 023 00000000 00000001 11 = FFFFFFFF 00 1E 024 00000001 00000001 00 = 00000002 00 1E 025 00000001 00000001 01 = 00000000 01 1E 026 00000001 00000001 10 = 00000002 00 1E 027 00000001 00000001 11 = 00000000 01 1E 028 00000002 00000001 00 = 00000003 00 1E 029 00000002 00000001 01 = 00000001 00 1E 02A 00000002 00000001 10 = 00000003 00 1E 02B 00000002 00000001 11 = 00000001 00 1E 02C 7FFFFFFF 00000001 00 = 80000000 10 1E 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 1E 02E 7FFFFFFF 00000001 10 = 80000000 10 1E 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 1E 030 80000000 00000001 00 = 80000001 00 1E 031 80000000 00000001 01 = 7FFFFFFF 10 1E 032 80000000 00000001 10 = 80000001 00 1E 033 80000000 00000001 11 = 7FFFFFFF 10 1E 034 80000001 00000001 00 = 80000002 00 1E 035 80000001 00000001 01 = 80000000 00 1E 036 80000001 00000001 10 = 80000002 00 1E 037 80000001 00000001 11 = 80000000 00 1E 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 1E 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 1E 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 1E 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 1E 03C FFFFFFFF 00000001 00 = 00000000 01 1E 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 1E 03E FFFFFFFF 00000001 10 = 00000000 01 1E 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 1E 040 00000000 00000002 00 = 00000002 00 1E 041 00000000 00000002 01 = FFFFFFFE 00 1E 042 00000000 00000002 10 = 00000002 00 1E 043 00000000 00000002 11 = FFFFFFFE 00 1E 044 00000001 00000002 00 = 00000003 00 1E 045 00000001 00000002 01 = FFFFFFFF 00 1E 046 00000001 00000002 10 = 00000003 00 1E 047 00000001 00000002 11 = FFFFFFFF 00 1E 048 00000002 00000002 00 = 00000004 00 1E 049 00000002 00000002 01 = 00000000 01 1E 04A 00000002 00000002 10 = 00000004 00 1E 04B 00000002 00000002 11 = 00000000 01 1E 04C 7FFFFFFF 00000002 00 = 80000001 10 1E 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 1E 04E 7FFFFFFF 00000002 10 = 80000001 10 1E 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 1E 050 80000000 00000002 00 = 80000002 00 1E 051 80000000 00000002 01 = 7FFFFFFE 10 1E 052 80000000 00000002 10 = 80000002 00 1E 053 80000000 00000002 11 = 7FFFFFFE 10 1E 054 80000001 00000002 00 = 80000003 00 1E 055 80000001 00000002 01 = 7FFFFFFF 10 1E 056 80000001 00000002 10 = 80000003 00 1E 057 80000001 00000002 11 = 7FFFFFFF 10 1E 058 FFFFFFFE 00000002 00 = 00000000 01 1E 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 1E 05A FFFFFFFE 00000002 10 = 00000000 01 1E 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 1E 05C FFFFFFFF 00000002 00 = 00000001 00 1E 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 1E 05E FFFFFFFF 00000002 10 = 00000001 00 1E 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 1E 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 1E 061 00000000 7FFFFFFF 01 = 80000001 00 1E 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 1E 063 00000000 7FFFFFFF 11 = 80000001 00 1E 064 00000001 7FFFFFFF 00 = 80000000 10 1E 065 00000001 7FFFFFFF 01 = 80000002 00 1E 066 00000001 7FFFFFFF 10 = 80000000 10 1E 067 00000001 7FFFFFFF 11 = 80000002 00 1E 068 00000002 7FFFFFFF 00 = 80000001 10 1E 069 00000002 7FFFFFFF 01 = 80000003 00 1E 06A 00000002 7FFFFFFF 10 = 80000001 10 1E 06B 00000002 7FFFFFFF 11 = 80000003 00 1E 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 1E 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 1E 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 1E 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 1E 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 1E 071 80000000 7FFFFFFF 01 = 00000001 10 1E 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 1E 073 80000000 7FFFFFFF 11 = 00000001 10 1E 074 80000001 7FFFFFFF 00 = 00000000 01 1E 075 80000001 7FFFFFFF 01 = 00000002 10 1E 076 80000001 7FFFFFFF 10 = 00000000 01 1E 077 80000001 7FFFFFFF 11 = 00000002 10 1E 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 00 1E 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 1E 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFD 00 1E 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 1E 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 1E 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 1E 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 1E 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 1E 080 00000000 80000000 00 = 80000000 00 1E 081 00000000 80000000 01 = 80000000 10 1E 082 00000000 80000000 10 = 80000000 00 1E 083 00000000 80000000 11 = 80000000 10 1E 084 00000001 80000000 00 = 80000001 00 1E 085 00000001 80000000 01 = 80000001 10 1E 086 00000001 80000000 10 = 80000001 00 1E 087 00000001 80000000 11 = 80000001 10 1E 088 00000002 80000000 00 = 80000002 00 1E 089 00000002 80000000 01 = 80000002 10 1E 08A 00000002 80000000 10 = 80000002 00 1E 08B 00000002 80000000 11 = 80000002 10 1E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 1E 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 1E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 1E 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 1E 090 80000000 80000000 00 = 00000000 11 1E 091 80000000 80000000 01 = 00000000 01 1E 092 80000000 80000000 10 = 00000000 11 1E 093 80000000 80000000 11 = 00000000 01 1E 094 80000001 80000000 00 = 00000001 10 1E 095 80000001 80000000 01 = 00000001 00 1E 096 80000001 80000000 10 = 00000001 10 1E 097 80000001 80000000 11 = 00000001 00 1E 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 1E 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 1E 09A FFFFFFFE 80000000 10 = 7FFFFFFE 10 1E 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 1E 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 1E 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 1E 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 1E 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 1E 0A0 00000000 80000001 00 = 80000001 00 1E 0A1 00000000 80000001 01 = 7FFFFFFF 00 1E 0A2 00000000 80000001 10 = 80000001 00 1E 0A3 00000000 80000001 11 = 7FFFFFFF 00 1E 0A4 00000001 80000001 00 = 80000002 00 1E 0A5 00000001 80000001 01 = 80000000 10 1E 0A6 00000001 80000001 10 = 80000002 00 1E 0A7 00000001 80000001 11 = 80000000 10 1E 0A8 00000002 80000001 00 = 80000003 00 1E 0A9 00000002 80000001 01 = 80000001 10 1E 0AA 00000002 80000001 10 = 80000003 00 1E 0AB 00000002 80000001 11 = 80000001 10 1E 0AC 7FFFFFFF 80000001 00 = 00000000 01 1E 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 1E 0AE 7FFFFFFF 80000001 10 = 00000000 01 1E 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 1E 0B0 80000000 80000001 00 = 00000001 10 1E 0B1 80000000 80000001 01 = FFFFFFFF 00 1E 0B2 80000000 80000001 10 = 00000001 10 1E 0B3 80000000 80000001 11 = FFFFFFFF 00 1E 0B4 80000001 80000001 00 = 00000002 10 1E 0B5 80000001 80000001 01 = 00000000 01 1E 0B6 80000001 80000001 10 = 00000002 10 1E 0B7 80000001 80000001 11 = 00000000 01 1E 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 1E 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 1E 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 1E 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 00 1E 0BC FFFFFFFF 80000001 00 = 80000000 00 1E 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 1E 0BE FFFFFFFF 80000001 10 = 80000000 00 1E 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 1E 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 1E 0C1 00000000 FFFFFFFE 01 = 00000002 00 1E 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 1E 0C3 00000000 FFFFFFFE 11 = 00000002 00 1E 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 1E 0C5 00000001 FFFFFFFE 01 = 00000003 00 1E 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 1E 0C7 00000001 FFFFFFFE 11 = 00000003 00 1E 0C8 00000002 FFFFFFFE 00 = 00000000 01 1E 0C9 00000002 FFFFFFFE 01 = 00000004 00 1E 0CA 00000002 FFFFFFFE 10 = 00000000 01 1E 0CB 00000002 FFFFFFFE 11 = 00000004 00 1E 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 00 1E 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 1E 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFD 00 1E 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 1E 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 1E 0D1 80000000 FFFFFFFE 01 = 80000002 00 1E 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 10 1E 0D3 80000000 FFFFFFFE 11 = 80000002 00 1E 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 1E 0D5 80000001 FFFFFFFE 01 = 80000003 00 1E 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 1E 0D7 80000001 FFFFFFFE 11 = 80000003 00 1E 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 1E 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 1E 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 00 1E 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 1E 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 1E 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 1E 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 00 1E 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 1E 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 1E 0E1 00000000 FFFFFFFF 01 = 00000001 00 1E 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 1E 0E3 00000000 FFFFFFFF 11 = 00000001 00 1E 0E4 00000001 FFFFFFFF 00 = 00000000 01 1E 0E5 00000001 FFFFFFFF 01 = 00000002 00 1E 0E6 00000001 FFFFFFFF 10 = 00000000 01 1E 0E7 00000001 FFFFFFFF 11 = 00000002 00 1E 0E8 00000002 FFFFFFFF 00 = 00000001 00 1E 0E9 00000002 FFFFFFFF 01 = 00000003 00 1E 0EA 00000002 FFFFFFFF 10 = 00000001 00 1E 0EB 00000002 FFFFFFFF 11 = 00000003 00 1E 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 1E 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 1E 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 00 1E 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 1E 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 1E 0F1 80000000 FFFFFFFF 01 = 80000001 00 1E 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 1E 0F3 80000000 FFFFFFFF 11 = 80000001 00 1E 0F4 80000001 FFFFFFFF 00 = 80000000 00 1E 0F5 80000001 FFFFFFFF 01 = 80000002 00 1E 0F6 80000001 FFFFFFFF 10 = 80000000 00 1E 0F7 80000001 FFFFFFFF 11 = 80000002 00 1E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 1E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 1E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 1E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 1E 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 1E 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 1E 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 1E 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 sumnz ---D---- ---S---- CZ = ---Q---- CZ 1F 000 00000000 00000000 00 = 00000000 01 1F 001 00000000 00000000 01 = 00000000 01 1F 002 00000000 00000000 10 = 00000000 01 1F 003 00000000 00000000 11 = 00000000 01 1F 004 00000001 00000000 00 = 00000001 00 1F 005 00000001 00000000 01 = 00000001 00 1F 006 00000001 00000000 10 = 00000001 00 1F 007 00000001 00000000 11 = 00000001 00 1F 008 00000002 00000000 00 = 00000002 00 1F 009 00000002 00000000 01 = 00000002 00 1F 00A 00000002 00000000 10 = 00000002 00 1F 00B 00000002 00000000 11 = 00000002 00 1F 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 1F 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 1F 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 1F 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 1F 010 80000000 00000000 00 = 80000000 00 1F 011 80000000 00000000 01 = 80000000 00 1F 012 80000000 00000000 10 = 80000000 00 1F 013 80000000 00000000 11 = 80000000 00 1F 014 80000001 00000000 00 = 80000001 00 1F 015 80000001 00000000 01 = 80000001 00 1F 016 80000001 00000000 10 = 80000001 00 1F 017 80000001 00000000 11 = 80000001 00 1F 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 1F 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 1F 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 1F 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 1F 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 1F 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 1F 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 1F 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 1F 020 00000000 00000001 00 = FFFFFFFF 00 1F 021 00000000 00000001 01 = 00000001 00 1F 022 00000000 00000001 10 = FFFFFFFF 00 1F 023 00000000 00000001 11 = 00000001 00 1F 024 00000001 00000001 00 = 00000000 01 1F 025 00000001 00000001 01 = 00000002 00 1F 026 00000001 00000001 10 = 00000000 01 1F 027 00000001 00000001 11 = 00000002 00 1F 028 00000002 00000001 00 = 00000001 00 1F 029 00000002 00000001 01 = 00000003 00 1F 02A 00000002 00000001 10 = 00000001 00 1F 02B 00000002 00000001 11 = 00000003 00 1F 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 1F 02D 7FFFFFFF 00000001 01 = 80000000 10 1F 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 1F 02F 7FFFFFFF 00000001 11 = 80000000 10 1F 030 80000000 00000001 00 = 7FFFFFFF 10 1F 031 80000000 00000001 01 = 80000001 00 1F 032 80000000 00000001 10 = 7FFFFFFF 10 1F 033 80000000 00000001 11 = 80000001 00 1F 034 80000001 00000001 00 = 80000000 00 1F 035 80000001 00000001 01 = 80000002 00 1F 036 80000001 00000001 10 = 80000000 00 1F 037 80000001 00000001 11 = 80000002 00 1F 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 1F 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 1F 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 1F 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 1F 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 1F 03D FFFFFFFF 00000001 01 = 00000000 01 1F 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 1F 03F FFFFFFFF 00000001 11 = 00000000 01 1F 040 00000000 00000002 00 = FFFFFFFE 00 1F 041 00000000 00000002 01 = 00000002 00 1F 042 00000000 00000002 10 = FFFFFFFE 00 1F 043 00000000 00000002 11 = 00000002 00 1F 044 00000001 00000002 00 = FFFFFFFF 00 1F 045 00000001 00000002 01 = 00000003 00 1F 046 00000001 00000002 10 = FFFFFFFF 00 1F 047 00000001 00000002 11 = 00000003 00 1F 048 00000002 00000002 00 = 00000000 01 1F 049 00000002 00000002 01 = 00000004 00 1F 04A 00000002 00000002 10 = 00000000 01 1F 04B 00000002 00000002 11 = 00000004 00 1F 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 1F 04D 7FFFFFFF 00000002 01 = 80000001 10 1F 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 1F 04F 7FFFFFFF 00000002 11 = 80000001 10 1F 050 80000000 00000002 00 = 7FFFFFFE 10 1F 051 80000000 00000002 01 = 80000002 00 1F 052 80000000 00000002 10 = 7FFFFFFE 10 1F 053 80000000 00000002 11 = 80000002 00 1F 054 80000001 00000002 00 = 7FFFFFFF 10 1F 055 80000001 00000002 01 = 80000003 00 1F 056 80000001 00000002 10 = 7FFFFFFF 10 1F 057 80000001 00000002 11 = 80000003 00 1F 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 1F 059 FFFFFFFE 00000002 01 = 00000000 01 1F 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 1F 05B FFFFFFFE 00000002 11 = 00000000 01 1F 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 1F 05D FFFFFFFF 00000002 01 = 00000001 00 1F 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 1F 05F FFFFFFFF 00000002 11 = 00000001 00 1F 060 00000000 7FFFFFFF 00 = 80000001 00 1F 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 1F 062 00000000 7FFFFFFF 10 = 80000001 00 1F 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 1F 064 00000001 7FFFFFFF 00 = 80000002 00 1F 065 00000001 7FFFFFFF 01 = 80000000 10 1F 066 00000001 7FFFFFFF 10 = 80000002 00 1F 067 00000001 7FFFFFFF 11 = 80000000 10 1F 068 00000002 7FFFFFFF 00 = 80000003 00 1F 069 00000002 7FFFFFFF 01 = 80000001 10 1F 06A 00000002 7FFFFFFF 10 = 80000003 00 1F 06B 00000002 7FFFFFFF 11 = 80000001 10 1F 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 1F 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 1F 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 1F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 1F 070 80000000 7FFFFFFF 00 = 00000001 10 1F 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 1F 072 80000000 7FFFFFFF 10 = 00000001 10 1F 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 1F 074 80000001 7FFFFFFF 00 = 00000002 10 1F 075 80000001 7FFFFFFF 01 = 00000000 01 1F 076 80000001 7FFFFFFF 10 = 00000002 10 1F 077 80000001 7FFFFFFF 11 = 00000000 01 1F 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 1F 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 00 1F 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 1F 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFD 00 1F 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 1F 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 1F 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 1F 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 1F 080 00000000 80000000 00 = 80000000 10 1F 081 00000000 80000000 01 = 80000000 00 1F 082 00000000 80000000 10 = 80000000 10 1F 083 00000000 80000000 11 = 80000000 00 1F 084 00000001 80000000 00 = 80000001 10 1F 085 00000001 80000000 01 = 80000001 00 1F 086 00000001 80000000 10 = 80000001 10 1F 087 00000001 80000000 11 = 80000001 00 1F 088 00000002 80000000 00 = 80000002 10 1F 089 00000002 80000000 01 = 80000002 00 1F 08A 00000002 80000000 10 = 80000002 10 1F 08B 00000002 80000000 11 = 80000002 00 1F 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 1F 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 1F 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 1F 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 1F 090 80000000 80000000 00 = 00000000 01 1F 091 80000000 80000000 01 = 00000000 11 1F 092 80000000 80000000 10 = 00000000 01 1F 093 80000000 80000000 11 = 00000000 11 1F 094 80000001 80000000 00 = 00000001 00 1F 095 80000001 80000000 01 = 00000001 10 1F 096 80000001 80000000 10 = 00000001 00 1F 097 80000001 80000000 11 = 00000001 10 1F 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 1F 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 1F 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 1F 09B FFFFFFFE 80000000 11 = 7FFFFFFE 10 1F 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 1F 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 1F 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 1F 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 1F 0A0 00000000 80000001 00 = 7FFFFFFF 00 1F 0A1 00000000 80000001 01 = 80000001 00 1F 0A2 00000000 80000001 10 = 7FFFFFFF 00 1F 0A3 00000000 80000001 11 = 80000001 00 1F 0A4 00000001 80000001 00 = 80000000 10 1F 0A5 00000001 80000001 01 = 80000002 00 1F 0A6 00000001 80000001 10 = 80000000 10 1F 0A7 00000001 80000001 11 = 80000002 00 1F 0A8 00000002 80000001 00 = 80000001 10 1F 0A9 00000002 80000001 01 = 80000003 00 1F 0AA 00000002 80000001 10 = 80000001 10 1F 0AB 00000002 80000001 11 = 80000003 00 1F 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 1F 0AD 7FFFFFFF 80000001 01 = 00000000 01 1F 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 1F 0AF 7FFFFFFF 80000001 11 = 00000000 01 1F 0B0 80000000 80000001 00 = FFFFFFFF 00 1F 0B1 80000000 80000001 01 = 00000001 10 1F 0B2 80000000 80000001 10 = FFFFFFFF 00 1F 0B3 80000000 80000001 11 = 00000001 10 1F 0B4 80000001 80000001 00 = 00000000 01 1F 0B5 80000001 80000001 01 = 00000002 10 1F 0B6 80000001 80000001 10 = 00000000 01 1F 0B7 80000001 80000001 11 = 00000002 10 1F 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 1F 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 1F 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 00 1F 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 1F 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 1F 0BD FFFFFFFF 80000001 01 = 80000000 00 1F 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 1F 0BF FFFFFFFF 80000001 11 = 80000000 00 1F 0C0 00000000 FFFFFFFE 00 = 00000002 00 1F 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 1F 0C2 00000000 FFFFFFFE 10 = 00000002 00 1F 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 1F 0C4 00000001 FFFFFFFE 00 = 00000003 00 1F 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 1F 0C6 00000001 FFFFFFFE 10 = 00000003 00 1F 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 1F 0C8 00000002 FFFFFFFE 00 = 00000004 00 1F 0C9 00000002 FFFFFFFE 01 = 00000000 01 1F 0CA 00000002 FFFFFFFE 10 = 00000004 00 1F 0CB 00000002 FFFFFFFE 11 = 00000000 01 1F 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 1F 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 00 1F 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 1F 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFD 00 1F 0D0 80000000 FFFFFFFE 00 = 80000002 00 1F 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 1F 0D2 80000000 FFFFFFFE 10 = 80000002 00 1F 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 10 1F 0D4 80000001 FFFFFFFE 00 = 80000003 00 1F 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 1F 0D6 80000001 FFFFFFFE 10 = 80000003 00 1F 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 1F 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 1F 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 1F 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 1F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 00 1F 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 1F 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 1F 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 1F 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 00 1F 0E0 00000000 FFFFFFFF 00 = 00000001 00 1F 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 1F 0E2 00000000 FFFFFFFF 10 = 00000001 00 1F 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 1F 0E4 00000001 FFFFFFFF 00 = 00000002 00 1F 0E5 00000001 FFFFFFFF 01 = 00000000 01 1F 0E6 00000001 FFFFFFFF 10 = 00000002 00 1F 0E7 00000001 FFFFFFFF 11 = 00000000 01 1F 0E8 00000002 FFFFFFFF 00 = 00000003 00 1F 0E9 00000002 FFFFFFFF 01 = 00000001 00 1F 0EA 00000002 FFFFFFFF 10 = 00000003 00 1F 0EB 00000002 FFFFFFFF 11 = 00000001 00 1F 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 1F 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 1F 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 1F 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 00 1F 0F0 80000000 FFFFFFFF 00 = 80000001 00 1F 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 1F 0F2 80000000 FFFFFFFF 10 = 80000001 00 1F 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 1F 0F4 80000001 FFFFFFFF 00 = 80000002 00 1F 0F5 80000001 FFFFFFFF 01 = 80000000 00 1F 0F6 80000001 FFFFFFFF 10 = 80000002 00 1F 0F7 80000001 FFFFFFFF 11 = 80000000 00 1F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 1F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 1F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 1F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 1F 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 1F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 1F 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 1F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 bitl ---D---- ---S---- CZ = ---Q---- CZ 20 000 00000000 00000000 00 = 00000000 00 20 001 00000000 00000000 01 = 00000000 00 20 002 00000000 00000000 10 = 00000000 00 20 003 00000000 00000000 11 = 00000000 00 20 004 00000001 00000000 00 = 00000000 11 20 005 00000001 00000000 01 = 00000000 11 20 006 00000001 00000000 10 = 00000000 11 20 007 00000001 00000000 11 = 00000000 11 20 008 00000002 00000000 00 = 00000002 00 20 009 00000002 00000000 01 = 00000002 00 20 00A 00000002 00000000 10 = 00000002 00 20 00B 00000002 00000000 11 = 00000002 00 20 00C 7FFFFFFF 00000000 00 = 7FFFFFFE 11 20 00D 7FFFFFFF 00000000 01 = 7FFFFFFE 11 20 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 11 20 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 11 20 010 80000000 00000000 00 = 80000000 00 20 011 80000000 00000000 01 = 80000000 00 20 012 80000000 00000000 10 = 80000000 00 20 013 80000000 00000000 11 = 80000000 00 20 014 80000001 00000000 00 = 80000000 11 20 015 80000001 00000000 01 = 80000000 11 20 016 80000001 00000000 10 = 80000000 11 20 017 80000001 00000000 11 = 80000000 11 20 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 20 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 20 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 20 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 20 01C FFFFFFFF 00000000 00 = FFFFFFFE 11 20 01D FFFFFFFF 00000000 01 = FFFFFFFE 11 20 01E FFFFFFFF 00000000 10 = FFFFFFFE 11 20 01F FFFFFFFF 00000000 11 = FFFFFFFE 11 20 020 00000000 00000001 00 = 00000000 00 20 021 00000000 00000001 01 = 00000000 00 20 022 00000000 00000001 10 = 00000000 00 20 023 00000000 00000001 11 = 00000000 00 20 024 00000001 00000001 00 = 00000001 00 20 025 00000001 00000001 01 = 00000001 00 20 026 00000001 00000001 10 = 00000001 00 20 027 00000001 00000001 11 = 00000001 00 20 028 00000002 00000001 00 = 00000000 11 20 029 00000002 00000001 01 = 00000000 11 20 02A 00000002 00000001 10 = 00000000 11 20 02B 00000002 00000001 11 = 00000000 11 20 02C 7FFFFFFF 00000001 00 = 7FFFFFFD 11 20 02D 7FFFFFFF 00000001 01 = 7FFFFFFD 11 20 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 11 20 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 11 20 030 80000000 00000001 00 = 80000000 00 20 031 80000000 00000001 01 = 80000000 00 20 032 80000000 00000001 10 = 80000000 00 20 033 80000000 00000001 11 = 80000000 00 20 034 80000001 00000001 00 = 80000001 00 20 035 80000001 00000001 01 = 80000001 00 20 036 80000001 00000001 10 = 80000001 00 20 037 80000001 00000001 11 = 80000001 00 20 038 FFFFFFFE 00000001 00 = FFFFFFFC 11 20 039 FFFFFFFE 00000001 01 = FFFFFFFC 11 20 03A FFFFFFFE 00000001 10 = FFFFFFFC 11 20 03B FFFFFFFE 00000001 11 = FFFFFFFC 11 20 03C FFFFFFFF 00000001 00 = FFFFFFFD 11 20 03D FFFFFFFF 00000001 01 = FFFFFFFD 11 20 03E FFFFFFFF 00000001 10 = FFFFFFFD 11 20 03F FFFFFFFF 00000001 11 = FFFFFFFD 11 20 040 00000000 00000002 00 = 00000000 00 20 041 00000000 00000002 01 = 00000000 00 20 042 00000000 00000002 10 = 00000000 00 20 043 00000000 00000002 11 = 00000000 00 20 044 00000001 00000002 00 = 00000001 00 20 045 00000001 00000002 01 = 00000001 00 20 046 00000001 00000002 10 = 00000001 00 20 047 00000001 00000002 11 = 00000001 00 20 048 00000002 00000002 00 = 00000002 00 20 049 00000002 00000002 01 = 00000002 00 20 04A 00000002 00000002 10 = 00000002 00 20 04B 00000002 00000002 11 = 00000002 00 20 04C 7FFFFFFF 00000002 00 = 7FFFFFFB 11 20 04D 7FFFFFFF 00000002 01 = 7FFFFFFB 11 20 04E 7FFFFFFF 00000002 10 = 7FFFFFFB 11 20 04F 7FFFFFFF 00000002 11 = 7FFFFFFB 11 20 050 80000000 00000002 00 = 80000000 00 20 051 80000000 00000002 01 = 80000000 00 20 052 80000000 00000002 10 = 80000000 00 20 053 80000000 00000002 11 = 80000000 00 20 054 80000001 00000002 00 = 80000001 00 20 055 80000001 00000002 01 = 80000001 00 20 056 80000001 00000002 10 = 80000001 00 20 057 80000001 00000002 11 = 80000001 00 20 058 FFFFFFFE 00000002 00 = FFFFFFFA 11 20 059 FFFFFFFE 00000002 01 = FFFFFFFA 11 20 05A FFFFFFFE 00000002 10 = FFFFFFFA 11 20 05B FFFFFFFE 00000002 11 = FFFFFFFA 11 20 05C FFFFFFFF 00000002 00 = FFFFFFFB 11 20 05D FFFFFFFF 00000002 01 = FFFFFFFB 11 20 05E FFFFFFFF 00000002 10 = FFFFFFFB 11 20 05F FFFFFFFF 00000002 11 = FFFFFFFB 11 20 060 00000000 7FFFFFFF 00 = 00000000 00 20 061 00000000 7FFFFFFF 01 = 00000000 00 20 062 00000000 7FFFFFFF 10 = 00000000 00 20 063 00000000 7FFFFFFF 11 = 00000000 00 20 064 00000001 7FFFFFFF 00 = 00000001 00 20 065 00000001 7FFFFFFF 01 = 00000001 00 20 066 00000001 7FFFFFFF 10 = 00000001 00 20 067 00000001 7FFFFFFF 11 = 00000001 00 20 068 00000002 7FFFFFFF 00 = 00000002 00 20 069 00000002 7FFFFFFF 01 = 00000002 00 20 06A 00000002 7FFFFFFF 10 = 00000002 00 20 06B 00000002 7FFFFFFF 11 = 00000002 00 20 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 20 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 20 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 20 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 20 070 80000000 7FFFFFFF 00 = 00000000 11 20 071 80000000 7FFFFFFF 01 = 00000000 11 20 072 80000000 7FFFFFFF 10 = 00000000 11 20 073 80000000 7FFFFFFF 11 = 00000000 11 20 074 80000001 7FFFFFFF 00 = 00000001 11 20 075 80000001 7FFFFFFF 01 = 00000001 11 20 076 80000001 7FFFFFFF 10 = 00000001 11 20 077 80000001 7FFFFFFF 11 = 00000001 11 20 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 11 20 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 11 20 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 11 20 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 11 20 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 11 20 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 20 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 11 20 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 20 080 00000000 80000000 00 = 00000000 00 20 081 00000000 80000000 01 = 00000000 00 20 082 00000000 80000000 10 = 00000000 00 20 083 00000000 80000000 11 = 00000000 00 20 084 00000001 80000000 00 = 00000000 11 20 085 00000001 80000000 01 = 00000000 11 20 086 00000001 80000000 10 = 00000000 11 20 087 00000001 80000000 11 = 00000000 11 20 088 00000002 80000000 00 = 00000002 00 20 089 00000002 80000000 01 = 00000002 00 20 08A 00000002 80000000 10 = 00000002 00 20 08B 00000002 80000000 11 = 00000002 00 20 08C 7FFFFFFF 80000000 00 = 7FFFFFFE 11 20 08D 7FFFFFFF 80000000 01 = 7FFFFFFE 11 20 08E 7FFFFFFF 80000000 10 = 7FFFFFFE 11 20 08F 7FFFFFFF 80000000 11 = 7FFFFFFE 11 20 090 80000000 80000000 00 = 80000000 00 20 091 80000000 80000000 01 = 80000000 00 20 092 80000000 80000000 10 = 80000000 00 20 093 80000000 80000000 11 = 80000000 00 20 094 80000001 80000000 00 = 80000000 11 20 095 80000001 80000000 01 = 80000000 11 20 096 80000001 80000000 10 = 80000000 11 20 097 80000001 80000000 11 = 80000000 11 20 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 20 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 20 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 20 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 20 09C FFFFFFFF 80000000 00 = FFFFFFFE 11 20 09D FFFFFFFF 80000000 01 = FFFFFFFE 11 20 09E FFFFFFFF 80000000 10 = FFFFFFFE 11 20 09F FFFFFFFF 80000000 11 = FFFFFFFE 11 20 0A0 00000000 80000001 00 = 00000000 00 20 0A1 00000000 80000001 01 = 00000000 00 20 0A2 00000000 80000001 10 = 00000000 00 20 0A3 00000000 80000001 11 = 00000000 00 20 0A4 00000001 80000001 00 = 00000001 00 20 0A5 00000001 80000001 01 = 00000001 00 20 0A6 00000001 80000001 10 = 00000001 00 20 0A7 00000001 80000001 11 = 00000001 00 20 0A8 00000002 80000001 00 = 00000000 11 20 0A9 00000002 80000001 01 = 00000000 11 20 0AA 00000002 80000001 10 = 00000000 11 20 0AB 00000002 80000001 11 = 00000000 11 20 0AC 7FFFFFFF 80000001 00 = 7FFFFFFD 11 20 0AD 7FFFFFFF 80000001 01 = 7FFFFFFD 11 20 0AE 7FFFFFFF 80000001 10 = 7FFFFFFD 11 20 0AF 7FFFFFFF 80000001 11 = 7FFFFFFD 11 20 0B0 80000000 80000001 00 = 80000000 00 20 0B1 80000000 80000001 01 = 80000000 00 20 0B2 80000000 80000001 10 = 80000000 00 20 0B3 80000000 80000001 11 = 80000000 00 20 0B4 80000001 80000001 00 = 80000001 00 20 0B5 80000001 80000001 01 = 80000001 00 20 0B6 80000001 80000001 10 = 80000001 00 20 0B7 80000001 80000001 11 = 80000001 00 20 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 11 20 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 11 20 0BA FFFFFFFE 80000001 10 = FFFFFFFC 11 20 0BB FFFFFFFE 80000001 11 = FFFFFFFC 11 20 0BC FFFFFFFF 80000001 00 = FFFFFFFD 11 20 0BD FFFFFFFF 80000001 01 = FFFFFFFD 11 20 0BE FFFFFFFF 80000001 10 = FFFFFFFD 11 20 0BF FFFFFFFF 80000001 11 = FFFFFFFD 11 20 0C0 00000000 FFFFFFFE 00 = 00000000 00 20 0C1 00000000 FFFFFFFE 01 = 00000000 00 20 0C2 00000000 FFFFFFFE 10 = 00000000 00 20 0C3 00000000 FFFFFFFE 11 = 00000000 00 20 0C4 00000001 FFFFFFFE 00 = 00000001 00 20 0C5 00000001 FFFFFFFE 01 = 00000001 00 20 0C6 00000001 FFFFFFFE 10 = 00000001 00 20 0C7 00000001 FFFFFFFE 11 = 00000001 00 20 0C8 00000002 FFFFFFFE 00 = 00000002 00 20 0C9 00000002 FFFFFFFE 01 = 00000002 00 20 0CA 00000002 FFFFFFFE 10 = 00000002 00 20 0CB 00000002 FFFFFFFE 11 = 00000002 00 20 0CC 7FFFFFFF FFFFFFFE 00 = 3FFFFFFF 11 20 0CD 7FFFFFFF FFFFFFFE 01 = 3FFFFFFF 11 20 0CE 7FFFFFFF FFFFFFFE 10 = 3FFFFFFF 11 20 0CF 7FFFFFFF FFFFFFFE 11 = 3FFFFFFF 11 20 0D0 80000000 FFFFFFFE 00 = 80000000 00 20 0D1 80000000 FFFFFFFE 01 = 80000000 00 20 0D2 80000000 FFFFFFFE 10 = 80000000 00 20 0D3 80000000 FFFFFFFE 11 = 80000000 00 20 0D4 80000001 FFFFFFFE 00 = 80000001 00 20 0D5 80000001 FFFFFFFE 01 = 80000001 00 20 0D6 80000001 FFFFFFFE 10 = 80000001 00 20 0D7 80000001 FFFFFFFE 11 = 80000001 00 20 0D8 FFFFFFFE FFFFFFFE 00 = BFFFFFFE 11 20 0D9 FFFFFFFE FFFFFFFE 01 = BFFFFFFE 11 20 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFE 11 20 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFE 11 20 0DC FFFFFFFF FFFFFFFE 00 = BFFFFFFF 11 20 0DD FFFFFFFF FFFFFFFE 01 = BFFFFFFF 11 20 0DE FFFFFFFF FFFFFFFE 10 = BFFFFFFF 11 20 0DF FFFFFFFF FFFFFFFE 11 = BFFFFFFF 11 20 0E0 00000000 FFFFFFFF 00 = 00000000 00 20 0E1 00000000 FFFFFFFF 01 = 00000000 00 20 0E2 00000000 FFFFFFFF 10 = 00000000 00 20 0E3 00000000 FFFFFFFF 11 = 00000000 00 20 0E4 00000001 FFFFFFFF 00 = 00000001 00 20 0E5 00000001 FFFFFFFF 01 = 00000001 00 20 0E6 00000001 FFFFFFFF 10 = 00000001 00 20 0E7 00000001 FFFFFFFF 11 = 00000001 00 20 0E8 00000002 FFFFFFFF 00 = 00000002 00 20 0E9 00000002 FFFFFFFF 01 = 00000002 00 20 0EA 00000002 FFFFFFFF 10 = 00000002 00 20 0EB 00000002 FFFFFFFF 11 = 00000002 00 20 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 20 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 20 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 20 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 20 0F0 80000000 FFFFFFFF 00 = 00000000 11 20 0F1 80000000 FFFFFFFF 01 = 00000000 11 20 0F2 80000000 FFFFFFFF 10 = 00000000 11 20 0F3 80000000 FFFFFFFF 11 = 00000000 11 20 0F4 80000001 FFFFFFFF 00 = 00000001 11 20 0F5 80000001 FFFFFFFF 01 = 00000001 11 20 0F6 80000001 FFFFFFFF 10 = 00000001 11 20 0F7 80000001 FFFFFFFF 11 = 00000001 11 20 0F8 FFFFFFFE FFFFFFFF 00 = 7FFFFFFE 11 20 0F9 FFFFFFFE FFFFFFFF 01 = 7FFFFFFE 11 20 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFE 11 20 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFE 11 20 0FC FFFFFFFF FFFFFFFF 00 = 7FFFFFFF 11 20 0FD FFFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 20 0FE FFFFFFFF FFFFFFFF 10 = 7FFFFFFF 11 20 0FF FFFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 bith ---D---- ---S---- CZ = ---Q---- CZ 21 000 00000000 00000000 00 = 00000001 00 21 001 00000000 00000000 01 = 00000001 00 21 002 00000000 00000000 10 = 00000001 00 21 003 00000000 00000000 11 = 00000001 00 21 004 00000001 00000000 00 = 00000001 11 21 005 00000001 00000000 01 = 00000001 11 21 006 00000001 00000000 10 = 00000001 11 21 007 00000001 00000000 11 = 00000001 11 21 008 00000002 00000000 00 = 00000003 00 21 009 00000002 00000000 01 = 00000003 00 21 00A 00000002 00000000 10 = 00000003 00 21 00B 00000002 00000000 11 = 00000003 00 21 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 11 21 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 11 21 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 11 21 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 21 010 80000000 00000000 00 = 80000001 00 21 011 80000000 00000000 01 = 80000001 00 21 012 80000000 00000000 10 = 80000001 00 21 013 80000000 00000000 11 = 80000001 00 21 014 80000001 00000000 00 = 80000001 11 21 015 80000001 00000000 01 = 80000001 11 21 016 80000001 00000000 10 = 80000001 11 21 017 80000001 00000000 11 = 80000001 11 21 018 FFFFFFFE 00000000 00 = FFFFFFFF 00 21 019 FFFFFFFE 00000000 01 = FFFFFFFF 00 21 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 21 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 21 01C FFFFFFFF 00000000 00 = FFFFFFFF 11 21 01D FFFFFFFF 00000000 01 = FFFFFFFF 11 21 01E FFFFFFFF 00000000 10 = FFFFFFFF 11 21 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 21 020 00000000 00000001 00 = 00000002 00 21 021 00000000 00000001 01 = 00000002 00 21 022 00000000 00000001 10 = 00000002 00 21 023 00000000 00000001 11 = 00000002 00 21 024 00000001 00000001 00 = 00000003 00 21 025 00000001 00000001 01 = 00000003 00 21 026 00000001 00000001 10 = 00000003 00 21 027 00000001 00000001 11 = 00000003 00 21 028 00000002 00000001 00 = 00000002 11 21 029 00000002 00000001 01 = 00000002 11 21 02A 00000002 00000001 10 = 00000002 11 21 02B 00000002 00000001 11 = 00000002 11 21 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 11 21 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 11 21 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 11 21 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 21 030 80000000 00000001 00 = 80000002 00 21 031 80000000 00000001 01 = 80000002 00 21 032 80000000 00000001 10 = 80000002 00 21 033 80000000 00000001 11 = 80000002 00 21 034 80000001 00000001 00 = 80000003 00 21 035 80000001 00000001 01 = 80000003 00 21 036 80000001 00000001 10 = 80000003 00 21 037 80000001 00000001 11 = 80000003 00 21 038 FFFFFFFE 00000001 00 = FFFFFFFE 11 21 039 FFFFFFFE 00000001 01 = FFFFFFFE 11 21 03A FFFFFFFE 00000001 10 = FFFFFFFE 11 21 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 21 03C FFFFFFFF 00000001 00 = FFFFFFFF 11 21 03D FFFFFFFF 00000001 01 = FFFFFFFF 11 21 03E FFFFFFFF 00000001 10 = FFFFFFFF 11 21 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 21 040 00000000 00000002 00 = 00000004 00 21 041 00000000 00000002 01 = 00000004 00 21 042 00000000 00000002 10 = 00000004 00 21 043 00000000 00000002 11 = 00000004 00 21 044 00000001 00000002 00 = 00000005 00 21 045 00000001 00000002 01 = 00000005 00 21 046 00000001 00000002 10 = 00000005 00 21 047 00000001 00000002 11 = 00000005 00 21 048 00000002 00000002 00 = 00000006 00 21 049 00000002 00000002 01 = 00000006 00 21 04A 00000002 00000002 10 = 00000006 00 21 04B 00000002 00000002 11 = 00000006 00 21 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 11 21 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 11 21 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 11 21 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 21 050 80000000 00000002 00 = 80000004 00 21 051 80000000 00000002 01 = 80000004 00 21 052 80000000 00000002 10 = 80000004 00 21 053 80000000 00000002 11 = 80000004 00 21 054 80000001 00000002 00 = 80000005 00 21 055 80000001 00000002 01 = 80000005 00 21 056 80000001 00000002 10 = 80000005 00 21 057 80000001 00000002 11 = 80000005 00 21 058 FFFFFFFE 00000002 00 = FFFFFFFE 11 21 059 FFFFFFFE 00000002 01 = FFFFFFFE 11 21 05A FFFFFFFE 00000002 10 = FFFFFFFE 11 21 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 21 05C FFFFFFFF 00000002 00 = FFFFFFFF 11 21 05D FFFFFFFF 00000002 01 = FFFFFFFF 11 21 05E FFFFFFFF 00000002 10 = FFFFFFFF 11 21 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 21 060 00000000 7FFFFFFF 00 = 80000000 00 21 061 00000000 7FFFFFFF 01 = 80000000 00 21 062 00000000 7FFFFFFF 10 = 80000000 00 21 063 00000000 7FFFFFFF 11 = 80000000 00 21 064 00000001 7FFFFFFF 00 = 80000001 00 21 065 00000001 7FFFFFFF 01 = 80000001 00 21 066 00000001 7FFFFFFF 10 = 80000001 00 21 067 00000001 7FFFFFFF 11 = 80000001 00 21 068 00000002 7FFFFFFF 00 = 80000002 00 21 069 00000002 7FFFFFFF 01 = 80000002 00 21 06A 00000002 7FFFFFFF 10 = 80000002 00 21 06B 00000002 7FFFFFFF 11 = 80000002 00 21 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 21 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 21 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 21 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 21 070 80000000 7FFFFFFF 00 = 80000000 11 21 071 80000000 7FFFFFFF 01 = 80000000 11 21 072 80000000 7FFFFFFF 10 = 80000000 11 21 073 80000000 7FFFFFFF 11 = 80000000 11 21 074 80000001 7FFFFFFF 00 = 80000001 11 21 075 80000001 7FFFFFFF 01 = 80000001 11 21 076 80000001 7FFFFFFF 10 = 80000001 11 21 077 80000001 7FFFFFFF 11 = 80000001 11 21 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 11 21 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 11 21 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 11 21 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 21 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 11 21 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 11 21 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 11 21 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 21 080 00000000 80000000 00 = 00000001 00 21 081 00000000 80000000 01 = 00000001 00 21 082 00000000 80000000 10 = 00000001 00 21 083 00000000 80000000 11 = 00000001 00 21 084 00000001 80000000 00 = 00000001 11 21 085 00000001 80000000 01 = 00000001 11 21 086 00000001 80000000 10 = 00000001 11 21 087 00000001 80000000 11 = 00000001 11 21 088 00000002 80000000 00 = 00000003 00 21 089 00000002 80000000 01 = 00000003 00 21 08A 00000002 80000000 10 = 00000003 00 21 08B 00000002 80000000 11 = 00000003 00 21 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 11 21 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 11 21 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 11 21 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 21 090 80000000 80000000 00 = 80000001 00 21 091 80000000 80000000 01 = 80000001 00 21 092 80000000 80000000 10 = 80000001 00 21 093 80000000 80000000 11 = 80000001 00 21 094 80000001 80000000 00 = 80000001 11 21 095 80000001 80000000 01 = 80000001 11 21 096 80000001 80000000 10 = 80000001 11 21 097 80000001 80000000 11 = 80000001 11 21 098 FFFFFFFE 80000000 00 = FFFFFFFF 00 21 099 FFFFFFFE 80000000 01 = FFFFFFFF 00 21 09A FFFFFFFE 80000000 10 = FFFFFFFF 00 21 09B FFFFFFFE 80000000 11 = FFFFFFFF 00 21 09C FFFFFFFF 80000000 00 = FFFFFFFF 11 21 09D FFFFFFFF 80000000 01 = FFFFFFFF 11 21 09E FFFFFFFF 80000000 10 = FFFFFFFF 11 21 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 21 0A0 00000000 80000001 00 = 00000002 00 21 0A1 00000000 80000001 01 = 00000002 00 21 0A2 00000000 80000001 10 = 00000002 00 21 0A3 00000000 80000001 11 = 00000002 00 21 0A4 00000001 80000001 00 = 00000003 00 21 0A5 00000001 80000001 01 = 00000003 00 21 0A6 00000001 80000001 10 = 00000003 00 21 0A7 00000001 80000001 11 = 00000003 00 21 0A8 00000002 80000001 00 = 00000002 11 21 0A9 00000002 80000001 01 = 00000002 11 21 0AA 00000002 80000001 10 = 00000002 11 21 0AB 00000002 80000001 11 = 00000002 11 21 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 11 21 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 11 21 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 11 21 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 21 0B0 80000000 80000001 00 = 80000002 00 21 0B1 80000000 80000001 01 = 80000002 00 21 0B2 80000000 80000001 10 = 80000002 00 21 0B3 80000000 80000001 11 = 80000002 00 21 0B4 80000001 80000001 00 = 80000003 00 21 0B5 80000001 80000001 01 = 80000003 00 21 0B6 80000001 80000001 10 = 80000003 00 21 0B7 80000001 80000001 11 = 80000003 00 21 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 11 21 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 11 21 0BA FFFFFFFE 80000001 10 = FFFFFFFE 11 21 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 21 0BC FFFFFFFF 80000001 00 = FFFFFFFF 11 21 0BD FFFFFFFF 80000001 01 = FFFFFFFF 11 21 0BE FFFFFFFF 80000001 10 = FFFFFFFF 11 21 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 21 0C0 00000000 FFFFFFFE 00 = 40000000 00 21 0C1 00000000 FFFFFFFE 01 = 40000000 00 21 0C2 00000000 FFFFFFFE 10 = 40000000 00 21 0C3 00000000 FFFFFFFE 11 = 40000000 00 21 0C4 00000001 FFFFFFFE 00 = 40000001 00 21 0C5 00000001 FFFFFFFE 01 = 40000001 00 21 0C6 00000001 FFFFFFFE 10 = 40000001 00 21 0C7 00000001 FFFFFFFE 11 = 40000001 00 21 0C8 00000002 FFFFFFFE 00 = 40000002 00 21 0C9 00000002 FFFFFFFE 01 = 40000002 00 21 0CA 00000002 FFFFFFFE 10 = 40000002 00 21 0CB 00000002 FFFFFFFE 11 = 40000002 00 21 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 11 21 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 11 21 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 11 21 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 21 0D0 80000000 FFFFFFFE 00 = C0000000 00 21 0D1 80000000 FFFFFFFE 01 = C0000000 00 21 0D2 80000000 FFFFFFFE 10 = C0000000 00 21 0D3 80000000 FFFFFFFE 11 = C0000000 00 21 0D4 80000001 FFFFFFFE 00 = C0000001 00 21 0D5 80000001 FFFFFFFE 01 = C0000001 00 21 0D6 80000001 FFFFFFFE 10 = C0000001 00 21 0D7 80000001 FFFFFFFE 11 = C0000001 00 21 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 11 21 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 11 21 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 11 21 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 21 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 11 21 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 11 21 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 11 21 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 21 0E0 00000000 FFFFFFFF 00 = 80000000 00 21 0E1 00000000 FFFFFFFF 01 = 80000000 00 21 0E2 00000000 FFFFFFFF 10 = 80000000 00 21 0E3 00000000 FFFFFFFF 11 = 80000000 00 21 0E4 00000001 FFFFFFFF 00 = 80000001 00 21 0E5 00000001 FFFFFFFF 01 = 80000001 00 21 0E6 00000001 FFFFFFFF 10 = 80000001 00 21 0E7 00000001 FFFFFFFF 11 = 80000001 00 21 0E8 00000002 FFFFFFFF 00 = 80000002 00 21 0E9 00000002 FFFFFFFF 01 = 80000002 00 21 0EA 00000002 FFFFFFFF 10 = 80000002 00 21 0EB 00000002 FFFFFFFF 11 = 80000002 00 21 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 21 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 21 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 21 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 21 0F0 80000000 FFFFFFFF 00 = 80000000 11 21 0F1 80000000 FFFFFFFF 01 = 80000000 11 21 0F2 80000000 FFFFFFFF 10 = 80000000 11 21 0F3 80000000 FFFFFFFF 11 = 80000000 11 21 0F4 80000001 FFFFFFFF 00 = 80000001 11 21 0F5 80000001 FFFFFFFF 01 = 80000001 11 21 0F6 80000001 FFFFFFFF 10 = 80000001 11 21 0F7 80000001 FFFFFFFF 11 = 80000001 11 21 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 11 21 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 11 21 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 11 21 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 21 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 11 21 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 11 21 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 11 21 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 bitc ---D---- ---S---- CZ = ---Q---- CZ 22 000 00000000 00000000 00 = 00000000 00 22 001 00000000 00000000 01 = 00000000 00 22 002 00000000 00000000 10 = 00000001 00 22 003 00000000 00000000 11 = 00000001 00 22 004 00000001 00000000 00 = 00000000 11 22 005 00000001 00000000 01 = 00000000 11 22 006 00000001 00000000 10 = 00000001 11 22 007 00000001 00000000 11 = 00000001 11 22 008 00000002 00000000 00 = 00000002 00 22 009 00000002 00000000 01 = 00000002 00 22 00A 00000002 00000000 10 = 00000003 00 22 00B 00000002 00000000 11 = 00000003 00 22 00C 7FFFFFFF 00000000 00 = 7FFFFFFE 11 22 00D 7FFFFFFF 00000000 01 = 7FFFFFFE 11 22 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 11 22 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 22 010 80000000 00000000 00 = 80000000 00 22 011 80000000 00000000 01 = 80000000 00 22 012 80000000 00000000 10 = 80000001 00 22 013 80000000 00000000 11 = 80000001 00 22 014 80000001 00000000 00 = 80000000 11 22 015 80000001 00000000 01 = 80000000 11 22 016 80000001 00000000 10 = 80000001 11 22 017 80000001 00000000 11 = 80000001 11 22 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 22 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 22 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 22 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 22 01C FFFFFFFF 00000000 00 = FFFFFFFE 11 22 01D FFFFFFFF 00000000 01 = FFFFFFFE 11 22 01E FFFFFFFF 00000000 10 = FFFFFFFF 11 22 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 22 020 00000000 00000001 00 = 00000000 00 22 021 00000000 00000001 01 = 00000000 00 22 022 00000000 00000001 10 = 00000002 00 22 023 00000000 00000001 11 = 00000002 00 22 024 00000001 00000001 00 = 00000001 00 22 025 00000001 00000001 01 = 00000001 00 22 026 00000001 00000001 10 = 00000003 00 22 027 00000001 00000001 11 = 00000003 00 22 028 00000002 00000001 00 = 00000000 11 22 029 00000002 00000001 01 = 00000000 11 22 02A 00000002 00000001 10 = 00000002 11 22 02B 00000002 00000001 11 = 00000002 11 22 02C 7FFFFFFF 00000001 00 = 7FFFFFFD 11 22 02D 7FFFFFFF 00000001 01 = 7FFFFFFD 11 22 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 11 22 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 22 030 80000000 00000001 00 = 80000000 00 22 031 80000000 00000001 01 = 80000000 00 22 032 80000000 00000001 10 = 80000002 00 22 033 80000000 00000001 11 = 80000002 00 22 034 80000001 00000001 00 = 80000001 00 22 035 80000001 00000001 01 = 80000001 00 22 036 80000001 00000001 10 = 80000003 00 22 037 80000001 00000001 11 = 80000003 00 22 038 FFFFFFFE 00000001 00 = FFFFFFFC 11 22 039 FFFFFFFE 00000001 01 = FFFFFFFC 11 22 03A FFFFFFFE 00000001 10 = FFFFFFFE 11 22 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 22 03C FFFFFFFF 00000001 00 = FFFFFFFD 11 22 03D FFFFFFFF 00000001 01 = FFFFFFFD 11 22 03E FFFFFFFF 00000001 10 = FFFFFFFF 11 22 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 22 040 00000000 00000002 00 = 00000000 00 22 041 00000000 00000002 01 = 00000000 00 22 042 00000000 00000002 10 = 00000004 00 22 043 00000000 00000002 11 = 00000004 00 22 044 00000001 00000002 00 = 00000001 00 22 045 00000001 00000002 01 = 00000001 00 22 046 00000001 00000002 10 = 00000005 00 22 047 00000001 00000002 11 = 00000005 00 22 048 00000002 00000002 00 = 00000002 00 22 049 00000002 00000002 01 = 00000002 00 22 04A 00000002 00000002 10 = 00000006 00 22 04B 00000002 00000002 11 = 00000006 00 22 04C 7FFFFFFF 00000002 00 = 7FFFFFFB 11 22 04D 7FFFFFFF 00000002 01 = 7FFFFFFB 11 22 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 11 22 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 22 050 80000000 00000002 00 = 80000000 00 22 051 80000000 00000002 01 = 80000000 00 22 052 80000000 00000002 10 = 80000004 00 22 053 80000000 00000002 11 = 80000004 00 22 054 80000001 00000002 00 = 80000001 00 22 055 80000001 00000002 01 = 80000001 00 22 056 80000001 00000002 10 = 80000005 00 22 057 80000001 00000002 11 = 80000005 00 22 058 FFFFFFFE 00000002 00 = FFFFFFFA 11 22 059 FFFFFFFE 00000002 01 = FFFFFFFA 11 22 05A FFFFFFFE 00000002 10 = FFFFFFFE 11 22 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 22 05C FFFFFFFF 00000002 00 = FFFFFFFB 11 22 05D FFFFFFFF 00000002 01 = FFFFFFFB 11 22 05E FFFFFFFF 00000002 10 = FFFFFFFF 11 22 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 22 060 00000000 7FFFFFFF 00 = 00000000 00 22 061 00000000 7FFFFFFF 01 = 00000000 00 22 062 00000000 7FFFFFFF 10 = 80000000 00 22 063 00000000 7FFFFFFF 11 = 80000000 00 22 064 00000001 7FFFFFFF 00 = 00000001 00 22 065 00000001 7FFFFFFF 01 = 00000001 00 22 066 00000001 7FFFFFFF 10 = 80000001 00 22 067 00000001 7FFFFFFF 11 = 80000001 00 22 068 00000002 7FFFFFFF 00 = 00000002 00 22 069 00000002 7FFFFFFF 01 = 00000002 00 22 06A 00000002 7FFFFFFF 10 = 80000002 00 22 06B 00000002 7FFFFFFF 11 = 80000002 00 22 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 22 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 22 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 22 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 22 070 80000000 7FFFFFFF 00 = 00000000 11 22 071 80000000 7FFFFFFF 01 = 00000000 11 22 072 80000000 7FFFFFFF 10 = 80000000 11 22 073 80000000 7FFFFFFF 11 = 80000000 11 22 074 80000001 7FFFFFFF 00 = 00000001 11 22 075 80000001 7FFFFFFF 01 = 00000001 11 22 076 80000001 7FFFFFFF 10 = 80000001 11 22 077 80000001 7FFFFFFF 11 = 80000001 11 22 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 11 22 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 11 22 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 11 22 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 22 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 11 22 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 22 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 11 22 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 22 080 00000000 80000000 00 = 00000000 00 22 081 00000000 80000000 01 = 00000000 00 22 082 00000000 80000000 10 = 00000001 00 22 083 00000000 80000000 11 = 00000001 00 22 084 00000001 80000000 00 = 00000000 11 22 085 00000001 80000000 01 = 00000000 11 22 086 00000001 80000000 10 = 00000001 11 22 087 00000001 80000000 11 = 00000001 11 22 088 00000002 80000000 00 = 00000002 00 22 089 00000002 80000000 01 = 00000002 00 22 08A 00000002 80000000 10 = 00000003 00 22 08B 00000002 80000000 11 = 00000003 00 22 08C 7FFFFFFF 80000000 00 = 7FFFFFFE 11 22 08D 7FFFFFFF 80000000 01 = 7FFFFFFE 11 22 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 11 22 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 22 090 80000000 80000000 00 = 80000000 00 22 091 80000000 80000000 01 = 80000000 00 22 092 80000000 80000000 10 = 80000001 00 22 093 80000000 80000000 11 = 80000001 00 22 094 80000001 80000000 00 = 80000000 11 22 095 80000001 80000000 01 = 80000000 11 22 096 80000001 80000000 10 = 80000001 11 22 097 80000001 80000000 11 = 80000001 11 22 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 22 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 22 09A FFFFFFFE 80000000 10 = FFFFFFFF 00 22 09B FFFFFFFE 80000000 11 = FFFFFFFF 00 22 09C FFFFFFFF 80000000 00 = FFFFFFFE 11 22 09D FFFFFFFF 80000000 01 = FFFFFFFE 11 22 09E FFFFFFFF 80000000 10 = FFFFFFFF 11 22 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 22 0A0 00000000 80000001 00 = 00000000 00 22 0A1 00000000 80000001 01 = 00000000 00 22 0A2 00000000 80000001 10 = 00000002 00 22 0A3 00000000 80000001 11 = 00000002 00 22 0A4 00000001 80000001 00 = 00000001 00 22 0A5 00000001 80000001 01 = 00000001 00 22 0A6 00000001 80000001 10 = 00000003 00 22 0A7 00000001 80000001 11 = 00000003 00 22 0A8 00000002 80000001 00 = 00000000 11 22 0A9 00000002 80000001 01 = 00000000 11 22 0AA 00000002 80000001 10 = 00000002 11 22 0AB 00000002 80000001 11 = 00000002 11 22 0AC 7FFFFFFF 80000001 00 = 7FFFFFFD 11 22 0AD 7FFFFFFF 80000001 01 = 7FFFFFFD 11 22 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 11 22 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 22 0B0 80000000 80000001 00 = 80000000 00 22 0B1 80000000 80000001 01 = 80000000 00 22 0B2 80000000 80000001 10 = 80000002 00 22 0B3 80000000 80000001 11 = 80000002 00 22 0B4 80000001 80000001 00 = 80000001 00 22 0B5 80000001 80000001 01 = 80000001 00 22 0B6 80000001 80000001 10 = 80000003 00 22 0B7 80000001 80000001 11 = 80000003 00 22 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 11 22 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 11 22 0BA FFFFFFFE 80000001 10 = FFFFFFFE 11 22 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 22 0BC FFFFFFFF 80000001 00 = FFFFFFFD 11 22 0BD FFFFFFFF 80000001 01 = FFFFFFFD 11 22 0BE FFFFFFFF 80000001 10 = FFFFFFFF 11 22 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 22 0C0 00000000 FFFFFFFE 00 = 00000000 00 22 0C1 00000000 FFFFFFFE 01 = 00000000 00 22 0C2 00000000 FFFFFFFE 10 = 40000000 00 22 0C3 00000000 FFFFFFFE 11 = 40000000 00 22 0C4 00000001 FFFFFFFE 00 = 00000001 00 22 0C5 00000001 FFFFFFFE 01 = 00000001 00 22 0C6 00000001 FFFFFFFE 10 = 40000001 00 22 0C7 00000001 FFFFFFFE 11 = 40000001 00 22 0C8 00000002 FFFFFFFE 00 = 00000002 00 22 0C9 00000002 FFFFFFFE 01 = 00000002 00 22 0CA 00000002 FFFFFFFE 10 = 40000002 00 22 0CB 00000002 FFFFFFFE 11 = 40000002 00 22 0CC 7FFFFFFF FFFFFFFE 00 = 3FFFFFFF 11 22 0CD 7FFFFFFF FFFFFFFE 01 = 3FFFFFFF 11 22 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 11 22 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 22 0D0 80000000 FFFFFFFE 00 = 80000000 00 22 0D1 80000000 FFFFFFFE 01 = 80000000 00 22 0D2 80000000 FFFFFFFE 10 = C0000000 00 22 0D3 80000000 FFFFFFFE 11 = C0000000 00 22 0D4 80000001 FFFFFFFE 00 = 80000001 00 22 0D5 80000001 FFFFFFFE 01 = 80000001 00 22 0D6 80000001 FFFFFFFE 10 = C0000001 00 22 0D7 80000001 FFFFFFFE 11 = C0000001 00 22 0D8 FFFFFFFE FFFFFFFE 00 = BFFFFFFE 11 22 0D9 FFFFFFFE FFFFFFFE 01 = BFFFFFFE 11 22 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 11 22 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 22 0DC FFFFFFFF FFFFFFFE 00 = BFFFFFFF 11 22 0DD FFFFFFFF FFFFFFFE 01 = BFFFFFFF 11 22 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 11 22 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 22 0E0 00000000 FFFFFFFF 00 = 00000000 00 22 0E1 00000000 FFFFFFFF 01 = 00000000 00 22 0E2 00000000 FFFFFFFF 10 = 80000000 00 22 0E3 00000000 FFFFFFFF 11 = 80000000 00 22 0E4 00000001 FFFFFFFF 00 = 00000001 00 22 0E5 00000001 FFFFFFFF 01 = 00000001 00 22 0E6 00000001 FFFFFFFF 10 = 80000001 00 22 0E7 00000001 FFFFFFFF 11 = 80000001 00 22 0E8 00000002 FFFFFFFF 00 = 00000002 00 22 0E9 00000002 FFFFFFFF 01 = 00000002 00 22 0EA 00000002 FFFFFFFF 10 = 80000002 00 22 0EB 00000002 FFFFFFFF 11 = 80000002 00 22 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 22 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 22 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 22 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 22 0F0 80000000 FFFFFFFF 00 = 00000000 11 22 0F1 80000000 FFFFFFFF 01 = 00000000 11 22 0F2 80000000 FFFFFFFF 10 = 80000000 11 22 0F3 80000000 FFFFFFFF 11 = 80000000 11 22 0F4 80000001 FFFFFFFF 00 = 00000001 11 22 0F5 80000001 FFFFFFFF 01 = 00000001 11 22 0F6 80000001 FFFFFFFF 10 = 80000001 11 22 0F7 80000001 FFFFFFFF 11 = 80000001 11 22 0F8 FFFFFFFE FFFFFFFF 00 = 7FFFFFFE 11 22 0F9 FFFFFFFE FFFFFFFF 01 = 7FFFFFFE 11 22 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 11 22 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 22 0FC FFFFFFFF FFFFFFFF 00 = 7FFFFFFF 11 22 0FD FFFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 22 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 11 22 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 bitnc ---D---- ---S---- CZ = ---Q---- CZ 23 000 00000000 00000000 00 = 00000001 00 23 001 00000000 00000000 01 = 00000001 00 23 002 00000000 00000000 10 = 00000000 00 23 003 00000000 00000000 11 = 00000000 00 23 004 00000001 00000000 00 = 00000001 11 23 005 00000001 00000000 01 = 00000001 11 23 006 00000001 00000000 10 = 00000000 11 23 007 00000001 00000000 11 = 00000000 11 23 008 00000002 00000000 00 = 00000003 00 23 009 00000002 00000000 01 = 00000003 00 23 00A 00000002 00000000 10 = 00000002 00 23 00B 00000002 00000000 11 = 00000002 00 23 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 11 23 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 11 23 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 11 23 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 11 23 010 80000000 00000000 00 = 80000001 00 23 011 80000000 00000000 01 = 80000001 00 23 012 80000000 00000000 10 = 80000000 00 23 013 80000000 00000000 11 = 80000000 00 23 014 80000001 00000000 00 = 80000001 11 23 015 80000001 00000000 01 = 80000001 11 23 016 80000001 00000000 10 = 80000000 11 23 017 80000001 00000000 11 = 80000000 11 23 018 FFFFFFFE 00000000 00 = FFFFFFFF 00 23 019 FFFFFFFE 00000000 01 = FFFFFFFF 00 23 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 23 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 23 01C FFFFFFFF 00000000 00 = FFFFFFFF 11 23 01D FFFFFFFF 00000000 01 = FFFFFFFF 11 23 01E FFFFFFFF 00000000 10 = FFFFFFFE 11 23 01F FFFFFFFF 00000000 11 = FFFFFFFE 11 23 020 00000000 00000001 00 = 00000002 00 23 021 00000000 00000001 01 = 00000002 00 23 022 00000000 00000001 10 = 00000000 00 23 023 00000000 00000001 11 = 00000000 00 23 024 00000001 00000001 00 = 00000003 00 23 025 00000001 00000001 01 = 00000003 00 23 026 00000001 00000001 10 = 00000001 00 23 027 00000001 00000001 11 = 00000001 00 23 028 00000002 00000001 00 = 00000002 11 23 029 00000002 00000001 01 = 00000002 11 23 02A 00000002 00000001 10 = 00000000 11 23 02B 00000002 00000001 11 = 00000000 11 23 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 11 23 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 11 23 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 11 23 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 11 23 030 80000000 00000001 00 = 80000002 00 23 031 80000000 00000001 01 = 80000002 00 23 032 80000000 00000001 10 = 80000000 00 23 033 80000000 00000001 11 = 80000000 00 23 034 80000001 00000001 00 = 80000003 00 23 035 80000001 00000001 01 = 80000003 00 23 036 80000001 00000001 10 = 80000001 00 23 037 80000001 00000001 11 = 80000001 00 23 038 FFFFFFFE 00000001 00 = FFFFFFFE 11 23 039 FFFFFFFE 00000001 01 = FFFFFFFE 11 23 03A FFFFFFFE 00000001 10 = FFFFFFFC 11 23 03B FFFFFFFE 00000001 11 = FFFFFFFC 11 23 03C FFFFFFFF 00000001 00 = FFFFFFFF 11 23 03D FFFFFFFF 00000001 01 = FFFFFFFF 11 23 03E FFFFFFFF 00000001 10 = FFFFFFFD 11 23 03F FFFFFFFF 00000001 11 = FFFFFFFD 11 23 040 00000000 00000002 00 = 00000004 00 23 041 00000000 00000002 01 = 00000004 00 23 042 00000000 00000002 10 = 00000000 00 23 043 00000000 00000002 11 = 00000000 00 23 044 00000001 00000002 00 = 00000005 00 23 045 00000001 00000002 01 = 00000005 00 23 046 00000001 00000002 10 = 00000001 00 23 047 00000001 00000002 11 = 00000001 00 23 048 00000002 00000002 00 = 00000006 00 23 049 00000002 00000002 01 = 00000006 00 23 04A 00000002 00000002 10 = 00000002 00 23 04B 00000002 00000002 11 = 00000002 00 23 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 11 23 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 11 23 04E 7FFFFFFF 00000002 10 = 7FFFFFFB 11 23 04F 7FFFFFFF 00000002 11 = 7FFFFFFB 11 23 050 80000000 00000002 00 = 80000004 00 23 051 80000000 00000002 01 = 80000004 00 23 052 80000000 00000002 10 = 80000000 00 23 053 80000000 00000002 11 = 80000000 00 23 054 80000001 00000002 00 = 80000005 00 23 055 80000001 00000002 01 = 80000005 00 23 056 80000001 00000002 10 = 80000001 00 23 057 80000001 00000002 11 = 80000001 00 23 058 FFFFFFFE 00000002 00 = FFFFFFFE 11 23 059 FFFFFFFE 00000002 01 = FFFFFFFE 11 23 05A FFFFFFFE 00000002 10 = FFFFFFFA 11 23 05B FFFFFFFE 00000002 11 = FFFFFFFA 11 23 05C FFFFFFFF 00000002 00 = FFFFFFFF 11 23 05D FFFFFFFF 00000002 01 = FFFFFFFF 11 23 05E FFFFFFFF 00000002 10 = FFFFFFFB 11 23 05F FFFFFFFF 00000002 11 = FFFFFFFB 11 23 060 00000000 7FFFFFFF 00 = 80000000 00 23 061 00000000 7FFFFFFF 01 = 80000000 00 23 062 00000000 7FFFFFFF 10 = 00000000 00 23 063 00000000 7FFFFFFF 11 = 00000000 00 23 064 00000001 7FFFFFFF 00 = 80000001 00 23 065 00000001 7FFFFFFF 01 = 80000001 00 23 066 00000001 7FFFFFFF 10 = 00000001 00 23 067 00000001 7FFFFFFF 11 = 00000001 00 23 068 00000002 7FFFFFFF 00 = 80000002 00 23 069 00000002 7FFFFFFF 01 = 80000002 00 23 06A 00000002 7FFFFFFF 10 = 00000002 00 23 06B 00000002 7FFFFFFF 11 = 00000002 00 23 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 23 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 23 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 23 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 23 070 80000000 7FFFFFFF 00 = 80000000 11 23 071 80000000 7FFFFFFF 01 = 80000000 11 23 072 80000000 7FFFFFFF 10 = 00000000 11 23 073 80000000 7FFFFFFF 11 = 00000000 11 23 074 80000001 7FFFFFFF 00 = 80000001 11 23 075 80000001 7FFFFFFF 01 = 80000001 11 23 076 80000001 7FFFFFFF 10 = 00000001 11 23 077 80000001 7FFFFFFF 11 = 00000001 11 23 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 11 23 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 11 23 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 11 23 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 11 23 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 11 23 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 11 23 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 11 23 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 23 080 00000000 80000000 00 = 00000001 00 23 081 00000000 80000000 01 = 00000001 00 23 082 00000000 80000000 10 = 00000000 00 23 083 00000000 80000000 11 = 00000000 00 23 084 00000001 80000000 00 = 00000001 11 23 085 00000001 80000000 01 = 00000001 11 23 086 00000001 80000000 10 = 00000000 11 23 087 00000001 80000000 11 = 00000000 11 23 088 00000002 80000000 00 = 00000003 00 23 089 00000002 80000000 01 = 00000003 00 23 08A 00000002 80000000 10 = 00000002 00 23 08B 00000002 80000000 11 = 00000002 00 23 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 11 23 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 11 23 08E 7FFFFFFF 80000000 10 = 7FFFFFFE 11 23 08F 7FFFFFFF 80000000 11 = 7FFFFFFE 11 23 090 80000000 80000000 00 = 80000001 00 23 091 80000000 80000000 01 = 80000001 00 23 092 80000000 80000000 10 = 80000000 00 23 093 80000000 80000000 11 = 80000000 00 23 094 80000001 80000000 00 = 80000001 11 23 095 80000001 80000000 01 = 80000001 11 23 096 80000001 80000000 10 = 80000000 11 23 097 80000001 80000000 11 = 80000000 11 23 098 FFFFFFFE 80000000 00 = FFFFFFFF 00 23 099 FFFFFFFE 80000000 01 = FFFFFFFF 00 23 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 23 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 23 09C FFFFFFFF 80000000 00 = FFFFFFFF 11 23 09D FFFFFFFF 80000000 01 = FFFFFFFF 11 23 09E FFFFFFFF 80000000 10 = FFFFFFFE 11 23 09F FFFFFFFF 80000000 11 = FFFFFFFE 11 23 0A0 00000000 80000001 00 = 00000002 00 23 0A1 00000000 80000001 01 = 00000002 00 23 0A2 00000000 80000001 10 = 00000000 00 23 0A3 00000000 80000001 11 = 00000000 00 23 0A4 00000001 80000001 00 = 00000003 00 23 0A5 00000001 80000001 01 = 00000003 00 23 0A6 00000001 80000001 10 = 00000001 00 23 0A7 00000001 80000001 11 = 00000001 00 23 0A8 00000002 80000001 00 = 00000002 11 23 0A9 00000002 80000001 01 = 00000002 11 23 0AA 00000002 80000001 10 = 00000000 11 23 0AB 00000002 80000001 11 = 00000000 11 23 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 11 23 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 11 23 0AE 7FFFFFFF 80000001 10 = 7FFFFFFD 11 23 0AF 7FFFFFFF 80000001 11 = 7FFFFFFD 11 23 0B0 80000000 80000001 00 = 80000002 00 23 0B1 80000000 80000001 01 = 80000002 00 23 0B2 80000000 80000001 10 = 80000000 00 23 0B3 80000000 80000001 11 = 80000000 00 23 0B4 80000001 80000001 00 = 80000003 00 23 0B5 80000001 80000001 01 = 80000003 00 23 0B6 80000001 80000001 10 = 80000001 00 23 0B7 80000001 80000001 11 = 80000001 00 23 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 11 23 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 11 23 0BA FFFFFFFE 80000001 10 = FFFFFFFC 11 23 0BB FFFFFFFE 80000001 11 = FFFFFFFC 11 23 0BC FFFFFFFF 80000001 00 = FFFFFFFF 11 23 0BD FFFFFFFF 80000001 01 = FFFFFFFF 11 23 0BE FFFFFFFF 80000001 10 = FFFFFFFD 11 23 0BF FFFFFFFF 80000001 11 = FFFFFFFD 11 23 0C0 00000000 FFFFFFFE 00 = 40000000 00 23 0C1 00000000 FFFFFFFE 01 = 40000000 00 23 0C2 00000000 FFFFFFFE 10 = 00000000 00 23 0C3 00000000 FFFFFFFE 11 = 00000000 00 23 0C4 00000001 FFFFFFFE 00 = 40000001 00 23 0C5 00000001 FFFFFFFE 01 = 40000001 00 23 0C6 00000001 FFFFFFFE 10 = 00000001 00 23 0C7 00000001 FFFFFFFE 11 = 00000001 00 23 0C8 00000002 FFFFFFFE 00 = 40000002 00 23 0C9 00000002 FFFFFFFE 01 = 40000002 00 23 0CA 00000002 FFFFFFFE 10 = 00000002 00 23 0CB 00000002 FFFFFFFE 11 = 00000002 00 23 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 11 23 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 11 23 0CE 7FFFFFFF FFFFFFFE 10 = 3FFFFFFF 11 23 0CF 7FFFFFFF FFFFFFFE 11 = 3FFFFFFF 11 23 0D0 80000000 FFFFFFFE 00 = C0000000 00 23 0D1 80000000 FFFFFFFE 01 = C0000000 00 23 0D2 80000000 FFFFFFFE 10 = 80000000 00 23 0D3 80000000 FFFFFFFE 11 = 80000000 00 23 0D4 80000001 FFFFFFFE 00 = C0000001 00 23 0D5 80000001 FFFFFFFE 01 = C0000001 00 23 0D6 80000001 FFFFFFFE 10 = 80000001 00 23 0D7 80000001 FFFFFFFE 11 = 80000001 00 23 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 11 23 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 11 23 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFE 11 23 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFE 11 23 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 11 23 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 11 23 0DE FFFFFFFF FFFFFFFE 10 = BFFFFFFF 11 23 0DF FFFFFFFF FFFFFFFE 11 = BFFFFFFF 11 23 0E0 00000000 FFFFFFFF 00 = 80000000 00 23 0E1 00000000 FFFFFFFF 01 = 80000000 00 23 0E2 00000000 FFFFFFFF 10 = 00000000 00 23 0E3 00000000 FFFFFFFF 11 = 00000000 00 23 0E4 00000001 FFFFFFFF 00 = 80000001 00 23 0E5 00000001 FFFFFFFF 01 = 80000001 00 23 0E6 00000001 FFFFFFFF 10 = 00000001 00 23 0E7 00000001 FFFFFFFF 11 = 00000001 00 23 0E8 00000002 FFFFFFFF 00 = 80000002 00 23 0E9 00000002 FFFFFFFF 01 = 80000002 00 23 0EA 00000002 FFFFFFFF 10 = 00000002 00 23 0EB 00000002 FFFFFFFF 11 = 00000002 00 23 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 23 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 23 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 23 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 23 0F0 80000000 FFFFFFFF 00 = 80000000 11 23 0F1 80000000 FFFFFFFF 01 = 80000000 11 23 0F2 80000000 FFFFFFFF 10 = 00000000 11 23 0F3 80000000 FFFFFFFF 11 = 00000000 11 23 0F4 80000001 FFFFFFFF 00 = 80000001 11 23 0F5 80000001 FFFFFFFF 01 = 80000001 11 23 0F6 80000001 FFFFFFFF 10 = 00000001 11 23 0F7 80000001 FFFFFFFF 11 = 00000001 11 23 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 11 23 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 11 23 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFE 11 23 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFE 11 23 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 11 23 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 11 23 0FE FFFFFFFF FFFFFFFF 10 = 7FFFFFFF 11 23 0FF FFFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 bitz ---D---- ---S---- CZ = ---Q---- CZ 24 000 00000000 00000000 00 = 00000000 00 24 001 00000000 00000000 01 = 00000001 00 24 002 00000000 00000000 10 = 00000000 00 24 003 00000000 00000000 11 = 00000001 00 24 004 00000001 00000000 00 = 00000000 11 24 005 00000001 00000000 01 = 00000001 11 24 006 00000001 00000000 10 = 00000000 11 24 007 00000001 00000000 11 = 00000001 11 24 008 00000002 00000000 00 = 00000002 00 24 009 00000002 00000000 01 = 00000003 00 24 00A 00000002 00000000 10 = 00000002 00 24 00B 00000002 00000000 11 = 00000003 00 24 00C 7FFFFFFF 00000000 00 = 7FFFFFFE 11 24 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 11 24 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 11 24 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 24 010 80000000 00000000 00 = 80000000 00 24 011 80000000 00000000 01 = 80000001 00 24 012 80000000 00000000 10 = 80000000 00 24 013 80000000 00000000 11 = 80000001 00 24 014 80000001 00000000 00 = 80000000 11 24 015 80000001 00000000 01 = 80000001 11 24 016 80000001 00000000 10 = 80000000 11 24 017 80000001 00000000 11 = 80000001 11 24 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 24 019 FFFFFFFE 00000000 01 = FFFFFFFF 00 24 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 24 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 24 01C FFFFFFFF 00000000 00 = FFFFFFFE 11 24 01D FFFFFFFF 00000000 01 = FFFFFFFF 11 24 01E FFFFFFFF 00000000 10 = FFFFFFFE 11 24 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 24 020 00000000 00000001 00 = 00000000 00 24 021 00000000 00000001 01 = 00000002 00 24 022 00000000 00000001 10 = 00000000 00 24 023 00000000 00000001 11 = 00000002 00 24 024 00000001 00000001 00 = 00000001 00 24 025 00000001 00000001 01 = 00000003 00 24 026 00000001 00000001 10 = 00000001 00 24 027 00000001 00000001 11 = 00000003 00 24 028 00000002 00000001 00 = 00000000 11 24 029 00000002 00000001 01 = 00000002 11 24 02A 00000002 00000001 10 = 00000000 11 24 02B 00000002 00000001 11 = 00000002 11 24 02C 7FFFFFFF 00000001 00 = 7FFFFFFD 11 24 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 11 24 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 11 24 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 24 030 80000000 00000001 00 = 80000000 00 24 031 80000000 00000001 01 = 80000002 00 24 032 80000000 00000001 10 = 80000000 00 24 033 80000000 00000001 11 = 80000002 00 24 034 80000001 00000001 00 = 80000001 00 24 035 80000001 00000001 01 = 80000003 00 24 036 80000001 00000001 10 = 80000001 00 24 037 80000001 00000001 11 = 80000003 00 24 038 FFFFFFFE 00000001 00 = FFFFFFFC 11 24 039 FFFFFFFE 00000001 01 = FFFFFFFE 11 24 03A FFFFFFFE 00000001 10 = FFFFFFFC 11 24 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 24 03C FFFFFFFF 00000001 00 = FFFFFFFD 11 24 03D FFFFFFFF 00000001 01 = FFFFFFFF 11 24 03E FFFFFFFF 00000001 10 = FFFFFFFD 11 24 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 24 040 00000000 00000002 00 = 00000000 00 24 041 00000000 00000002 01 = 00000004 00 24 042 00000000 00000002 10 = 00000000 00 24 043 00000000 00000002 11 = 00000004 00 24 044 00000001 00000002 00 = 00000001 00 24 045 00000001 00000002 01 = 00000005 00 24 046 00000001 00000002 10 = 00000001 00 24 047 00000001 00000002 11 = 00000005 00 24 048 00000002 00000002 00 = 00000002 00 24 049 00000002 00000002 01 = 00000006 00 24 04A 00000002 00000002 10 = 00000002 00 24 04B 00000002 00000002 11 = 00000006 00 24 04C 7FFFFFFF 00000002 00 = 7FFFFFFB 11 24 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 11 24 04E 7FFFFFFF 00000002 10 = 7FFFFFFB 11 24 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 24 050 80000000 00000002 00 = 80000000 00 24 051 80000000 00000002 01 = 80000004 00 24 052 80000000 00000002 10 = 80000000 00 24 053 80000000 00000002 11 = 80000004 00 24 054 80000001 00000002 00 = 80000001 00 24 055 80000001 00000002 01 = 80000005 00 24 056 80000001 00000002 10 = 80000001 00 24 057 80000001 00000002 11 = 80000005 00 24 058 FFFFFFFE 00000002 00 = FFFFFFFA 11 24 059 FFFFFFFE 00000002 01 = FFFFFFFE 11 24 05A FFFFFFFE 00000002 10 = FFFFFFFA 11 24 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 24 05C FFFFFFFF 00000002 00 = FFFFFFFB 11 24 05D FFFFFFFF 00000002 01 = FFFFFFFF 11 24 05E FFFFFFFF 00000002 10 = FFFFFFFB 11 24 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 24 060 00000000 7FFFFFFF 00 = 00000000 00 24 061 00000000 7FFFFFFF 01 = 80000000 00 24 062 00000000 7FFFFFFF 10 = 00000000 00 24 063 00000000 7FFFFFFF 11 = 80000000 00 24 064 00000001 7FFFFFFF 00 = 00000001 00 24 065 00000001 7FFFFFFF 01 = 80000001 00 24 066 00000001 7FFFFFFF 10 = 00000001 00 24 067 00000001 7FFFFFFF 11 = 80000001 00 24 068 00000002 7FFFFFFF 00 = 00000002 00 24 069 00000002 7FFFFFFF 01 = 80000002 00 24 06A 00000002 7FFFFFFF 10 = 00000002 00 24 06B 00000002 7FFFFFFF 11 = 80000002 00 24 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 24 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 24 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 24 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 24 070 80000000 7FFFFFFF 00 = 00000000 11 24 071 80000000 7FFFFFFF 01 = 80000000 11 24 072 80000000 7FFFFFFF 10 = 00000000 11 24 073 80000000 7FFFFFFF 11 = 80000000 11 24 074 80000001 7FFFFFFF 00 = 00000001 11 24 075 80000001 7FFFFFFF 01 = 80000001 11 24 076 80000001 7FFFFFFF 10 = 00000001 11 24 077 80000001 7FFFFFFF 11 = 80000001 11 24 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 11 24 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 11 24 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 11 24 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 24 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 11 24 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 11 24 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 11 24 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 24 080 00000000 80000000 00 = 00000000 00 24 081 00000000 80000000 01 = 00000001 00 24 082 00000000 80000000 10 = 00000000 00 24 083 00000000 80000000 11 = 00000001 00 24 084 00000001 80000000 00 = 00000000 11 24 085 00000001 80000000 01 = 00000001 11 24 086 00000001 80000000 10 = 00000000 11 24 087 00000001 80000000 11 = 00000001 11 24 088 00000002 80000000 00 = 00000002 00 24 089 00000002 80000000 01 = 00000003 00 24 08A 00000002 80000000 10 = 00000002 00 24 08B 00000002 80000000 11 = 00000003 00 24 08C 7FFFFFFF 80000000 00 = 7FFFFFFE 11 24 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 11 24 08E 7FFFFFFF 80000000 10 = 7FFFFFFE 11 24 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 24 090 80000000 80000000 00 = 80000000 00 24 091 80000000 80000000 01 = 80000001 00 24 092 80000000 80000000 10 = 80000000 00 24 093 80000000 80000000 11 = 80000001 00 24 094 80000001 80000000 00 = 80000000 11 24 095 80000001 80000000 01 = 80000001 11 24 096 80000001 80000000 10 = 80000000 11 24 097 80000001 80000000 11 = 80000001 11 24 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 24 099 FFFFFFFE 80000000 01 = FFFFFFFF 00 24 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 24 09B FFFFFFFE 80000000 11 = FFFFFFFF 00 24 09C FFFFFFFF 80000000 00 = FFFFFFFE 11 24 09D FFFFFFFF 80000000 01 = FFFFFFFF 11 24 09E FFFFFFFF 80000000 10 = FFFFFFFE 11 24 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 24 0A0 00000000 80000001 00 = 00000000 00 24 0A1 00000000 80000001 01 = 00000002 00 24 0A2 00000000 80000001 10 = 00000000 00 24 0A3 00000000 80000001 11 = 00000002 00 24 0A4 00000001 80000001 00 = 00000001 00 24 0A5 00000001 80000001 01 = 00000003 00 24 0A6 00000001 80000001 10 = 00000001 00 24 0A7 00000001 80000001 11 = 00000003 00 24 0A8 00000002 80000001 00 = 00000000 11 24 0A9 00000002 80000001 01 = 00000002 11 24 0AA 00000002 80000001 10 = 00000000 11 24 0AB 00000002 80000001 11 = 00000002 11 24 0AC 7FFFFFFF 80000001 00 = 7FFFFFFD 11 24 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 11 24 0AE 7FFFFFFF 80000001 10 = 7FFFFFFD 11 24 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 24 0B0 80000000 80000001 00 = 80000000 00 24 0B1 80000000 80000001 01 = 80000002 00 24 0B2 80000000 80000001 10 = 80000000 00 24 0B3 80000000 80000001 11 = 80000002 00 24 0B4 80000001 80000001 00 = 80000001 00 24 0B5 80000001 80000001 01 = 80000003 00 24 0B6 80000001 80000001 10 = 80000001 00 24 0B7 80000001 80000001 11 = 80000003 00 24 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 11 24 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 11 24 0BA FFFFFFFE 80000001 10 = FFFFFFFC 11 24 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 24 0BC FFFFFFFF 80000001 00 = FFFFFFFD 11 24 0BD FFFFFFFF 80000001 01 = FFFFFFFF 11 24 0BE FFFFFFFF 80000001 10 = FFFFFFFD 11 24 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 24 0C0 00000000 FFFFFFFE 00 = 00000000 00 24 0C1 00000000 FFFFFFFE 01 = 40000000 00 24 0C2 00000000 FFFFFFFE 10 = 00000000 00 24 0C3 00000000 FFFFFFFE 11 = 40000000 00 24 0C4 00000001 FFFFFFFE 00 = 00000001 00 24 0C5 00000001 FFFFFFFE 01 = 40000001 00 24 0C6 00000001 FFFFFFFE 10 = 00000001 00 24 0C7 00000001 FFFFFFFE 11 = 40000001 00 24 0C8 00000002 FFFFFFFE 00 = 00000002 00 24 0C9 00000002 FFFFFFFE 01 = 40000002 00 24 0CA 00000002 FFFFFFFE 10 = 00000002 00 24 0CB 00000002 FFFFFFFE 11 = 40000002 00 24 0CC 7FFFFFFF FFFFFFFE 00 = 3FFFFFFF 11 24 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 11 24 0CE 7FFFFFFF FFFFFFFE 10 = 3FFFFFFF 11 24 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 24 0D0 80000000 FFFFFFFE 00 = 80000000 00 24 0D1 80000000 FFFFFFFE 01 = C0000000 00 24 0D2 80000000 FFFFFFFE 10 = 80000000 00 24 0D3 80000000 FFFFFFFE 11 = C0000000 00 24 0D4 80000001 FFFFFFFE 00 = 80000001 00 24 0D5 80000001 FFFFFFFE 01 = C0000001 00 24 0D6 80000001 FFFFFFFE 10 = 80000001 00 24 0D7 80000001 FFFFFFFE 11 = C0000001 00 24 0D8 FFFFFFFE FFFFFFFE 00 = BFFFFFFE 11 24 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 11 24 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFE 11 24 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 24 0DC FFFFFFFF FFFFFFFE 00 = BFFFFFFF 11 24 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 11 24 0DE FFFFFFFF FFFFFFFE 10 = BFFFFFFF 11 24 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 24 0E0 00000000 FFFFFFFF 00 = 00000000 00 24 0E1 00000000 FFFFFFFF 01 = 80000000 00 24 0E2 00000000 FFFFFFFF 10 = 00000000 00 24 0E3 00000000 FFFFFFFF 11 = 80000000 00 24 0E4 00000001 FFFFFFFF 00 = 00000001 00 24 0E5 00000001 FFFFFFFF 01 = 80000001 00 24 0E6 00000001 FFFFFFFF 10 = 00000001 00 24 0E7 00000001 FFFFFFFF 11 = 80000001 00 24 0E8 00000002 FFFFFFFF 00 = 00000002 00 24 0E9 00000002 FFFFFFFF 01 = 80000002 00 24 0EA 00000002 FFFFFFFF 10 = 00000002 00 24 0EB 00000002 FFFFFFFF 11 = 80000002 00 24 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 24 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 24 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 24 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 24 0F0 80000000 FFFFFFFF 00 = 00000000 11 24 0F1 80000000 FFFFFFFF 01 = 80000000 11 24 0F2 80000000 FFFFFFFF 10 = 00000000 11 24 0F3 80000000 FFFFFFFF 11 = 80000000 11 24 0F4 80000001 FFFFFFFF 00 = 00000001 11 24 0F5 80000001 FFFFFFFF 01 = 80000001 11 24 0F6 80000001 FFFFFFFF 10 = 00000001 11 24 0F7 80000001 FFFFFFFF 11 = 80000001 11 24 0F8 FFFFFFFE FFFFFFFF 00 = 7FFFFFFE 11 24 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 11 24 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFE 11 24 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 24 0FC FFFFFFFF FFFFFFFF 00 = 7FFFFFFF 11 24 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 11 24 0FE FFFFFFFF FFFFFFFF 10 = 7FFFFFFF 11 24 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 bitnz ---D---- ---S---- CZ = ---Q---- CZ 25 000 00000000 00000000 00 = 00000001 00 25 001 00000000 00000000 01 = 00000000 00 25 002 00000000 00000000 10 = 00000001 00 25 003 00000000 00000000 11 = 00000000 00 25 004 00000001 00000000 00 = 00000001 11 25 005 00000001 00000000 01 = 00000000 11 25 006 00000001 00000000 10 = 00000001 11 25 007 00000001 00000000 11 = 00000000 11 25 008 00000002 00000000 00 = 00000003 00 25 009 00000002 00000000 01 = 00000002 00 25 00A 00000002 00000000 10 = 00000003 00 25 00B 00000002 00000000 11 = 00000002 00 25 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 11 25 00D 7FFFFFFF 00000000 01 = 7FFFFFFE 11 25 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 11 25 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 11 25 010 80000000 00000000 00 = 80000001 00 25 011 80000000 00000000 01 = 80000000 00 25 012 80000000 00000000 10 = 80000001 00 25 013 80000000 00000000 11 = 80000000 00 25 014 80000001 00000000 00 = 80000001 11 25 015 80000001 00000000 01 = 80000000 11 25 016 80000001 00000000 10 = 80000001 11 25 017 80000001 00000000 11 = 80000000 11 25 018 FFFFFFFE 00000000 00 = FFFFFFFF 00 25 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 25 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 25 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 25 01C FFFFFFFF 00000000 00 = FFFFFFFF 11 25 01D FFFFFFFF 00000000 01 = FFFFFFFE 11 25 01E FFFFFFFF 00000000 10 = FFFFFFFF 11 25 01F FFFFFFFF 00000000 11 = FFFFFFFE 11 25 020 00000000 00000001 00 = 00000002 00 25 021 00000000 00000001 01 = 00000000 00 25 022 00000000 00000001 10 = 00000002 00 25 023 00000000 00000001 11 = 00000000 00 25 024 00000001 00000001 00 = 00000003 00 25 025 00000001 00000001 01 = 00000001 00 25 026 00000001 00000001 10 = 00000003 00 25 027 00000001 00000001 11 = 00000001 00 25 028 00000002 00000001 00 = 00000002 11 25 029 00000002 00000001 01 = 00000000 11 25 02A 00000002 00000001 10 = 00000002 11 25 02B 00000002 00000001 11 = 00000000 11 25 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 11 25 02D 7FFFFFFF 00000001 01 = 7FFFFFFD 11 25 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 11 25 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 11 25 030 80000000 00000001 00 = 80000002 00 25 031 80000000 00000001 01 = 80000000 00 25 032 80000000 00000001 10 = 80000002 00 25 033 80000000 00000001 11 = 80000000 00 25 034 80000001 00000001 00 = 80000003 00 25 035 80000001 00000001 01 = 80000001 00 25 036 80000001 00000001 10 = 80000003 00 25 037 80000001 00000001 11 = 80000001 00 25 038 FFFFFFFE 00000001 00 = FFFFFFFE 11 25 039 FFFFFFFE 00000001 01 = FFFFFFFC 11 25 03A FFFFFFFE 00000001 10 = FFFFFFFE 11 25 03B FFFFFFFE 00000001 11 = FFFFFFFC 11 25 03C FFFFFFFF 00000001 00 = FFFFFFFF 11 25 03D FFFFFFFF 00000001 01 = FFFFFFFD 11 25 03E FFFFFFFF 00000001 10 = FFFFFFFF 11 25 03F FFFFFFFF 00000001 11 = FFFFFFFD 11 25 040 00000000 00000002 00 = 00000004 00 25 041 00000000 00000002 01 = 00000000 00 25 042 00000000 00000002 10 = 00000004 00 25 043 00000000 00000002 11 = 00000000 00 25 044 00000001 00000002 00 = 00000005 00 25 045 00000001 00000002 01 = 00000001 00 25 046 00000001 00000002 10 = 00000005 00 25 047 00000001 00000002 11 = 00000001 00 25 048 00000002 00000002 00 = 00000006 00 25 049 00000002 00000002 01 = 00000002 00 25 04A 00000002 00000002 10 = 00000006 00 25 04B 00000002 00000002 11 = 00000002 00 25 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 11 25 04D 7FFFFFFF 00000002 01 = 7FFFFFFB 11 25 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 11 25 04F 7FFFFFFF 00000002 11 = 7FFFFFFB 11 25 050 80000000 00000002 00 = 80000004 00 25 051 80000000 00000002 01 = 80000000 00 25 052 80000000 00000002 10 = 80000004 00 25 053 80000000 00000002 11 = 80000000 00 25 054 80000001 00000002 00 = 80000005 00 25 055 80000001 00000002 01 = 80000001 00 25 056 80000001 00000002 10 = 80000005 00 25 057 80000001 00000002 11 = 80000001 00 25 058 FFFFFFFE 00000002 00 = FFFFFFFE 11 25 059 FFFFFFFE 00000002 01 = FFFFFFFA 11 25 05A FFFFFFFE 00000002 10 = FFFFFFFE 11 25 05B FFFFFFFE 00000002 11 = FFFFFFFA 11 25 05C FFFFFFFF 00000002 00 = FFFFFFFF 11 25 05D FFFFFFFF 00000002 01 = FFFFFFFB 11 25 05E FFFFFFFF 00000002 10 = FFFFFFFF 11 25 05F FFFFFFFF 00000002 11 = FFFFFFFB 11 25 060 00000000 7FFFFFFF 00 = 80000000 00 25 061 00000000 7FFFFFFF 01 = 00000000 00 25 062 00000000 7FFFFFFF 10 = 80000000 00 25 063 00000000 7FFFFFFF 11 = 00000000 00 25 064 00000001 7FFFFFFF 00 = 80000001 00 25 065 00000001 7FFFFFFF 01 = 00000001 00 25 066 00000001 7FFFFFFF 10 = 80000001 00 25 067 00000001 7FFFFFFF 11 = 00000001 00 25 068 00000002 7FFFFFFF 00 = 80000002 00 25 069 00000002 7FFFFFFF 01 = 00000002 00 25 06A 00000002 7FFFFFFF 10 = 80000002 00 25 06B 00000002 7FFFFFFF 11 = 00000002 00 25 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 25 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 25 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 25 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 25 070 80000000 7FFFFFFF 00 = 80000000 11 25 071 80000000 7FFFFFFF 01 = 00000000 11 25 072 80000000 7FFFFFFF 10 = 80000000 11 25 073 80000000 7FFFFFFF 11 = 00000000 11 25 074 80000001 7FFFFFFF 00 = 80000001 11 25 075 80000001 7FFFFFFF 01 = 00000001 11 25 076 80000001 7FFFFFFF 10 = 80000001 11 25 077 80000001 7FFFFFFF 11 = 00000001 11 25 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 11 25 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 11 25 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 11 25 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 11 25 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 11 25 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 25 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 11 25 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 25 080 00000000 80000000 00 = 00000001 00 25 081 00000000 80000000 01 = 00000000 00 25 082 00000000 80000000 10 = 00000001 00 25 083 00000000 80000000 11 = 00000000 00 25 084 00000001 80000000 00 = 00000001 11 25 085 00000001 80000000 01 = 00000000 11 25 086 00000001 80000000 10 = 00000001 11 25 087 00000001 80000000 11 = 00000000 11 25 088 00000002 80000000 00 = 00000003 00 25 089 00000002 80000000 01 = 00000002 00 25 08A 00000002 80000000 10 = 00000003 00 25 08B 00000002 80000000 11 = 00000002 00 25 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 11 25 08D 7FFFFFFF 80000000 01 = 7FFFFFFE 11 25 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 11 25 08F 7FFFFFFF 80000000 11 = 7FFFFFFE 11 25 090 80000000 80000000 00 = 80000001 00 25 091 80000000 80000000 01 = 80000000 00 25 092 80000000 80000000 10 = 80000001 00 25 093 80000000 80000000 11 = 80000000 00 25 094 80000001 80000000 00 = 80000001 11 25 095 80000001 80000000 01 = 80000000 11 25 096 80000001 80000000 10 = 80000001 11 25 097 80000001 80000000 11 = 80000000 11 25 098 FFFFFFFE 80000000 00 = FFFFFFFF 00 25 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 25 09A FFFFFFFE 80000000 10 = FFFFFFFF 00 25 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 25 09C FFFFFFFF 80000000 00 = FFFFFFFF 11 25 09D FFFFFFFF 80000000 01 = FFFFFFFE 11 25 09E FFFFFFFF 80000000 10 = FFFFFFFF 11 25 09F FFFFFFFF 80000000 11 = FFFFFFFE 11 25 0A0 00000000 80000001 00 = 00000002 00 25 0A1 00000000 80000001 01 = 00000000 00 25 0A2 00000000 80000001 10 = 00000002 00 25 0A3 00000000 80000001 11 = 00000000 00 25 0A4 00000001 80000001 00 = 00000003 00 25 0A5 00000001 80000001 01 = 00000001 00 25 0A6 00000001 80000001 10 = 00000003 00 25 0A7 00000001 80000001 11 = 00000001 00 25 0A8 00000002 80000001 00 = 00000002 11 25 0A9 00000002 80000001 01 = 00000000 11 25 0AA 00000002 80000001 10 = 00000002 11 25 0AB 00000002 80000001 11 = 00000000 11 25 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 11 25 0AD 7FFFFFFF 80000001 01 = 7FFFFFFD 11 25 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 11 25 0AF 7FFFFFFF 80000001 11 = 7FFFFFFD 11 25 0B0 80000000 80000001 00 = 80000002 00 25 0B1 80000000 80000001 01 = 80000000 00 25 0B2 80000000 80000001 10 = 80000002 00 25 0B3 80000000 80000001 11 = 80000000 00 25 0B4 80000001 80000001 00 = 80000003 00 25 0B5 80000001 80000001 01 = 80000001 00 25 0B6 80000001 80000001 10 = 80000003 00 25 0B7 80000001 80000001 11 = 80000001 00 25 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 11 25 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 11 25 0BA FFFFFFFE 80000001 10 = FFFFFFFE 11 25 0BB FFFFFFFE 80000001 11 = FFFFFFFC 11 25 0BC FFFFFFFF 80000001 00 = FFFFFFFF 11 25 0BD FFFFFFFF 80000001 01 = FFFFFFFD 11 25 0BE FFFFFFFF 80000001 10 = FFFFFFFF 11 25 0BF FFFFFFFF 80000001 11 = FFFFFFFD 11 25 0C0 00000000 FFFFFFFE 00 = 40000000 00 25 0C1 00000000 FFFFFFFE 01 = 00000000 00 25 0C2 00000000 FFFFFFFE 10 = 40000000 00 25 0C3 00000000 FFFFFFFE 11 = 00000000 00 25 0C4 00000001 FFFFFFFE 00 = 40000001 00 25 0C5 00000001 FFFFFFFE 01 = 00000001 00 25 0C6 00000001 FFFFFFFE 10 = 40000001 00 25 0C7 00000001 FFFFFFFE 11 = 00000001 00 25 0C8 00000002 FFFFFFFE 00 = 40000002 00 25 0C9 00000002 FFFFFFFE 01 = 00000002 00 25 0CA 00000002 FFFFFFFE 10 = 40000002 00 25 0CB 00000002 FFFFFFFE 11 = 00000002 00 25 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 11 25 0CD 7FFFFFFF FFFFFFFE 01 = 3FFFFFFF 11 25 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 11 25 0CF 7FFFFFFF FFFFFFFE 11 = 3FFFFFFF 11 25 0D0 80000000 FFFFFFFE 00 = C0000000 00 25 0D1 80000000 FFFFFFFE 01 = 80000000 00 25 0D2 80000000 FFFFFFFE 10 = C0000000 00 25 0D3 80000000 FFFFFFFE 11 = 80000000 00 25 0D4 80000001 FFFFFFFE 00 = C0000001 00 25 0D5 80000001 FFFFFFFE 01 = 80000001 00 25 0D6 80000001 FFFFFFFE 10 = C0000001 00 25 0D7 80000001 FFFFFFFE 11 = 80000001 00 25 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 11 25 0D9 FFFFFFFE FFFFFFFE 01 = BFFFFFFE 11 25 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 11 25 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFE 11 25 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 11 25 0DD FFFFFFFF FFFFFFFE 01 = BFFFFFFF 11 25 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 11 25 0DF FFFFFFFF FFFFFFFE 11 = BFFFFFFF 11 25 0E0 00000000 FFFFFFFF 00 = 80000000 00 25 0E1 00000000 FFFFFFFF 01 = 00000000 00 25 0E2 00000000 FFFFFFFF 10 = 80000000 00 25 0E3 00000000 FFFFFFFF 11 = 00000000 00 25 0E4 00000001 FFFFFFFF 00 = 80000001 00 25 0E5 00000001 FFFFFFFF 01 = 00000001 00 25 0E6 00000001 FFFFFFFF 10 = 80000001 00 25 0E7 00000001 FFFFFFFF 11 = 00000001 00 25 0E8 00000002 FFFFFFFF 00 = 80000002 00 25 0E9 00000002 FFFFFFFF 01 = 00000002 00 25 0EA 00000002 FFFFFFFF 10 = 80000002 00 25 0EB 00000002 FFFFFFFF 11 = 00000002 00 25 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 25 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 25 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 25 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 25 0F0 80000000 FFFFFFFF 00 = 80000000 11 25 0F1 80000000 FFFFFFFF 01 = 00000000 11 25 0F2 80000000 FFFFFFFF 10 = 80000000 11 25 0F3 80000000 FFFFFFFF 11 = 00000000 11 25 0F4 80000001 FFFFFFFF 00 = 80000001 11 25 0F5 80000001 FFFFFFFF 01 = 00000001 11 25 0F6 80000001 FFFFFFFF 10 = 80000001 11 25 0F7 80000001 FFFFFFFF 11 = 00000001 11 25 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 11 25 0F9 FFFFFFFE FFFFFFFF 01 = 7FFFFFFE 11 25 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 11 25 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFE 11 25 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 11 25 0FD FFFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 25 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 11 25 0FF FFFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 bitnot ---D---- ---S---- CZ = ---Q---- CZ 26 000 00000000 00000000 00 = 00000001 00 26 001 00000000 00000000 01 = 00000001 00 26 002 00000000 00000000 10 = 00000001 00 26 003 00000000 00000000 11 = 00000001 00 26 004 00000001 00000000 00 = 00000000 11 26 005 00000001 00000000 01 = 00000000 11 26 006 00000001 00000000 10 = 00000000 11 26 007 00000001 00000000 11 = 00000000 11 26 008 00000002 00000000 00 = 00000003 00 26 009 00000002 00000000 01 = 00000003 00 26 00A 00000002 00000000 10 = 00000003 00 26 00B 00000002 00000000 11 = 00000003 00 26 00C 7FFFFFFF 00000000 00 = 7FFFFFFE 11 26 00D 7FFFFFFF 00000000 01 = 7FFFFFFE 11 26 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 11 26 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 11 26 010 80000000 00000000 00 = 80000001 00 26 011 80000000 00000000 01 = 80000001 00 26 012 80000000 00000000 10 = 80000001 00 26 013 80000000 00000000 11 = 80000001 00 26 014 80000001 00000000 00 = 80000000 11 26 015 80000001 00000000 01 = 80000000 11 26 016 80000001 00000000 10 = 80000000 11 26 017 80000001 00000000 11 = 80000000 11 26 018 FFFFFFFE 00000000 00 = FFFFFFFF 00 26 019 FFFFFFFE 00000000 01 = FFFFFFFF 00 26 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 26 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 26 01C FFFFFFFF 00000000 00 = FFFFFFFE 11 26 01D FFFFFFFF 00000000 01 = FFFFFFFE 11 26 01E FFFFFFFF 00000000 10 = FFFFFFFE 11 26 01F FFFFFFFF 00000000 11 = FFFFFFFE 11 26 020 00000000 00000001 00 = 00000002 00 26 021 00000000 00000001 01 = 00000002 00 26 022 00000000 00000001 10 = 00000002 00 26 023 00000000 00000001 11 = 00000002 00 26 024 00000001 00000001 00 = 00000003 00 26 025 00000001 00000001 01 = 00000003 00 26 026 00000001 00000001 10 = 00000003 00 26 027 00000001 00000001 11 = 00000003 00 26 028 00000002 00000001 00 = 00000000 11 26 029 00000002 00000001 01 = 00000000 11 26 02A 00000002 00000001 10 = 00000000 11 26 02B 00000002 00000001 11 = 00000000 11 26 02C 7FFFFFFF 00000001 00 = 7FFFFFFD 11 26 02D 7FFFFFFF 00000001 01 = 7FFFFFFD 11 26 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 11 26 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 11 26 030 80000000 00000001 00 = 80000002 00 26 031 80000000 00000001 01 = 80000002 00 26 032 80000000 00000001 10 = 80000002 00 26 033 80000000 00000001 11 = 80000002 00 26 034 80000001 00000001 00 = 80000003 00 26 035 80000001 00000001 01 = 80000003 00 26 036 80000001 00000001 10 = 80000003 00 26 037 80000001 00000001 11 = 80000003 00 26 038 FFFFFFFE 00000001 00 = FFFFFFFC 11 26 039 FFFFFFFE 00000001 01 = FFFFFFFC 11 26 03A FFFFFFFE 00000001 10 = FFFFFFFC 11 26 03B FFFFFFFE 00000001 11 = FFFFFFFC 11 26 03C FFFFFFFF 00000001 00 = FFFFFFFD 11 26 03D FFFFFFFF 00000001 01 = FFFFFFFD 11 26 03E FFFFFFFF 00000001 10 = FFFFFFFD 11 26 03F FFFFFFFF 00000001 11 = FFFFFFFD 11 26 040 00000000 00000002 00 = 00000004 00 26 041 00000000 00000002 01 = 00000004 00 26 042 00000000 00000002 10 = 00000004 00 26 043 00000000 00000002 11 = 00000004 00 26 044 00000001 00000002 00 = 00000005 00 26 045 00000001 00000002 01 = 00000005 00 26 046 00000001 00000002 10 = 00000005 00 26 047 00000001 00000002 11 = 00000005 00 26 048 00000002 00000002 00 = 00000006 00 26 049 00000002 00000002 01 = 00000006 00 26 04A 00000002 00000002 10 = 00000006 00 26 04B 00000002 00000002 11 = 00000006 00 26 04C 7FFFFFFF 00000002 00 = 7FFFFFFB 11 26 04D 7FFFFFFF 00000002 01 = 7FFFFFFB 11 26 04E 7FFFFFFF 00000002 10 = 7FFFFFFB 11 26 04F 7FFFFFFF 00000002 11 = 7FFFFFFB 11 26 050 80000000 00000002 00 = 80000004 00 26 051 80000000 00000002 01 = 80000004 00 26 052 80000000 00000002 10 = 80000004 00 26 053 80000000 00000002 11 = 80000004 00 26 054 80000001 00000002 00 = 80000005 00 26 055 80000001 00000002 01 = 80000005 00 26 056 80000001 00000002 10 = 80000005 00 26 057 80000001 00000002 11 = 80000005 00 26 058 FFFFFFFE 00000002 00 = FFFFFFFA 11 26 059 FFFFFFFE 00000002 01 = FFFFFFFA 11 26 05A FFFFFFFE 00000002 10 = FFFFFFFA 11 26 05B FFFFFFFE 00000002 11 = FFFFFFFA 11 26 05C FFFFFFFF 00000002 00 = FFFFFFFB 11 26 05D FFFFFFFF 00000002 01 = FFFFFFFB 11 26 05E FFFFFFFF 00000002 10 = FFFFFFFB 11 26 05F FFFFFFFF 00000002 11 = FFFFFFFB 11 26 060 00000000 7FFFFFFF 00 = 80000000 00 26 061 00000000 7FFFFFFF 01 = 80000000 00 26 062 00000000 7FFFFFFF 10 = 80000000 00 26 063 00000000 7FFFFFFF 11 = 80000000 00 26 064 00000001 7FFFFFFF 00 = 80000001 00 26 065 00000001 7FFFFFFF 01 = 80000001 00 26 066 00000001 7FFFFFFF 10 = 80000001 00 26 067 00000001 7FFFFFFF 11 = 80000001 00 26 068 00000002 7FFFFFFF 00 = 80000002 00 26 069 00000002 7FFFFFFF 01 = 80000002 00 26 06A 00000002 7FFFFFFF 10 = 80000002 00 26 06B 00000002 7FFFFFFF 11 = 80000002 00 26 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 26 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 26 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 26 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 26 070 80000000 7FFFFFFF 00 = 00000000 11 26 071 80000000 7FFFFFFF 01 = 00000000 11 26 072 80000000 7FFFFFFF 10 = 00000000 11 26 073 80000000 7FFFFFFF 11 = 00000000 11 26 074 80000001 7FFFFFFF 00 = 00000001 11 26 075 80000001 7FFFFFFF 01 = 00000001 11 26 076 80000001 7FFFFFFF 10 = 00000001 11 26 077 80000001 7FFFFFFF 11 = 00000001 11 26 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 11 26 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 11 26 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 11 26 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 11 26 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 11 26 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 26 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 11 26 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 26 080 00000000 80000000 00 = 00000001 00 26 081 00000000 80000000 01 = 00000001 00 26 082 00000000 80000000 10 = 00000001 00 26 083 00000000 80000000 11 = 00000001 00 26 084 00000001 80000000 00 = 00000000 11 26 085 00000001 80000000 01 = 00000000 11 26 086 00000001 80000000 10 = 00000000 11 26 087 00000001 80000000 11 = 00000000 11 26 088 00000002 80000000 00 = 00000003 00 26 089 00000002 80000000 01 = 00000003 00 26 08A 00000002 80000000 10 = 00000003 00 26 08B 00000002 80000000 11 = 00000003 00 26 08C 7FFFFFFF 80000000 00 = 7FFFFFFE 11 26 08D 7FFFFFFF 80000000 01 = 7FFFFFFE 11 26 08E 7FFFFFFF 80000000 10 = 7FFFFFFE 11 26 08F 7FFFFFFF 80000000 11 = 7FFFFFFE 11 26 090 80000000 80000000 00 = 80000001 00 26 091 80000000 80000000 01 = 80000001 00 26 092 80000000 80000000 10 = 80000001 00 26 093 80000000 80000000 11 = 80000001 00 26 094 80000001 80000000 00 = 80000000 11 26 095 80000001 80000000 01 = 80000000 11 26 096 80000001 80000000 10 = 80000000 11 26 097 80000001 80000000 11 = 80000000 11 26 098 FFFFFFFE 80000000 00 = FFFFFFFF 00 26 099 FFFFFFFE 80000000 01 = FFFFFFFF 00 26 09A FFFFFFFE 80000000 10 = FFFFFFFF 00 26 09B FFFFFFFE 80000000 11 = FFFFFFFF 00 26 09C FFFFFFFF 80000000 00 = FFFFFFFE 11 26 09D FFFFFFFF 80000000 01 = FFFFFFFE 11 26 09E FFFFFFFF 80000000 10 = FFFFFFFE 11 26 09F FFFFFFFF 80000000 11 = FFFFFFFE 11 26 0A0 00000000 80000001 00 = 00000002 00 26 0A1 00000000 80000001 01 = 00000002 00 26 0A2 00000000 80000001 10 = 00000002 00 26 0A3 00000000 80000001 11 = 00000002 00 26 0A4 00000001 80000001 00 = 00000003 00 26 0A5 00000001 80000001 01 = 00000003 00 26 0A6 00000001 80000001 10 = 00000003 00 26 0A7 00000001 80000001 11 = 00000003 00 26 0A8 00000002 80000001 00 = 00000000 11 26 0A9 00000002 80000001 01 = 00000000 11 26 0AA 00000002 80000001 10 = 00000000 11 26 0AB 00000002 80000001 11 = 00000000 11 26 0AC 7FFFFFFF 80000001 00 = 7FFFFFFD 11 26 0AD 7FFFFFFF 80000001 01 = 7FFFFFFD 11 26 0AE 7FFFFFFF 80000001 10 = 7FFFFFFD 11 26 0AF 7FFFFFFF 80000001 11 = 7FFFFFFD 11 26 0B0 80000000 80000001 00 = 80000002 00 26 0B1 80000000 80000001 01 = 80000002 00 26 0B2 80000000 80000001 10 = 80000002 00 26 0B3 80000000 80000001 11 = 80000002 00 26 0B4 80000001 80000001 00 = 80000003 00 26 0B5 80000001 80000001 01 = 80000003 00 26 0B6 80000001 80000001 10 = 80000003 00 26 0B7 80000001 80000001 11 = 80000003 00 26 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 11 26 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 11 26 0BA FFFFFFFE 80000001 10 = FFFFFFFC 11 26 0BB FFFFFFFE 80000001 11 = FFFFFFFC 11 26 0BC FFFFFFFF 80000001 00 = FFFFFFFD 11 26 0BD FFFFFFFF 80000001 01 = FFFFFFFD 11 26 0BE FFFFFFFF 80000001 10 = FFFFFFFD 11 26 0BF FFFFFFFF 80000001 11 = FFFFFFFD 11 26 0C0 00000000 FFFFFFFE 00 = 40000000 00 26 0C1 00000000 FFFFFFFE 01 = 40000000 00 26 0C2 00000000 FFFFFFFE 10 = 40000000 00 26 0C3 00000000 FFFFFFFE 11 = 40000000 00 26 0C4 00000001 FFFFFFFE 00 = 40000001 00 26 0C5 00000001 FFFFFFFE 01 = 40000001 00 26 0C6 00000001 FFFFFFFE 10 = 40000001 00 26 0C7 00000001 FFFFFFFE 11 = 40000001 00 26 0C8 00000002 FFFFFFFE 00 = 40000002 00 26 0C9 00000002 FFFFFFFE 01 = 40000002 00 26 0CA 00000002 FFFFFFFE 10 = 40000002 00 26 0CB 00000002 FFFFFFFE 11 = 40000002 00 26 0CC 7FFFFFFF FFFFFFFE 00 = 3FFFFFFF 11 26 0CD 7FFFFFFF FFFFFFFE 01 = 3FFFFFFF 11 26 0CE 7FFFFFFF FFFFFFFE 10 = 3FFFFFFF 11 26 0CF 7FFFFFFF FFFFFFFE 11 = 3FFFFFFF 11 26 0D0 80000000 FFFFFFFE 00 = C0000000 00 26 0D1 80000000 FFFFFFFE 01 = C0000000 00 26 0D2 80000000 FFFFFFFE 10 = C0000000 00 26 0D3 80000000 FFFFFFFE 11 = C0000000 00 26 0D4 80000001 FFFFFFFE 00 = C0000001 00 26 0D5 80000001 FFFFFFFE 01 = C0000001 00 26 0D6 80000001 FFFFFFFE 10 = C0000001 00 26 0D7 80000001 FFFFFFFE 11 = C0000001 00 26 0D8 FFFFFFFE FFFFFFFE 00 = BFFFFFFE 11 26 0D9 FFFFFFFE FFFFFFFE 01 = BFFFFFFE 11 26 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFE 11 26 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFE 11 26 0DC FFFFFFFF FFFFFFFE 00 = BFFFFFFF 11 26 0DD FFFFFFFF FFFFFFFE 01 = BFFFFFFF 11 26 0DE FFFFFFFF FFFFFFFE 10 = BFFFFFFF 11 26 0DF FFFFFFFF FFFFFFFE 11 = BFFFFFFF 11 26 0E0 00000000 FFFFFFFF 00 = 80000000 00 26 0E1 00000000 FFFFFFFF 01 = 80000000 00 26 0E2 00000000 FFFFFFFF 10 = 80000000 00 26 0E3 00000000 FFFFFFFF 11 = 80000000 00 26 0E4 00000001 FFFFFFFF 00 = 80000001 00 26 0E5 00000001 FFFFFFFF 01 = 80000001 00 26 0E6 00000001 FFFFFFFF 10 = 80000001 00 26 0E7 00000001 FFFFFFFF 11 = 80000001 00 26 0E8 00000002 FFFFFFFF 00 = 80000002 00 26 0E9 00000002 FFFFFFFF 01 = 80000002 00 26 0EA 00000002 FFFFFFFF 10 = 80000002 00 26 0EB 00000002 FFFFFFFF 11 = 80000002 00 26 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 26 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 26 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 26 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 26 0F0 80000000 FFFFFFFF 00 = 00000000 11 26 0F1 80000000 FFFFFFFF 01 = 00000000 11 26 0F2 80000000 FFFFFFFF 10 = 00000000 11 26 0F3 80000000 FFFFFFFF 11 = 00000000 11 26 0F4 80000001 FFFFFFFF 00 = 00000001 11 26 0F5 80000001 FFFFFFFF 01 = 00000001 11 26 0F6 80000001 FFFFFFFF 10 = 00000001 11 26 0F7 80000001 FFFFFFFF 11 = 00000001 11 26 0F8 FFFFFFFE FFFFFFFF 00 = 7FFFFFFE 11 26 0F9 FFFFFFFE FFFFFFFF 01 = 7FFFFFFE 11 26 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFE 11 26 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFE 11 26 0FC FFFFFFFF FFFFFFFF 00 = 7FFFFFFF 11 26 0FD FFFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 26 0FE FFFFFFFF FFFFFFFF 10 = 7FFFFFFF 11 26 0FF FFFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 andn ---D---- ---S---- CZ = ---Q---- CZ 27 000 00000000 00000000 00 = 00000000 01 27 001 00000000 00000000 01 = 00000000 01 27 002 00000000 00000000 10 = 00000000 01 27 003 00000000 00000000 11 = 00000000 01 27 004 00000001 00000000 00 = 00000001 10 27 005 00000001 00000000 01 = 00000001 10 27 006 00000001 00000000 10 = 00000001 10 27 007 00000001 00000000 11 = 00000001 10 27 008 00000002 00000000 00 = 00000002 10 27 009 00000002 00000000 01 = 00000002 10 27 00A 00000002 00000000 10 = 00000002 10 27 00B 00000002 00000000 11 = 00000002 10 27 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 27 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 27 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 27 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 27 010 80000000 00000000 00 = 80000000 10 27 011 80000000 00000000 01 = 80000000 10 27 012 80000000 00000000 10 = 80000000 10 27 013 80000000 00000000 11 = 80000000 10 27 014 80000001 00000000 00 = 80000001 00 27 015 80000001 00000000 01 = 80000001 00 27 016 80000001 00000000 10 = 80000001 00 27 017 80000001 00000000 11 = 80000001 00 27 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 27 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 27 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 27 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 27 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 27 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 27 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 27 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 27 020 00000000 00000001 00 = 00000000 01 27 021 00000000 00000001 01 = 00000000 01 27 022 00000000 00000001 10 = 00000000 01 27 023 00000000 00000001 11 = 00000000 01 27 024 00000001 00000001 00 = 00000000 01 27 025 00000001 00000001 01 = 00000000 01 27 026 00000001 00000001 10 = 00000000 01 27 027 00000001 00000001 11 = 00000000 01 27 028 00000002 00000001 00 = 00000002 10 27 029 00000002 00000001 01 = 00000002 10 27 02A 00000002 00000001 10 = 00000002 10 27 02B 00000002 00000001 11 = 00000002 10 27 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 27 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 27 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 27 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 27 030 80000000 00000001 00 = 80000000 10 27 031 80000000 00000001 01 = 80000000 10 27 032 80000000 00000001 10 = 80000000 10 27 033 80000000 00000001 11 = 80000000 10 27 034 80000001 00000001 00 = 80000000 10 27 035 80000001 00000001 01 = 80000000 10 27 036 80000001 00000001 10 = 80000000 10 27 037 80000001 00000001 11 = 80000000 10 27 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 27 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 27 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 27 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 27 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 27 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 27 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 27 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 27 040 00000000 00000002 00 = 00000000 01 27 041 00000000 00000002 01 = 00000000 01 27 042 00000000 00000002 10 = 00000000 01 27 043 00000000 00000002 11 = 00000000 01 27 044 00000001 00000002 00 = 00000001 10 27 045 00000001 00000002 01 = 00000001 10 27 046 00000001 00000002 10 = 00000001 10 27 047 00000001 00000002 11 = 00000001 10 27 048 00000002 00000002 00 = 00000000 01 27 049 00000002 00000002 01 = 00000000 01 27 04A 00000002 00000002 10 = 00000000 01 27 04B 00000002 00000002 11 = 00000000 01 27 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 27 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 27 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 27 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 27 050 80000000 00000002 00 = 80000000 10 27 051 80000000 00000002 01 = 80000000 10 27 052 80000000 00000002 10 = 80000000 10 27 053 80000000 00000002 11 = 80000000 10 27 054 80000001 00000002 00 = 80000001 00 27 055 80000001 00000002 01 = 80000001 00 27 056 80000001 00000002 10 = 80000001 00 27 057 80000001 00000002 11 = 80000001 00 27 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 27 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 27 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 27 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 27 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 27 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 27 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 27 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 27 060 00000000 7FFFFFFF 00 = 00000000 01 27 061 00000000 7FFFFFFF 01 = 00000000 01 27 062 00000000 7FFFFFFF 10 = 00000000 01 27 063 00000000 7FFFFFFF 11 = 00000000 01 27 064 00000001 7FFFFFFF 00 = 00000000 01 27 065 00000001 7FFFFFFF 01 = 00000000 01 27 066 00000001 7FFFFFFF 10 = 00000000 01 27 067 00000001 7FFFFFFF 11 = 00000000 01 27 068 00000002 7FFFFFFF 00 = 00000000 01 27 069 00000002 7FFFFFFF 01 = 00000000 01 27 06A 00000002 7FFFFFFF 10 = 00000000 01 27 06B 00000002 7FFFFFFF 11 = 00000000 01 27 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 27 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 27 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 27 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 27 070 80000000 7FFFFFFF 00 = 80000000 10 27 071 80000000 7FFFFFFF 01 = 80000000 10 27 072 80000000 7FFFFFFF 10 = 80000000 10 27 073 80000000 7FFFFFFF 11 = 80000000 10 27 074 80000001 7FFFFFFF 00 = 80000000 10 27 075 80000001 7FFFFFFF 01 = 80000000 10 27 076 80000001 7FFFFFFF 10 = 80000000 10 27 077 80000001 7FFFFFFF 11 = 80000000 10 27 078 FFFFFFFE 7FFFFFFF 00 = 80000000 10 27 079 FFFFFFFE 7FFFFFFF 01 = 80000000 10 27 07A FFFFFFFE 7FFFFFFF 10 = 80000000 10 27 07B FFFFFFFE 7FFFFFFF 11 = 80000000 10 27 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 27 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 27 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 27 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 27 080 00000000 80000000 00 = 00000000 01 27 081 00000000 80000000 01 = 00000000 01 27 082 00000000 80000000 10 = 00000000 01 27 083 00000000 80000000 11 = 00000000 01 27 084 00000001 80000000 00 = 00000001 10 27 085 00000001 80000000 01 = 00000001 10 27 086 00000001 80000000 10 = 00000001 10 27 087 00000001 80000000 11 = 00000001 10 27 088 00000002 80000000 00 = 00000002 10 27 089 00000002 80000000 01 = 00000002 10 27 08A 00000002 80000000 10 = 00000002 10 27 08B 00000002 80000000 11 = 00000002 10 27 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 27 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 27 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 27 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 27 090 80000000 80000000 00 = 00000000 01 27 091 80000000 80000000 01 = 00000000 01 27 092 80000000 80000000 10 = 00000000 01 27 093 80000000 80000000 11 = 00000000 01 27 094 80000001 80000000 00 = 00000001 10 27 095 80000001 80000000 01 = 00000001 10 27 096 80000001 80000000 10 = 00000001 10 27 097 80000001 80000000 11 = 00000001 10 27 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 27 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 27 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 27 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 27 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 27 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 27 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 27 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 27 0A0 00000000 80000001 00 = 00000000 01 27 0A1 00000000 80000001 01 = 00000000 01 27 0A2 00000000 80000001 10 = 00000000 01 27 0A3 00000000 80000001 11 = 00000000 01 27 0A4 00000001 80000001 00 = 00000000 01 27 0A5 00000001 80000001 01 = 00000000 01 27 0A6 00000001 80000001 10 = 00000000 01 27 0A7 00000001 80000001 11 = 00000000 01 27 0A8 00000002 80000001 00 = 00000002 10 27 0A9 00000002 80000001 01 = 00000002 10 27 0AA 00000002 80000001 10 = 00000002 10 27 0AB 00000002 80000001 11 = 00000002 10 27 0AC 7FFFFFFF 80000001 00 = 7FFFFFFE 00 27 0AD 7FFFFFFF 80000001 01 = 7FFFFFFE 00 27 0AE 7FFFFFFF 80000001 10 = 7FFFFFFE 00 27 0AF 7FFFFFFF 80000001 11 = 7FFFFFFE 00 27 0B0 80000000 80000001 00 = 00000000 01 27 0B1 80000000 80000001 01 = 00000000 01 27 0B2 80000000 80000001 10 = 00000000 01 27 0B3 80000000 80000001 11 = 00000000 01 27 0B4 80000001 80000001 00 = 00000000 01 27 0B5 80000001 80000001 01 = 00000000 01 27 0B6 80000001 80000001 10 = 00000000 01 27 0B7 80000001 80000001 11 = 00000000 01 27 0B8 FFFFFFFE 80000001 00 = 7FFFFFFE 00 27 0B9 FFFFFFFE 80000001 01 = 7FFFFFFE 00 27 0BA FFFFFFFE 80000001 10 = 7FFFFFFE 00 27 0BB FFFFFFFE 80000001 11 = 7FFFFFFE 00 27 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 27 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 27 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 27 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 27 0C0 00000000 FFFFFFFE 00 = 00000000 01 27 0C1 00000000 FFFFFFFE 01 = 00000000 01 27 0C2 00000000 FFFFFFFE 10 = 00000000 01 27 0C3 00000000 FFFFFFFE 11 = 00000000 01 27 0C4 00000001 FFFFFFFE 00 = 00000001 10 27 0C5 00000001 FFFFFFFE 01 = 00000001 10 27 0C6 00000001 FFFFFFFE 10 = 00000001 10 27 0C7 00000001 FFFFFFFE 11 = 00000001 10 27 0C8 00000002 FFFFFFFE 00 = 00000000 01 27 0C9 00000002 FFFFFFFE 01 = 00000000 01 27 0CA 00000002 FFFFFFFE 10 = 00000000 01 27 0CB 00000002 FFFFFFFE 11 = 00000000 01 27 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 27 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 27 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 10 27 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 10 27 0D0 80000000 FFFFFFFE 00 = 00000000 01 27 0D1 80000000 FFFFFFFE 01 = 00000000 01 27 0D2 80000000 FFFFFFFE 10 = 00000000 01 27 0D3 80000000 FFFFFFFE 11 = 00000000 01 27 0D4 80000001 FFFFFFFE 00 = 00000001 10 27 0D5 80000001 FFFFFFFE 01 = 00000001 10 27 0D6 80000001 FFFFFFFE 10 = 00000001 10 27 0D7 80000001 FFFFFFFE 11 = 00000001 10 27 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 27 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 27 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 27 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 27 0DC FFFFFFFF FFFFFFFE 00 = 00000001 10 27 0DD FFFFFFFF FFFFFFFE 01 = 00000001 10 27 0DE FFFFFFFF FFFFFFFE 10 = 00000001 10 27 0DF FFFFFFFF FFFFFFFE 11 = 00000001 10 27 0E0 00000000 FFFFFFFF 00 = 00000000 01 27 0E1 00000000 FFFFFFFF 01 = 00000000 01 27 0E2 00000000 FFFFFFFF 10 = 00000000 01 27 0E3 00000000 FFFFFFFF 11 = 00000000 01 27 0E4 00000001 FFFFFFFF 00 = 00000000 01 27 0E5 00000001 FFFFFFFF 01 = 00000000 01 27 0E6 00000001 FFFFFFFF 10 = 00000000 01 27 0E7 00000001 FFFFFFFF 11 = 00000000 01 27 0E8 00000002 FFFFFFFF 00 = 00000000 01 27 0E9 00000002 FFFFFFFF 01 = 00000000 01 27 0EA 00000002 FFFFFFFF 10 = 00000000 01 27 0EB 00000002 FFFFFFFF 11 = 00000000 01 27 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 01 27 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 01 27 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 01 27 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 01 27 0F0 80000000 FFFFFFFF 00 = 00000000 01 27 0F1 80000000 FFFFFFFF 01 = 00000000 01 27 0F2 80000000 FFFFFFFF 10 = 00000000 01 27 0F3 80000000 FFFFFFFF 11 = 00000000 01 27 0F4 80000001 FFFFFFFF 00 = 00000000 01 27 0F5 80000001 FFFFFFFF 01 = 00000000 01 27 0F6 80000001 FFFFFFFF 10 = 00000000 01 27 0F7 80000001 FFFFFFFF 11 = 00000000 01 27 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 01 27 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 01 27 0FA FFFFFFFE FFFFFFFF 10 = 00000000 01 27 0FB FFFFFFFE FFFFFFFF 11 = 00000000 01 27 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 27 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 27 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 27 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 and ---D---- ---S---- CZ = ---Q---- CZ 28 000 00000000 00000000 00 = 00000000 01 28 001 00000000 00000000 01 = 00000000 01 28 002 00000000 00000000 10 = 00000000 01 28 003 00000000 00000000 11 = 00000000 01 28 004 00000001 00000000 00 = 00000000 01 28 005 00000001 00000000 01 = 00000000 01 28 006 00000001 00000000 10 = 00000000 01 28 007 00000001 00000000 11 = 00000000 01 28 008 00000002 00000000 00 = 00000000 01 28 009 00000002 00000000 01 = 00000000 01 28 00A 00000002 00000000 10 = 00000000 01 28 00B 00000002 00000000 11 = 00000000 01 28 00C 7FFFFFFF 00000000 00 = 00000000 01 28 00D 7FFFFFFF 00000000 01 = 00000000 01 28 00E 7FFFFFFF 00000000 10 = 00000000 01 28 00F 7FFFFFFF 00000000 11 = 00000000 01 28 010 80000000 00000000 00 = 00000000 01 28 011 80000000 00000000 01 = 00000000 01 28 012 80000000 00000000 10 = 00000000 01 28 013 80000000 00000000 11 = 00000000 01 28 014 80000001 00000000 00 = 00000000 01 28 015 80000001 00000000 01 = 00000000 01 28 016 80000001 00000000 10 = 00000000 01 28 017 80000001 00000000 11 = 00000000 01 28 018 FFFFFFFE 00000000 00 = 00000000 01 28 019 FFFFFFFE 00000000 01 = 00000000 01 28 01A FFFFFFFE 00000000 10 = 00000000 01 28 01B FFFFFFFE 00000000 11 = 00000000 01 28 01C FFFFFFFF 00000000 00 = 00000000 01 28 01D FFFFFFFF 00000000 01 = 00000000 01 28 01E FFFFFFFF 00000000 10 = 00000000 01 28 01F FFFFFFFF 00000000 11 = 00000000 01 28 020 00000000 00000001 00 = 00000000 01 28 021 00000000 00000001 01 = 00000000 01 28 022 00000000 00000001 10 = 00000000 01 28 023 00000000 00000001 11 = 00000000 01 28 024 00000001 00000001 00 = 00000001 10 28 025 00000001 00000001 01 = 00000001 10 28 026 00000001 00000001 10 = 00000001 10 28 027 00000001 00000001 11 = 00000001 10 28 028 00000002 00000001 00 = 00000000 01 28 029 00000002 00000001 01 = 00000000 01 28 02A 00000002 00000001 10 = 00000000 01 28 02B 00000002 00000001 11 = 00000000 01 28 02C 7FFFFFFF 00000001 00 = 00000001 10 28 02D 7FFFFFFF 00000001 01 = 00000001 10 28 02E 7FFFFFFF 00000001 10 = 00000001 10 28 02F 7FFFFFFF 00000001 11 = 00000001 10 28 030 80000000 00000001 00 = 00000000 01 28 031 80000000 00000001 01 = 00000000 01 28 032 80000000 00000001 10 = 00000000 01 28 033 80000000 00000001 11 = 00000000 01 28 034 80000001 00000001 00 = 00000001 10 28 035 80000001 00000001 01 = 00000001 10 28 036 80000001 00000001 10 = 00000001 10 28 037 80000001 00000001 11 = 00000001 10 28 038 FFFFFFFE 00000001 00 = 00000000 01 28 039 FFFFFFFE 00000001 01 = 00000000 01 28 03A FFFFFFFE 00000001 10 = 00000000 01 28 03B FFFFFFFE 00000001 11 = 00000000 01 28 03C FFFFFFFF 00000001 00 = 00000001 10 28 03D FFFFFFFF 00000001 01 = 00000001 10 28 03E FFFFFFFF 00000001 10 = 00000001 10 28 03F FFFFFFFF 00000001 11 = 00000001 10 28 040 00000000 00000002 00 = 00000000 01 28 041 00000000 00000002 01 = 00000000 01 28 042 00000000 00000002 10 = 00000000 01 28 043 00000000 00000002 11 = 00000000 01 28 044 00000001 00000002 00 = 00000000 01 28 045 00000001 00000002 01 = 00000000 01 28 046 00000001 00000002 10 = 00000000 01 28 047 00000001 00000002 11 = 00000000 01 28 048 00000002 00000002 00 = 00000002 10 28 049 00000002 00000002 01 = 00000002 10 28 04A 00000002 00000002 10 = 00000002 10 28 04B 00000002 00000002 11 = 00000002 10 28 04C 7FFFFFFF 00000002 00 = 00000002 10 28 04D 7FFFFFFF 00000002 01 = 00000002 10 28 04E 7FFFFFFF 00000002 10 = 00000002 10 28 04F 7FFFFFFF 00000002 11 = 00000002 10 28 050 80000000 00000002 00 = 00000000 01 28 051 80000000 00000002 01 = 00000000 01 28 052 80000000 00000002 10 = 00000000 01 28 053 80000000 00000002 11 = 00000000 01 28 054 80000001 00000002 00 = 00000000 01 28 055 80000001 00000002 01 = 00000000 01 28 056 80000001 00000002 10 = 00000000 01 28 057 80000001 00000002 11 = 00000000 01 28 058 FFFFFFFE 00000002 00 = 00000002 10 28 059 FFFFFFFE 00000002 01 = 00000002 10 28 05A FFFFFFFE 00000002 10 = 00000002 10 28 05B FFFFFFFE 00000002 11 = 00000002 10 28 05C FFFFFFFF 00000002 00 = 00000002 10 28 05D FFFFFFFF 00000002 01 = 00000002 10 28 05E FFFFFFFF 00000002 10 = 00000002 10 28 05F FFFFFFFF 00000002 11 = 00000002 10 28 060 00000000 7FFFFFFF 00 = 00000000 01 28 061 00000000 7FFFFFFF 01 = 00000000 01 28 062 00000000 7FFFFFFF 10 = 00000000 01 28 063 00000000 7FFFFFFF 11 = 00000000 01 28 064 00000001 7FFFFFFF 00 = 00000001 10 28 065 00000001 7FFFFFFF 01 = 00000001 10 28 066 00000001 7FFFFFFF 10 = 00000001 10 28 067 00000001 7FFFFFFF 11 = 00000001 10 28 068 00000002 7FFFFFFF 00 = 00000002 10 28 069 00000002 7FFFFFFF 01 = 00000002 10 28 06A 00000002 7FFFFFFF 10 = 00000002 10 28 06B 00000002 7FFFFFFF 11 = 00000002 10 28 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 28 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 28 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 28 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 28 070 80000000 7FFFFFFF 00 = 00000000 01 28 071 80000000 7FFFFFFF 01 = 00000000 01 28 072 80000000 7FFFFFFF 10 = 00000000 01 28 073 80000000 7FFFFFFF 11 = 00000000 01 28 074 80000001 7FFFFFFF 00 = 00000001 10 28 075 80000001 7FFFFFFF 01 = 00000001 10 28 076 80000001 7FFFFFFF 10 = 00000001 10 28 077 80000001 7FFFFFFF 11 = 00000001 10 28 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 00 28 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 00 28 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 00 28 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 00 28 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 28 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 28 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 28 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 28 080 00000000 80000000 00 = 00000000 01 28 081 00000000 80000000 01 = 00000000 01 28 082 00000000 80000000 10 = 00000000 01 28 083 00000000 80000000 11 = 00000000 01 28 084 00000001 80000000 00 = 00000000 01 28 085 00000001 80000000 01 = 00000000 01 28 086 00000001 80000000 10 = 00000000 01 28 087 00000001 80000000 11 = 00000000 01 28 088 00000002 80000000 00 = 00000000 01 28 089 00000002 80000000 01 = 00000000 01 28 08A 00000002 80000000 10 = 00000000 01 28 08B 00000002 80000000 11 = 00000000 01 28 08C 7FFFFFFF 80000000 00 = 00000000 01 28 08D 7FFFFFFF 80000000 01 = 00000000 01 28 08E 7FFFFFFF 80000000 10 = 00000000 01 28 08F 7FFFFFFF 80000000 11 = 00000000 01 28 090 80000000 80000000 00 = 80000000 10 28 091 80000000 80000000 01 = 80000000 10 28 092 80000000 80000000 10 = 80000000 10 28 093 80000000 80000000 11 = 80000000 10 28 094 80000001 80000000 00 = 80000000 10 28 095 80000001 80000000 01 = 80000000 10 28 096 80000001 80000000 10 = 80000000 10 28 097 80000001 80000000 11 = 80000000 10 28 098 FFFFFFFE 80000000 00 = 80000000 10 28 099 FFFFFFFE 80000000 01 = 80000000 10 28 09A FFFFFFFE 80000000 10 = 80000000 10 28 09B FFFFFFFE 80000000 11 = 80000000 10 28 09C FFFFFFFF 80000000 00 = 80000000 10 28 09D FFFFFFFF 80000000 01 = 80000000 10 28 09E FFFFFFFF 80000000 10 = 80000000 10 28 09F FFFFFFFF 80000000 11 = 80000000 10 28 0A0 00000000 80000001 00 = 00000000 01 28 0A1 00000000 80000001 01 = 00000000 01 28 0A2 00000000 80000001 10 = 00000000 01 28 0A3 00000000 80000001 11 = 00000000 01 28 0A4 00000001 80000001 00 = 00000001 10 28 0A5 00000001 80000001 01 = 00000001 10 28 0A6 00000001 80000001 10 = 00000001 10 28 0A7 00000001 80000001 11 = 00000001 10 28 0A8 00000002 80000001 00 = 00000000 01 28 0A9 00000002 80000001 01 = 00000000 01 28 0AA 00000002 80000001 10 = 00000000 01 28 0AB 00000002 80000001 11 = 00000000 01 28 0AC 7FFFFFFF 80000001 00 = 00000001 10 28 0AD 7FFFFFFF 80000001 01 = 00000001 10 28 0AE 7FFFFFFF 80000001 10 = 00000001 10 28 0AF 7FFFFFFF 80000001 11 = 00000001 10 28 0B0 80000000 80000001 00 = 80000000 10 28 0B1 80000000 80000001 01 = 80000000 10 28 0B2 80000000 80000001 10 = 80000000 10 28 0B3 80000000 80000001 11 = 80000000 10 28 0B4 80000001 80000001 00 = 80000001 00 28 0B5 80000001 80000001 01 = 80000001 00 28 0B6 80000001 80000001 10 = 80000001 00 28 0B7 80000001 80000001 11 = 80000001 00 28 0B8 FFFFFFFE 80000001 00 = 80000000 10 28 0B9 FFFFFFFE 80000001 01 = 80000000 10 28 0BA FFFFFFFE 80000001 10 = 80000000 10 28 0BB FFFFFFFE 80000001 11 = 80000000 10 28 0BC FFFFFFFF 80000001 00 = 80000001 00 28 0BD FFFFFFFF 80000001 01 = 80000001 00 28 0BE FFFFFFFF 80000001 10 = 80000001 00 28 0BF FFFFFFFF 80000001 11 = 80000001 00 28 0C0 00000000 FFFFFFFE 00 = 00000000 01 28 0C1 00000000 FFFFFFFE 01 = 00000000 01 28 0C2 00000000 FFFFFFFE 10 = 00000000 01 28 0C3 00000000 FFFFFFFE 11 = 00000000 01 28 0C4 00000001 FFFFFFFE 00 = 00000000 01 28 0C5 00000001 FFFFFFFE 01 = 00000000 01 28 0C6 00000001 FFFFFFFE 10 = 00000000 01 28 0C7 00000001 FFFFFFFE 11 = 00000000 01 28 0C8 00000002 FFFFFFFE 00 = 00000002 10 28 0C9 00000002 FFFFFFFE 01 = 00000002 10 28 0CA 00000002 FFFFFFFE 10 = 00000002 10 28 0CB 00000002 FFFFFFFE 11 = 00000002 10 28 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 28 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 00 28 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 00 28 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 00 28 0D0 80000000 FFFFFFFE 00 = 80000000 10 28 0D1 80000000 FFFFFFFE 01 = 80000000 10 28 0D2 80000000 FFFFFFFE 10 = 80000000 10 28 0D3 80000000 FFFFFFFE 11 = 80000000 10 28 0D4 80000001 FFFFFFFE 00 = 80000000 10 28 0D5 80000001 FFFFFFFE 01 = 80000000 10 28 0D6 80000001 FFFFFFFE 10 = 80000000 10 28 0D7 80000001 FFFFFFFE 11 = 80000000 10 28 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 28 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 28 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 28 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 28 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 28 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 28 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 28 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 28 0E0 00000000 FFFFFFFF 00 = 00000000 01 28 0E1 00000000 FFFFFFFF 01 = 00000000 01 28 0E2 00000000 FFFFFFFF 10 = 00000000 01 28 0E3 00000000 FFFFFFFF 11 = 00000000 01 28 0E4 00000001 FFFFFFFF 00 = 00000001 10 28 0E5 00000001 FFFFFFFF 01 = 00000001 10 28 0E6 00000001 FFFFFFFF 10 = 00000001 10 28 0E7 00000001 FFFFFFFF 11 = 00000001 10 28 0E8 00000002 FFFFFFFF 00 = 00000002 10 28 0E9 00000002 FFFFFFFF 01 = 00000002 10 28 0EA 00000002 FFFFFFFF 10 = 00000002 10 28 0EB 00000002 FFFFFFFF 11 = 00000002 10 28 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 28 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 10 28 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 28 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 28 0F0 80000000 FFFFFFFF 00 = 80000000 10 28 0F1 80000000 FFFFFFFF 01 = 80000000 10 28 0F2 80000000 FFFFFFFF 10 = 80000000 10 28 0F3 80000000 FFFFFFFF 11 = 80000000 10 28 0F4 80000001 FFFFFFFF 00 = 80000001 00 28 0F5 80000001 FFFFFFFF 01 = 80000001 00 28 0F6 80000001 FFFFFFFF 10 = 80000001 00 28 0F7 80000001 FFFFFFFF 11 = 80000001 00 28 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 28 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 28 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 28 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 28 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 28 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 28 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 28 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 or ---D---- ---S---- CZ = ---Q---- CZ 29 000 00000000 00000000 00 = 00000000 01 29 001 00000000 00000000 01 = 00000000 01 29 002 00000000 00000000 10 = 00000000 01 29 003 00000000 00000000 11 = 00000000 01 29 004 00000001 00000000 00 = 00000001 10 29 005 00000001 00000000 01 = 00000001 10 29 006 00000001 00000000 10 = 00000001 10 29 007 00000001 00000000 11 = 00000001 10 29 008 00000002 00000000 00 = 00000002 10 29 009 00000002 00000000 01 = 00000002 10 29 00A 00000002 00000000 10 = 00000002 10 29 00B 00000002 00000000 11 = 00000002 10 29 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 29 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 29 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 29 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 29 010 80000000 00000000 00 = 80000000 10 29 011 80000000 00000000 01 = 80000000 10 29 012 80000000 00000000 10 = 80000000 10 29 013 80000000 00000000 11 = 80000000 10 29 014 80000001 00000000 00 = 80000001 00 29 015 80000001 00000000 01 = 80000001 00 29 016 80000001 00000000 10 = 80000001 00 29 017 80000001 00000000 11 = 80000001 00 29 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 29 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 29 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 29 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 29 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 29 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 29 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 29 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 29 020 00000000 00000001 00 = 00000001 10 29 021 00000000 00000001 01 = 00000001 10 29 022 00000000 00000001 10 = 00000001 10 29 023 00000000 00000001 11 = 00000001 10 29 024 00000001 00000001 00 = 00000001 10 29 025 00000001 00000001 01 = 00000001 10 29 026 00000001 00000001 10 = 00000001 10 29 027 00000001 00000001 11 = 00000001 10 29 028 00000002 00000001 00 = 00000003 00 29 029 00000002 00000001 01 = 00000003 00 29 02A 00000002 00000001 10 = 00000003 00 29 02B 00000002 00000001 11 = 00000003 00 29 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 29 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 29 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 29 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 29 030 80000000 00000001 00 = 80000001 00 29 031 80000000 00000001 01 = 80000001 00 29 032 80000000 00000001 10 = 80000001 00 29 033 80000000 00000001 11 = 80000001 00 29 034 80000001 00000001 00 = 80000001 00 29 035 80000001 00000001 01 = 80000001 00 29 036 80000001 00000001 10 = 80000001 00 29 037 80000001 00000001 11 = 80000001 00 29 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 29 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 29 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 29 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 29 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 29 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 29 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 29 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 29 040 00000000 00000002 00 = 00000002 10 29 041 00000000 00000002 01 = 00000002 10 29 042 00000000 00000002 10 = 00000002 10 29 043 00000000 00000002 11 = 00000002 10 29 044 00000001 00000002 00 = 00000003 00 29 045 00000001 00000002 01 = 00000003 00 29 046 00000001 00000002 10 = 00000003 00 29 047 00000001 00000002 11 = 00000003 00 29 048 00000002 00000002 00 = 00000002 10 29 049 00000002 00000002 01 = 00000002 10 29 04A 00000002 00000002 10 = 00000002 10 29 04B 00000002 00000002 11 = 00000002 10 29 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 29 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 29 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 29 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 29 050 80000000 00000002 00 = 80000002 00 29 051 80000000 00000002 01 = 80000002 00 29 052 80000000 00000002 10 = 80000002 00 29 053 80000000 00000002 11 = 80000002 00 29 054 80000001 00000002 00 = 80000003 10 29 055 80000001 00000002 01 = 80000003 10 29 056 80000001 00000002 10 = 80000003 10 29 057 80000001 00000002 11 = 80000003 10 29 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 29 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 29 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 29 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 29 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 29 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 29 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 29 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 29 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 29 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 29 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 29 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 29 064 00000001 7FFFFFFF 00 = 7FFFFFFF 10 29 065 00000001 7FFFFFFF 01 = 7FFFFFFF 10 29 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 29 067 00000001 7FFFFFFF 11 = 7FFFFFFF 10 29 068 00000002 7FFFFFFF 00 = 7FFFFFFF 10 29 069 00000002 7FFFFFFF 01 = 7FFFFFFF 10 29 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 29 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 29 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 29 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 29 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 29 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 29 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 29 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 29 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 29 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 29 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 29 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 29 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 29 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 29 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 29 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 00 29 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 00 29 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 00 29 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 29 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 29 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 29 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 29 080 00000000 80000000 00 = 80000000 10 29 081 00000000 80000000 01 = 80000000 10 29 082 00000000 80000000 10 = 80000000 10 29 083 00000000 80000000 11 = 80000000 10 29 084 00000001 80000000 00 = 80000001 00 29 085 00000001 80000000 01 = 80000001 00 29 086 00000001 80000000 10 = 80000001 00 29 087 00000001 80000000 11 = 80000001 00 29 088 00000002 80000000 00 = 80000002 00 29 089 00000002 80000000 01 = 80000002 00 29 08A 00000002 80000000 10 = 80000002 00 29 08B 00000002 80000000 11 = 80000002 00 29 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 29 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 29 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 29 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 29 090 80000000 80000000 00 = 80000000 10 29 091 80000000 80000000 01 = 80000000 10 29 092 80000000 80000000 10 = 80000000 10 29 093 80000000 80000000 11 = 80000000 10 29 094 80000001 80000000 00 = 80000001 00 29 095 80000001 80000000 01 = 80000001 00 29 096 80000001 80000000 10 = 80000001 00 29 097 80000001 80000000 11 = 80000001 00 29 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 29 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 29 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 29 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 29 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 29 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 29 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 29 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 29 0A0 00000000 80000001 00 = 80000001 00 29 0A1 00000000 80000001 01 = 80000001 00 29 0A2 00000000 80000001 10 = 80000001 00 29 0A3 00000000 80000001 11 = 80000001 00 29 0A4 00000001 80000001 00 = 80000001 00 29 0A5 00000001 80000001 01 = 80000001 00 29 0A6 00000001 80000001 10 = 80000001 00 29 0A7 00000001 80000001 11 = 80000001 00 29 0A8 00000002 80000001 00 = 80000003 10 29 0A9 00000002 80000001 01 = 80000003 10 29 0AA 00000002 80000001 10 = 80000003 10 29 0AB 00000002 80000001 11 = 80000003 10 29 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 29 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 29 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 00 29 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 00 29 0B0 80000000 80000001 00 = 80000001 00 29 0B1 80000000 80000001 01 = 80000001 00 29 0B2 80000000 80000001 10 = 80000001 00 29 0B3 80000000 80000001 11 = 80000001 00 29 0B4 80000001 80000001 00 = 80000001 00 29 0B5 80000001 80000001 01 = 80000001 00 29 0B6 80000001 80000001 10 = 80000001 00 29 0B7 80000001 80000001 11 = 80000001 00 29 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 29 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 00 29 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 29 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 29 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 29 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 29 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 29 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 29 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 29 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 29 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 29 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 29 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 29 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 29 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 29 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 29 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 29 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 29 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 29 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 29 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 29 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 00 29 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 00 29 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 00 29 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 29 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 29 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 29 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 29 0D4 80000001 FFFFFFFE 00 = FFFFFFFF 00 29 0D5 80000001 FFFFFFFE 01 = FFFFFFFF 00 29 0D6 80000001 FFFFFFFE 10 = FFFFFFFF 00 29 0D7 80000001 FFFFFFFE 11 = FFFFFFFF 00 29 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 29 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 29 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 29 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 29 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 29 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 29 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 29 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 29 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 29 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 29 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 29 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 29 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 29 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 29 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 00 29 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 00 29 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 00 29 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 00 29 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 00 29 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 00 29 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 29 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 29 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 29 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 29 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 29 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 00 29 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 00 29 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 00 29 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 29 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 29 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 29 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 29 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 29 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 29 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 29 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 29 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 29 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 29 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 29 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 xor ---D---- ---S---- CZ = ---Q---- CZ 2A 000 00000000 00000000 00 = 00000000 01 2A 001 00000000 00000000 01 = 00000000 01 2A 002 00000000 00000000 10 = 00000000 01 2A 003 00000000 00000000 11 = 00000000 01 2A 004 00000001 00000000 00 = 00000001 10 2A 005 00000001 00000000 01 = 00000001 10 2A 006 00000001 00000000 10 = 00000001 10 2A 007 00000001 00000000 11 = 00000001 10 2A 008 00000002 00000000 00 = 00000002 10 2A 009 00000002 00000000 01 = 00000002 10 2A 00A 00000002 00000000 10 = 00000002 10 2A 00B 00000002 00000000 11 = 00000002 10 2A 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 2A 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 2A 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 2A 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 2A 010 80000000 00000000 00 = 80000000 10 2A 011 80000000 00000000 01 = 80000000 10 2A 012 80000000 00000000 10 = 80000000 10 2A 013 80000000 00000000 11 = 80000000 10 2A 014 80000001 00000000 00 = 80000001 00 2A 015 80000001 00000000 01 = 80000001 00 2A 016 80000001 00000000 10 = 80000001 00 2A 017 80000001 00000000 11 = 80000001 00 2A 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 2A 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 2A 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 2A 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 2A 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 2A 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 2A 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 2A 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 2A 020 00000000 00000001 00 = 00000001 10 2A 021 00000000 00000001 01 = 00000001 10 2A 022 00000000 00000001 10 = 00000001 10 2A 023 00000000 00000001 11 = 00000001 10 2A 024 00000001 00000001 00 = 00000000 01 2A 025 00000001 00000001 01 = 00000000 01 2A 026 00000001 00000001 10 = 00000000 01 2A 027 00000001 00000001 11 = 00000000 01 2A 028 00000002 00000001 00 = 00000003 00 2A 029 00000002 00000001 01 = 00000003 00 2A 02A 00000002 00000001 10 = 00000003 00 2A 02B 00000002 00000001 11 = 00000003 00 2A 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 2A 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 2A 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 2A 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 2A 030 80000000 00000001 00 = 80000001 00 2A 031 80000000 00000001 01 = 80000001 00 2A 032 80000000 00000001 10 = 80000001 00 2A 033 80000000 00000001 11 = 80000001 00 2A 034 80000001 00000001 00 = 80000000 10 2A 035 80000001 00000001 01 = 80000000 10 2A 036 80000001 00000001 10 = 80000000 10 2A 037 80000001 00000001 11 = 80000000 10 2A 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 2A 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 2A 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 2A 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 2A 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 2A 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 2A 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 2A 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 2A 040 00000000 00000002 00 = 00000002 10 2A 041 00000000 00000002 01 = 00000002 10 2A 042 00000000 00000002 10 = 00000002 10 2A 043 00000000 00000002 11 = 00000002 10 2A 044 00000001 00000002 00 = 00000003 00 2A 045 00000001 00000002 01 = 00000003 00 2A 046 00000001 00000002 10 = 00000003 00 2A 047 00000001 00000002 11 = 00000003 00 2A 048 00000002 00000002 00 = 00000000 01 2A 049 00000002 00000002 01 = 00000000 01 2A 04A 00000002 00000002 10 = 00000000 01 2A 04B 00000002 00000002 11 = 00000000 01 2A 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 2A 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 2A 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 2A 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 2A 050 80000000 00000002 00 = 80000002 00 2A 051 80000000 00000002 01 = 80000002 00 2A 052 80000000 00000002 10 = 80000002 00 2A 053 80000000 00000002 11 = 80000002 00 2A 054 80000001 00000002 00 = 80000003 10 2A 055 80000001 00000002 01 = 80000003 10 2A 056 80000001 00000002 10 = 80000003 10 2A 057 80000001 00000002 11 = 80000003 10 2A 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 2A 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 2A 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 2A 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 2A 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 2A 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 2A 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 2A 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 2A 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 2A 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 2A 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 2A 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 2A 064 00000001 7FFFFFFF 00 = 7FFFFFFE 00 2A 065 00000001 7FFFFFFF 01 = 7FFFFFFE 00 2A 066 00000001 7FFFFFFF 10 = 7FFFFFFE 00 2A 067 00000001 7FFFFFFF 11 = 7FFFFFFE 00 2A 068 00000002 7FFFFFFF 00 = 7FFFFFFD 00 2A 069 00000002 7FFFFFFF 01 = 7FFFFFFD 00 2A 06A 00000002 7FFFFFFF 10 = 7FFFFFFD 00 2A 06B 00000002 7FFFFFFF 11 = 7FFFFFFD 00 2A 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 2A 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 2A 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 2A 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 2A 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 2A 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 2A 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 2A 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 2A 074 80000001 7FFFFFFF 00 = FFFFFFFE 10 2A 075 80000001 7FFFFFFF 01 = FFFFFFFE 10 2A 076 80000001 7FFFFFFF 10 = FFFFFFFE 10 2A 077 80000001 7FFFFFFF 11 = FFFFFFFE 10 2A 078 FFFFFFFE 7FFFFFFF 00 = 80000001 00 2A 079 FFFFFFFE 7FFFFFFF 01 = 80000001 00 2A 07A FFFFFFFE 7FFFFFFF 10 = 80000001 00 2A 07B FFFFFFFE 7FFFFFFF 11 = 80000001 00 2A 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 2A 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 2A 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 2A 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 2A 080 00000000 80000000 00 = 80000000 10 2A 081 00000000 80000000 01 = 80000000 10 2A 082 00000000 80000000 10 = 80000000 10 2A 083 00000000 80000000 11 = 80000000 10 2A 084 00000001 80000000 00 = 80000001 00 2A 085 00000001 80000000 01 = 80000001 00 2A 086 00000001 80000000 10 = 80000001 00 2A 087 00000001 80000000 11 = 80000001 00 2A 088 00000002 80000000 00 = 80000002 00 2A 089 00000002 80000000 01 = 80000002 00 2A 08A 00000002 80000000 10 = 80000002 00 2A 08B 00000002 80000000 11 = 80000002 00 2A 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 2A 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 2A 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 2A 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 2A 090 80000000 80000000 00 = 00000000 01 2A 091 80000000 80000000 01 = 00000000 01 2A 092 80000000 80000000 10 = 00000000 01 2A 093 80000000 80000000 11 = 00000000 01 2A 094 80000001 80000000 00 = 00000001 10 2A 095 80000001 80000000 01 = 00000001 10 2A 096 80000001 80000000 10 = 00000001 10 2A 097 80000001 80000000 11 = 00000001 10 2A 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 2A 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 2A 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 2A 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 2A 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 2A 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 2A 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 2A 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 2A 0A0 00000000 80000001 00 = 80000001 00 2A 0A1 00000000 80000001 01 = 80000001 00 2A 0A2 00000000 80000001 10 = 80000001 00 2A 0A3 00000000 80000001 11 = 80000001 00 2A 0A4 00000001 80000001 00 = 80000000 10 2A 0A5 00000001 80000001 01 = 80000000 10 2A 0A6 00000001 80000001 10 = 80000000 10 2A 0A7 00000001 80000001 11 = 80000000 10 2A 0A8 00000002 80000001 00 = 80000003 10 2A 0A9 00000002 80000001 01 = 80000003 10 2A 0AA 00000002 80000001 10 = 80000003 10 2A 0AB 00000002 80000001 11 = 80000003 10 2A 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 2A 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 2A 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 2A 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 2A 0B0 80000000 80000001 00 = 00000001 10 2A 0B1 80000000 80000001 01 = 00000001 10 2A 0B2 80000000 80000001 10 = 00000001 10 2A 0B3 80000000 80000001 11 = 00000001 10 2A 0B4 80000001 80000001 00 = 00000000 01 2A 0B5 80000001 80000001 01 = 00000000 01 2A 0B6 80000001 80000001 10 = 00000000 01 2A 0B7 80000001 80000001 11 = 00000000 01 2A 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 2A 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 2A 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 2A 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 2A 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 2A 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 2A 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 2A 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 2A 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 2A 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 2A 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 2A 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 2A 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 2A 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 2A 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 2A 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 2A 0C8 00000002 FFFFFFFE 00 = FFFFFFFC 00 2A 0C9 00000002 FFFFFFFE 01 = FFFFFFFC 00 2A 0CA 00000002 FFFFFFFE 10 = FFFFFFFC 00 2A 0CB 00000002 FFFFFFFE 11 = FFFFFFFC 00 2A 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 00 2A 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 00 2A 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 00 2A 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 00 2A 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 00 2A 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 00 2A 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 00 2A 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 00 2A 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 2A 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 2A 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 2A 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 2A 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 2A 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 2A 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 2A 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 2A 0DC FFFFFFFF FFFFFFFE 00 = 00000001 10 2A 0DD FFFFFFFF FFFFFFFE 01 = 00000001 10 2A 0DE FFFFFFFF FFFFFFFE 10 = 00000001 10 2A 0DF FFFFFFFF FFFFFFFE 11 = 00000001 10 2A 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 2A 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 2A 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 2A 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 2A 0E4 00000001 FFFFFFFF 00 = FFFFFFFE 10 2A 0E5 00000001 FFFFFFFF 01 = FFFFFFFE 10 2A 0E6 00000001 FFFFFFFF 10 = FFFFFFFE 10 2A 0E7 00000001 FFFFFFFF 11 = FFFFFFFE 10 2A 0E8 00000002 FFFFFFFF 00 = FFFFFFFD 10 2A 0E9 00000002 FFFFFFFF 01 = FFFFFFFD 10 2A 0EA 00000002 FFFFFFFF 10 = FFFFFFFD 10 2A 0EB 00000002 FFFFFFFF 11 = FFFFFFFD 10 2A 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 2A 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 2A 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 2A 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 2A 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 2A 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 2A 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 2A 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 2A 0F4 80000001 FFFFFFFF 00 = 7FFFFFFE 00 2A 0F5 80000001 FFFFFFFF 01 = 7FFFFFFE 00 2A 0F6 80000001 FFFFFFFF 10 = 7FFFFFFE 00 2A 0F7 80000001 FFFFFFFF 11 = 7FFFFFFE 00 2A 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 10 2A 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 10 2A 0FA FFFFFFFE FFFFFFFF 10 = 00000001 10 2A 0FB FFFFFFFE FFFFFFFF 11 = 00000001 10 2A 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 2A 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 2A 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 2A 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 muxc ---D---- ---S---- CZ = ---Q---- CZ 2B 000 00000000 00000000 00 = 00000000 01 2B 001 00000000 00000000 01 = 00000000 01 2B 002 00000000 00000000 10 = 00000000 01 2B 003 00000000 00000000 11 = 00000000 01 2B 004 00000001 00000000 00 = 00000001 10 2B 005 00000001 00000000 01 = 00000001 10 2B 006 00000001 00000000 10 = 00000001 10 2B 007 00000001 00000000 11 = 00000001 10 2B 008 00000002 00000000 00 = 00000002 10 2B 009 00000002 00000000 01 = 00000002 10 2B 00A 00000002 00000000 10 = 00000002 10 2B 00B 00000002 00000000 11 = 00000002 10 2B 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 2B 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 2B 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 2B 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 2B 010 80000000 00000000 00 = 80000000 10 2B 011 80000000 00000000 01 = 80000000 10 2B 012 80000000 00000000 10 = 80000000 10 2B 013 80000000 00000000 11 = 80000000 10 2B 014 80000001 00000000 00 = 80000001 00 2B 015 80000001 00000000 01 = 80000001 00 2B 016 80000001 00000000 10 = 80000001 00 2B 017 80000001 00000000 11 = 80000001 00 2B 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 2B 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 2B 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 2B 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 2B 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 2B 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 2B 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 2B 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 2B 020 00000000 00000001 00 = 00000000 01 2B 021 00000000 00000001 01 = 00000000 01 2B 022 00000000 00000001 10 = 00000001 10 2B 023 00000000 00000001 11 = 00000001 10 2B 024 00000001 00000001 00 = 00000000 01 2B 025 00000001 00000001 01 = 00000000 01 2B 026 00000001 00000001 10 = 00000001 10 2B 027 00000001 00000001 11 = 00000001 10 2B 028 00000002 00000001 00 = 00000002 10 2B 029 00000002 00000001 01 = 00000002 10 2B 02A 00000002 00000001 10 = 00000003 00 2B 02B 00000002 00000001 11 = 00000003 00 2B 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 2B 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 2B 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 2B 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 2B 030 80000000 00000001 00 = 80000000 10 2B 031 80000000 00000001 01 = 80000000 10 2B 032 80000000 00000001 10 = 80000001 00 2B 033 80000000 00000001 11 = 80000001 00 2B 034 80000001 00000001 00 = 80000000 10 2B 035 80000001 00000001 01 = 80000000 10 2B 036 80000001 00000001 10 = 80000001 00 2B 037 80000001 00000001 11 = 80000001 00 2B 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 2B 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 2B 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 2B 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 2B 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 2B 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 2B 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 2B 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 2B 040 00000000 00000002 00 = 00000000 01 2B 041 00000000 00000002 01 = 00000000 01 2B 042 00000000 00000002 10 = 00000002 10 2B 043 00000000 00000002 11 = 00000002 10 2B 044 00000001 00000002 00 = 00000001 10 2B 045 00000001 00000002 01 = 00000001 10 2B 046 00000001 00000002 10 = 00000003 00 2B 047 00000001 00000002 11 = 00000003 00 2B 048 00000002 00000002 00 = 00000000 01 2B 049 00000002 00000002 01 = 00000000 01 2B 04A 00000002 00000002 10 = 00000002 10 2B 04B 00000002 00000002 11 = 00000002 10 2B 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 2B 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 2B 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 2B 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 2B 050 80000000 00000002 00 = 80000000 10 2B 051 80000000 00000002 01 = 80000000 10 2B 052 80000000 00000002 10 = 80000002 00 2B 053 80000000 00000002 11 = 80000002 00 2B 054 80000001 00000002 00 = 80000001 00 2B 055 80000001 00000002 01 = 80000001 00 2B 056 80000001 00000002 10 = 80000003 10 2B 057 80000001 00000002 11 = 80000003 10 2B 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 2B 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 2B 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 2B 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 2B 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 2B 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 2B 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 2B 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 2B 060 00000000 7FFFFFFF 00 = 00000000 01 2B 061 00000000 7FFFFFFF 01 = 00000000 01 2B 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 2B 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 2B 064 00000001 7FFFFFFF 00 = 00000000 01 2B 065 00000001 7FFFFFFF 01 = 00000000 01 2B 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 2B 067 00000001 7FFFFFFF 11 = 7FFFFFFF 10 2B 068 00000002 7FFFFFFF 00 = 00000000 01 2B 069 00000002 7FFFFFFF 01 = 00000000 01 2B 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 2B 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 2B 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 2B 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 2B 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 2B 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 2B 070 80000000 7FFFFFFF 00 = 80000000 10 2B 071 80000000 7FFFFFFF 01 = 80000000 10 2B 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 2B 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 2B 074 80000001 7FFFFFFF 00 = 80000000 10 2B 075 80000001 7FFFFFFF 01 = 80000000 10 2B 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 2B 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 2B 078 FFFFFFFE 7FFFFFFF 00 = 80000000 10 2B 079 FFFFFFFE 7FFFFFFF 01 = 80000000 10 2B 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 00 2B 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 00 2B 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 2B 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 2B 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 2B 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 2B 080 00000000 80000000 00 = 00000000 01 2B 081 00000000 80000000 01 = 00000000 01 2B 082 00000000 80000000 10 = 80000000 10 2B 083 00000000 80000000 11 = 80000000 10 2B 084 00000001 80000000 00 = 00000001 10 2B 085 00000001 80000000 01 = 00000001 10 2B 086 00000001 80000000 10 = 80000001 00 2B 087 00000001 80000000 11 = 80000001 00 2B 088 00000002 80000000 00 = 00000002 10 2B 089 00000002 80000000 01 = 00000002 10 2B 08A 00000002 80000000 10 = 80000002 00 2B 08B 00000002 80000000 11 = 80000002 00 2B 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 2B 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 2B 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 2B 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 2B 090 80000000 80000000 00 = 00000000 01 2B 091 80000000 80000000 01 = 00000000 01 2B 092 80000000 80000000 10 = 80000000 10 2B 093 80000000 80000000 11 = 80000000 10 2B 094 80000001 80000000 00 = 00000001 10 2B 095 80000001 80000000 01 = 00000001 10 2B 096 80000001 80000000 10 = 80000001 00 2B 097 80000001 80000000 11 = 80000001 00 2B 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 2B 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 2B 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 2B 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 2B 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 2B 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 2B 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 2B 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 2B 0A0 00000000 80000001 00 = 00000000 01 2B 0A1 00000000 80000001 01 = 00000000 01 2B 0A2 00000000 80000001 10 = 80000001 00 2B 0A3 00000000 80000001 11 = 80000001 00 2B 0A4 00000001 80000001 00 = 00000000 01 2B 0A5 00000001 80000001 01 = 00000000 01 2B 0A6 00000001 80000001 10 = 80000001 00 2B 0A7 00000001 80000001 11 = 80000001 00 2B 0A8 00000002 80000001 00 = 00000002 10 2B 0A9 00000002 80000001 01 = 00000002 10 2B 0AA 00000002 80000001 10 = 80000003 10 2B 0AB 00000002 80000001 11 = 80000003 10 2B 0AC 7FFFFFFF 80000001 00 = 7FFFFFFE 00 2B 0AD 7FFFFFFF 80000001 01 = 7FFFFFFE 00 2B 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 00 2B 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 00 2B 0B0 80000000 80000001 00 = 00000000 01 2B 0B1 80000000 80000001 01 = 00000000 01 2B 0B2 80000000 80000001 10 = 80000001 00 2B 0B3 80000000 80000001 11 = 80000001 00 2B 0B4 80000001 80000001 00 = 00000000 01 2B 0B5 80000001 80000001 01 = 00000000 01 2B 0B6 80000001 80000001 10 = 80000001 00 2B 0B7 80000001 80000001 11 = 80000001 00 2B 0B8 FFFFFFFE 80000001 00 = 7FFFFFFE 00 2B 0B9 FFFFFFFE 80000001 01 = 7FFFFFFE 00 2B 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 2B 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 2B 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 2B 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 2B 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 2B 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 2B 0C0 00000000 FFFFFFFE 00 = 00000000 01 2B 0C1 00000000 FFFFFFFE 01 = 00000000 01 2B 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 2B 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 2B 0C4 00000001 FFFFFFFE 00 = 00000001 10 2B 0C5 00000001 FFFFFFFE 01 = 00000001 10 2B 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 2B 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 2B 0C8 00000002 FFFFFFFE 00 = 00000000 01 2B 0C9 00000002 FFFFFFFE 01 = 00000000 01 2B 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 2B 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 2B 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 2B 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 2B 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 00 2B 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 00 2B 0D0 80000000 FFFFFFFE 00 = 00000000 01 2B 0D1 80000000 FFFFFFFE 01 = 00000000 01 2B 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 2B 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 2B 0D4 80000001 FFFFFFFE 00 = 00000001 10 2B 0D5 80000001 FFFFFFFE 01 = 00000001 10 2B 0D6 80000001 FFFFFFFE 10 = FFFFFFFF 00 2B 0D7 80000001 FFFFFFFE 11 = FFFFFFFF 00 2B 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 2B 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 2B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 2B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 2B 0DC FFFFFFFF FFFFFFFE 00 = 00000001 10 2B 0DD FFFFFFFF FFFFFFFE 01 = 00000001 10 2B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 2B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 2B 0E0 00000000 FFFFFFFF 00 = 00000000 01 2B 0E1 00000000 FFFFFFFF 01 = 00000000 01 2B 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 2B 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 2B 0E4 00000001 FFFFFFFF 00 = 00000000 01 2B 0E5 00000001 FFFFFFFF 01 = 00000000 01 2B 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 00 2B 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 00 2B 0E8 00000002 FFFFFFFF 00 = 00000000 01 2B 0E9 00000002 FFFFFFFF 01 = 00000000 01 2B 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 00 2B 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 00 2B 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 01 2B 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 01 2B 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 2B 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 2B 0F0 80000000 FFFFFFFF 00 = 00000000 01 2B 0F1 80000000 FFFFFFFF 01 = 00000000 01 2B 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 00 2B 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 00 2B 0F4 80000001 FFFFFFFF 00 = 00000000 01 2B 0F5 80000001 FFFFFFFF 01 = 00000000 01 2B 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 2B 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 2B 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 01 2B 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 01 2B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 2B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 2B 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 2B 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 2B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 2B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 muxnc ---D---- ---S---- CZ = ---Q---- CZ 2C 000 00000000 00000000 00 = 00000000 01 2C 001 00000000 00000000 01 = 00000000 01 2C 002 00000000 00000000 10 = 00000000 01 2C 003 00000000 00000000 11 = 00000000 01 2C 004 00000001 00000000 00 = 00000001 10 2C 005 00000001 00000000 01 = 00000001 10 2C 006 00000001 00000000 10 = 00000001 10 2C 007 00000001 00000000 11 = 00000001 10 2C 008 00000002 00000000 00 = 00000002 10 2C 009 00000002 00000000 01 = 00000002 10 2C 00A 00000002 00000000 10 = 00000002 10 2C 00B 00000002 00000000 11 = 00000002 10 2C 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 2C 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 2C 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 2C 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 2C 010 80000000 00000000 00 = 80000000 10 2C 011 80000000 00000000 01 = 80000000 10 2C 012 80000000 00000000 10 = 80000000 10 2C 013 80000000 00000000 11 = 80000000 10 2C 014 80000001 00000000 00 = 80000001 00 2C 015 80000001 00000000 01 = 80000001 00 2C 016 80000001 00000000 10 = 80000001 00 2C 017 80000001 00000000 11 = 80000001 00 2C 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 2C 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 2C 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 2C 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 2C 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 2C 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 2C 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 2C 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 2C 020 00000000 00000001 00 = 00000001 10 2C 021 00000000 00000001 01 = 00000001 10 2C 022 00000000 00000001 10 = 00000000 01 2C 023 00000000 00000001 11 = 00000000 01 2C 024 00000001 00000001 00 = 00000001 10 2C 025 00000001 00000001 01 = 00000001 10 2C 026 00000001 00000001 10 = 00000000 01 2C 027 00000001 00000001 11 = 00000000 01 2C 028 00000002 00000001 00 = 00000003 00 2C 029 00000002 00000001 01 = 00000003 00 2C 02A 00000002 00000001 10 = 00000002 10 2C 02B 00000002 00000001 11 = 00000002 10 2C 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 2C 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 2C 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 2C 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 2C 030 80000000 00000001 00 = 80000001 00 2C 031 80000000 00000001 01 = 80000001 00 2C 032 80000000 00000001 10 = 80000000 10 2C 033 80000000 00000001 11 = 80000000 10 2C 034 80000001 00000001 00 = 80000001 00 2C 035 80000001 00000001 01 = 80000001 00 2C 036 80000001 00000001 10 = 80000000 10 2C 037 80000001 00000001 11 = 80000000 10 2C 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 2C 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 2C 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 2C 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 2C 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 2C 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 2C 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 2C 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 2C 040 00000000 00000002 00 = 00000002 10 2C 041 00000000 00000002 01 = 00000002 10 2C 042 00000000 00000002 10 = 00000000 01 2C 043 00000000 00000002 11 = 00000000 01 2C 044 00000001 00000002 00 = 00000003 00 2C 045 00000001 00000002 01 = 00000003 00 2C 046 00000001 00000002 10 = 00000001 10 2C 047 00000001 00000002 11 = 00000001 10 2C 048 00000002 00000002 00 = 00000002 10 2C 049 00000002 00000002 01 = 00000002 10 2C 04A 00000002 00000002 10 = 00000000 01 2C 04B 00000002 00000002 11 = 00000000 01 2C 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 2C 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 2C 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 2C 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 2C 050 80000000 00000002 00 = 80000002 00 2C 051 80000000 00000002 01 = 80000002 00 2C 052 80000000 00000002 10 = 80000000 10 2C 053 80000000 00000002 11 = 80000000 10 2C 054 80000001 00000002 00 = 80000003 10 2C 055 80000001 00000002 01 = 80000003 10 2C 056 80000001 00000002 10 = 80000001 00 2C 057 80000001 00000002 11 = 80000001 00 2C 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 2C 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 2C 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 2C 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 2C 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 2C 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 2C 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 2C 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 2C 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 2C 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 2C 062 00000000 7FFFFFFF 10 = 00000000 01 2C 063 00000000 7FFFFFFF 11 = 00000000 01 2C 064 00000001 7FFFFFFF 00 = 7FFFFFFF 10 2C 065 00000001 7FFFFFFF 01 = 7FFFFFFF 10 2C 066 00000001 7FFFFFFF 10 = 00000000 01 2C 067 00000001 7FFFFFFF 11 = 00000000 01 2C 068 00000002 7FFFFFFF 00 = 7FFFFFFF 10 2C 069 00000002 7FFFFFFF 01 = 7FFFFFFF 10 2C 06A 00000002 7FFFFFFF 10 = 00000000 01 2C 06B 00000002 7FFFFFFF 11 = 00000000 01 2C 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 2C 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 2C 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 2C 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 2C 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 2C 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 2C 072 80000000 7FFFFFFF 10 = 80000000 10 2C 073 80000000 7FFFFFFF 11 = 80000000 10 2C 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 2C 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 2C 076 80000001 7FFFFFFF 10 = 80000000 10 2C 077 80000001 7FFFFFFF 11 = 80000000 10 2C 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 2C 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 00 2C 07A FFFFFFFE 7FFFFFFF 10 = 80000000 10 2C 07B FFFFFFFE 7FFFFFFF 11 = 80000000 10 2C 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 2C 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 2C 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 2C 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 2C 080 00000000 80000000 00 = 80000000 10 2C 081 00000000 80000000 01 = 80000000 10 2C 082 00000000 80000000 10 = 00000000 01 2C 083 00000000 80000000 11 = 00000000 01 2C 084 00000001 80000000 00 = 80000001 00 2C 085 00000001 80000000 01 = 80000001 00 2C 086 00000001 80000000 10 = 00000001 10 2C 087 00000001 80000000 11 = 00000001 10 2C 088 00000002 80000000 00 = 80000002 00 2C 089 00000002 80000000 01 = 80000002 00 2C 08A 00000002 80000000 10 = 00000002 10 2C 08B 00000002 80000000 11 = 00000002 10 2C 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 2C 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 2C 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 2C 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 2C 090 80000000 80000000 00 = 80000000 10 2C 091 80000000 80000000 01 = 80000000 10 2C 092 80000000 80000000 10 = 00000000 01 2C 093 80000000 80000000 11 = 00000000 01 2C 094 80000001 80000000 00 = 80000001 00 2C 095 80000001 80000000 01 = 80000001 00 2C 096 80000001 80000000 10 = 00000001 10 2C 097 80000001 80000000 11 = 00000001 10 2C 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 2C 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 2C 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 2C 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 2C 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 2C 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 2C 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 2C 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 2C 0A0 00000000 80000001 00 = 80000001 00 2C 0A1 00000000 80000001 01 = 80000001 00 2C 0A2 00000000 80000001 10 = 00000000 01 2C 0A3 00000000 80000001 11 = 00000000 01 2C 0A4 00000001 80000001 00 = 80000001 00 2C 0A5 00000001 80000001 01 = 80000001 00 2C 0A6 00000001 80000001 10 = 00000000 01 2C 0A7 00000001 80000001 11 = 00000000 01 2C 0A8 00000002 80000001 00 = 80000003 10 2C 0A9 00000002 80000001 01 = 80000003 10 2C 0AA 00000002 80000001 10 = 00000002 10 2C 0AB 00000002 80000001 11 = 00000002 10 2C 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 2C 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 2C 0AE 7FFFFFFF 80000001 10 = 7FFFFFFE 00 2C 0AF 7FFFFFFF 80000001 11 = 7FFFFFFE 00 2C 0B0 80000000 80000001 00 = 80000001 00 2C 0B1 80000000 80000001 01 = 80000001 00 2C 0B2 80000000 80000001 10 = 00000000 01 2C 0B3 80000000 80000001 11 = 00000000 01 2C 0B4 80000001 80000001 00 = 80000001 00 2C 0B5 80000001 80000001 01 = 80000001 00 2C 0B6 80000001 80000001 10 = 00000000 01 2C 0B7 80000001 80000001 11 = 00000000 01 2C 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 2C 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 00 2C 0BA FFFFFFFE 80000001 10 = 7FFFFFFE 00 2C 0BB FFFFFFFE 80000001 11 = 7FFFFFFE 00 2C 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 2C 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 2C 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 2C 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 2C 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 2C 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 2C 0C2 00000000 FFFFFFFE 10 = 00000000 01 2C 0C3 00000000 FFFFFFFE 11 = 00000000 01 2C 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 2C 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 2C 0C6 00000001 FFFFFFFE 10 = 00000001 10 2C 0C7 00000001 FFFFFFFE 11 = 00000001 10 2C 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 2C 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 2C 0CA 00000002 FFFFFFFE 10 = 00000000 01 2C 0CB 00000002 FFFFFFFE 11 = 00000000 01 2C 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 2C 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 00 2C 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 10 2C 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 10 2C 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 2C 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 2C 0D2 80000000 FFFFFFFE 10 = 00000000 01 2C 0D3 80000000 FFFFFFFE 11 = 00000000 01 2C 0D4 80000001 FFFFFFFE 00 = FFFFFFFF 00 2C 0D5 80000001 FFFFFFFE 01 = FFFFFFFF 00 2C 0D6 80000001 FFFFFFFE 10 = 00000001 10 2C 0D7 80000001 FFFFFFFE 11 = 00000001 10 2C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 2C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 2C 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 2C 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 2C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 2C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 2C 0DE FFFFFFFF FFFFFFFE 10 = 00000001 10 2C 0DF FFFFFFFF FFFFFFFE 11 = 00000001 10 2C 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 2C 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 2C 0E2 00000000 FFFFFFFF 10 = 00000000 01 2C 0E3 00000000 FFFFFFFF 11 = 00000000 01 2C 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 2C 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 2C 0E6 00000001 FFFFFFFF 10 = 00000000 01 2C 0E7 00000001 FFFFFFFF 11 = 00000000 01 2C 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 00 2C 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 00 2C 0EA 00000002 FFFFFFFF 10 = 00000000 01 2C 0EB 00000002 FFFFFFFF 11 = 00000000 01 2C 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 2C 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 2C 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 01 2C 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 01 2C 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 2C 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 00 2C 0F2 80000000 FFFFFFFF 10 = 00000000 01 2C 0F3 80000000 FFFFFFFF 11 = 00000000 01 2C 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 2C 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 2C 0F6 80000001 FFFFFFFF 10 = 00000000 01 2C 0F7 80000001 FFFFFFFF 11 = 00000000 01 2C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 2C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 2C 0FA FFFFFFFE FFFFFFFF 10 = 00000000 01 2C 0FB FFFFFFFE FFFFFFFF 11 = 00000000 01 2C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 2C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 2C 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 2C 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 muxz ---D---- ---S---- CZ = ---Q---- CZ 2D 000 00000000 00000000 00 = 00000000 01 2D 001 00000000 00000000 01 = 00000000 01 2D 002 00000000 00000000 10 = 00000000 01 2D 003 00000000 00000000 11 = 00000000 01 2D 004 00000001 00000000 00 = 00000001 10 2D 005 00000001 00000000 01 = 00000001 10 2D 006 00000001 00000000 10 = 00000001 10 2D 007 00000001 00000000 11 = 00000001 10 2D 008 00000002 00000000 00 = 00000002 10 2D 009 00000002 00000000 01 = 00000002 10 2D 00A 00000002 00000000 10 = 00000002 10 2D 00B 00000002 00000000 11 = 00000002 10 2D 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 2D 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 2D 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 2D 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 2D 010 80000000 00000000 00 = 80000000 10 2D 011 80000000 00000000 01 = 80000000 10 2D 012 80000000 00000000 10 = 80000000 10 2D 013 80000000 00000000 11 = 80000000 10 2D 014 80000001 00000000 00 = 80000001 00 2D 015 80000001 00000000 01 = 80000001 00 2D 016 80000001 00000000 10 = 80000001 00 2D 017 80000001 00000000 11 = 80000001 00 2D 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 2D 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 2D 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 2D 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 2D 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 2D 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 2D 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 2D 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 2D 020 00000000 00000001 00 = 00000000 01 2D 021 00000000 00000001 01 = 00000001 10 2D 022 00000000 00000001 10 = 00000000 01 2D 023 00000000 00000001 11 = 00000001 10 2D 024 00000001 00000001 00 = 00000000 01 2D 025 00000001 00000001 01 = 00000001 10 2D 026 00000001 00000001 10 = 00000000 01 2D 027 00000001 00000001 11 = 00000001 10 2D 028 00000002 00000001 00 = 00000002 10 2D 029 00000002 00000001 01 = 00000003 00 2D 02A 00000002 00000001 10 = 00000002 10 2D 02B 00000002 00000001 11 = 00000003 00 2D 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 2D 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 2D 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 2D 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 2D 030 80000000 00000001 00 = 80000000 10 2D 031 80000000 00000001 01 = 80000001 00 2D 032 80000000 00000001 10 = 80000000 10 2D 033 80000000 00000001 11 = 80000001 00 2D 034 80000001 00000001 00 = 80000000 10 2D 035 80000001 00000001 01 = 80000001 00 2D 036 80000001 00000001 10 = 80000000 10 2D 037 80000001 00000001 11 = 80000001 00 2D 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 2D 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 2D 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 2D 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 2D 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 2D 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 2D 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 2D 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 2D 040 00000000 00000002 00 = 00000000 01 2D 041 00000000 00000002 01 = 00000002 10 2D 042 00000000 00000002 10 = 00000000 01 2D 043 00000000 00000002 11 = 00000002 10 2D 044 00000001 00000002 00 = 00000001 10 2D 045 00000001 00000002 01 = 00000003 00 2D 046 00000001 00000002 10 = 00000001 10 2D 047 00000001 00000002 11 = 00000003 00 2D 048 00000002 00000002 00 = 00000000 01 2D 049 00000002 00000002 01 = 00000002 10 2D 04A 00000002 00000002 10 = 00000000 01 2D 04B 00000002 00000002 11 = 00000002 10 2D 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 2D 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 2D 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 2D 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 2D 050 80000000 00000002 00 = 80000000 10 2D 051 80000000 00000002 01 = 80000002 00 2D 052 80000000 00000002 10 = 80000000 10 2D 053 80000000 00000002 11 = 80000002 00 2D 054 80000001 00000002 00 = 80000001 00 2D 055 80000001 00000002 01 = 80000003 10 2D 056 80000001 00000002 10 = 80000001 00 2D 057 80000001 00000002 11 = 80000003 10 2D 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 2D 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 2D 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 2D 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 2D 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 2D 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 2D 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 2D 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 2D 060 00000000 7FFFFFFF 00 = 00000000 01 2D 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 2D 062 00000000 7FFFFFFF 10 = 00000000 01 2D 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 2D 064 00000001 7FFFFFFF 00 = 00000000 01 2D 065 00000001 7FFFFFFF 01 = 7FFFFFFF 10 2D 066 00000001 7FFFFFFF 10 = 00000000 01 2D 067 00000001 7FFFFFFF 11 = 7FFFFFFF 10 2D 068 00000002 7FFFFFFF 00 = 00000000 01 2D 069 00000002 7FFFFFFF 01 = 7FFFFFFF 10 2D 06A 00000002 7FFFFFFF 10 = 00000000 01 2D 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 2D 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 2D 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 2D 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 2D 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 2D 070 80000000 7FFFFFFF 00 = 80000000 10 2D 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 2D 072 80000000 7FFFFFFF 10 = 80000000 10 2D 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 2D 074 80000001 7FFFFFFF 00 = 80000000 10 2D 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 2D 076 80000001 7FFFFFFF 10 = 80000000 10 2D 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 2D 078 FFFFFFFE 7FFFFFFF 00 = 80000000 10 2D 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 00 2D 07A FFFFFFFE 7FFFFFFF 10 = 80000000 10 2D 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 00 2D 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 2D 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 2D 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 2D 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 2D 080 00000000 80000000 00 = 00000000 01 2D 081 00000000 80000000 01 = 80000000 10 2D 082 00000000 80000000 10 = 00000000 01 2D 083 00000000 80000000 11 = 80000000 10 2D 084 00000001 80000000 00 = 00000001 10 2D 085 00000001 80000000 01 = 80000001 00 2D 086 00000001 80000000 10 = 00000001 10 2D 087 00000001 80000000 11 = 80000001 00 2D 088 00000002 80000000 00 = 00000002 10 2D 089 00000002 80000000 01 = 80000002 00 2D 08A 00000002 80000000 10 = 00000002 10 2D 08B 00000002 80000000 11 = 80000002 00 2D 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 2D 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 2D 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 2D 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 2D 090 80000000 80000000 00 = 00000000 01 2D 091 80000000 80000000 01 = 80000000 10 2D 092 80000000 80000000 10 = 00000000 01 2D 093 80000000 80000000 11 = 80000000 10 2D 094 80000001 80000000 00 = 00000001 10 2D 095 80000001 80000000 01 = 80000001 00 2D 096 80000001 80000000 10 = 00000001 10 2D 097 80000001 80000000 11 = 80000001 00 2D 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 2D 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 2D 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 2D 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 2D 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 2D 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 2D 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 2D 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 2D 0A0 00000000 80000001 00 = 00000000 01 2D 0A1 00000000 80000001 01 = 80000001 00 2D 0A2 00000000 80000001 10 = 00000000 01 2D 0A3 00000000 80000001 11 = 80000001 00 2D 0A4 00000001 80000001 00 = 00000000 01 2D 0A5 00000001 80000001 01 = 80000001 00 2D 0A6 00000001 80000001 10 = 00000000 01 2D 0A7 00000001 80000001 11 = 80000001 00 2D 0A8 00000002 80000001 00 = 00000002 10 2D 0A9 00000002 80000001 01 = 80000003 10 2D 0AA 00000002 80000001 10 = 00000002 10 2D 0AB 00000002 80000001 11 = 80000003 10 2D 0AC 7FFFFFFF 80000001 00 = 7FFFFFFE 00 2D 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 2D 0AE 7FFFFFFF 80000001 10 = 7FFFFFFE 00 2D 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 00 2D 0B0 80000000 80000001 00 = 00000000 01 2D 0B1 80000000 80000001 01 = 80000001 00 2D 0B2 80000000 80000001 10 = 00000000 01 2D 0B3 80000000 80000001 11 = 80000001 00 2D 0B4 80000001 80000001 00 = 00000000 01 2D 0B5 80000001 80000001 01 = 80000001 00 2D 0B6 80000001 80000001 10 = 00000000 01 2D 0B7 80000001 80000001 11 = 80000001 00 2D 0B8 FFFFFFFE 80000001 00 = 7FFFFFFE 00 2D 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 00 2D 0BA FFFFFFFE 80000001 10 = 7FFFFFFE 00 2D 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 2D 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 2D 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 2D 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 2D 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 2D 0C0 00000000 FFFFFFFE 00 = 00000000 01 2D 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 2D 0C2 00000000 FFFFFFFE 10 = 00000000 01 2D 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 2D 0C4 00000001 FFFFFFFE 00 = 00000001 10 2D 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 2D 0C6 00000001 FFFFFFFE 10 = 00000001 10 2D 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 2D 0C8 00000002 FFFFFFFE 00 = 00000000 01 2D 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 2D 0CA 00000002 FFFFFFFE 10 = 00000000 01 2D 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 2D 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 2D 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 00 2D 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 10 2D 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 00 2D 0D0 80000000 FFFFFFFE 00 = 00000000 01 2D 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 2D 0D2 80000000 FFFFFFFE 10 = 00000000 01 2D 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 2D 0D4 80000001 FFFFFFFE 00 = 00000001 10 2D 0D5 80000001 FFFFFFFE 01 = FFFFFFFF 00 2D 0D6 80000001 FFFFFFFE 10 = 00000001 10 2D 0D7 80000001 FFFFFFFE 11 = FFFFFFFF 00 2D 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 2D 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 2D 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 2D 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 2D 0DC FFFFFFFF FFFFFFFE 00 = 00000001 10 2D 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 2D 0DE FFFFFFFF FFFFFFFE 10 = 00000001 10 2D 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 2D 0E0 00000000 FFFFFFFF 00 = 00000000 01 2D 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 2D 0E2 00000000 FFFFFFFF 10 = 00000000 01 2D 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 2D 0E4 00000001 FFFFFFFF 00 = 00000000 01 2D 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 2D 0E6 00000001 FFFFFFFF 10 = 00000000 01 2D 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 00 2D 0E8 00000002 FFFFFFFF 00 = 00000000 01 2D 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 00 2D 0EA 00000002 FFFFFFFF 10 = 00000000 01 2D 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 00 2D 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 01 2D 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 2D 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 01 2D 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 2D 0F0 80000000 FFFFFFFF 00 = 00000000 01 2D 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 00 2D 0F2 80000000 FFFFFFFF 10 = 00000000 01 2D 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 00 2D 0F4 80000001 FFFFFFFF 00 = 00000000 01 2D 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 2D 0F6 80000001 FFFFFFFF 10 = 00000000 01 2D 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 2D 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 01 2D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 2D 0FA FFFFFFFE FFFFFFFF 10 = 00000000 01 2D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 2D 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 2D 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 2D 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 2D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 muxnz ---D---- ---S---- CZ = ---Q---- CZ 2E 000 00000000 00000000 00 = 00000000 01 2E 001 00000000 00000000 01 = 00000000 01 2E 002 00000000 00000000 10 = 00000000 01 2E 003 00000000 00000000 11 = 00000000 01 2E 004 00000001 00000000 00 = 00000001 10 2E 005 00000001 00000000 01 = 00000001 10 2E 006 00000001 00000000 10 = 00000001 10 2E 007 00000001 00000000 11 = 00000001 10 2E 008 00000002 00000000 00 = 00000002 10 2E 009 00000002 00000000 01 = 00000002 10 2E 00A 00000002 00000000 10 = 00000002 10 2E 00B 00000002 00000000 11 = 00000002 10 2E 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 2E 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 2E 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 2E 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 2E 010 80000000 00000000 00 = 80000000 10 2E 011 80000000 00000000 01 = 80000000 10 2E 012 80000000 00000000 10 = 80000000 10 2E 013 80000000 00000000 11 = 80000000 10 2E 014 80000001 00000000 00 = 80000001 00 2E 015 80000001 00000000 01 = 80000001 00 2E 016 80000001 00000000 10 = 80000001 00 2E 017 80000001 00000000 11 = 80000001 00 2E 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 2E 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 2E 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 2E 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 2E 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 2E 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 2E 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 2E 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 2E 020 00000000 00000001 00 = 00000001 10 2E 021 00000000 00000001 01 = 00000000 01 2E 022 00000000 00000001 10 = 00000001 10 2E 023 00000000 00000001 11 = 00000000 01 2E 024 00000001 00000001 00 = 00000001 10 2E 025 00000001 00000001 01 = 00000000 01 2E 026 00000001 00000001 10 = 00000001 10 2E 027 00000001 00000001 11 = 00000000 01 2E 028 00000002 00000001 00 = 00000003 00 2E 029 00000002 00000001 01 = 00000002 10 2E 02A 00000002 00000001 10 = 00000003 00 2E 02B 00000002 00000001 11 = 00000002 10 2E 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 2E 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 2E 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 2E 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 2E 030 80000000 00000001 00 = 80000001 00 2E 031 80000000 00000001 01 = 80000000 10 2E 032 80000000 00000001 10 = 80000001 00 2E 033 80000000 00000001 11 = 80000000 10 2E 034 80000001 00000001 00 = 80000001 00 2E 035 80000001 00000001 01 = 80000000 10 2E 036 80000001 00000001 10 = 80000001 00 2E 037 80000001 00000001 11 = 80000000 10 2E 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 2E 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 2E 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 2E 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 2E 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 2E 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 2E 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 2E 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 2E 040 00000000 00000002 00 = 00000002 10 2E 041 00000000 00000002 01 = 00000000 01 2E 042 00000000 00000002 10 = 00000002 10 2E 043 00000000 00000002 11 = 00000000 01 2E 044 00000001 00000002 00 = 00000003 00 2E 045 00000001 00000002 01 = 00000001 10 2E 046 00000001 00000002 10 = 00000003 00 2E 047 00000001 00000002 11 = 00000001 10 2E 048 00000002 00000002 00 = 00000002 10 2E 049 00000002 00000002 01 = 00000000 01 2E 04A 00000002 00000002 10 = 00000002 10 2E 04B 00000002 00000002 11 = 00000000 01 2E 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 2E 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 2E 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 2E 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 2E 050 80000000 00000002 00 = 80000002 00 2E 051 80000000 00000002 01 = 80000000 10 2E 052 80000000 00000002 10 = 80000002 00 2E 053 80000000 00000002 11 = 80000000 10 2E 054 80000001 00000002 00 = 80000003 10 2E 055 80000001 00000002 01 = 80000001 00 2E 056 80000001 00000002 10 = 80000003 10 2E 057 80000001 00000002 11 = 80000001 00 2E 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 2E 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 2E 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 2E 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 2E 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 2E 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 2E 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 2E 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 2E 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 2E 061 00000000 7FFFFFFF 01 = 00000000 01 2E 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 2E 063 00000000 7FFFFFFF 11 = 00000000 01 2E 064 00000001 7FFFFFFF 00 = 7FFFFFFF 10 2E 065 00000001 7FFFFFFF 01 = 00000000 01 2E 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 2E 067 00000001 7FFFFFFF 11 = 00000000 01 2E 068 00000002 7FFFFFFF 00 = 7FFFFFFF 10 2E 069 00000002 7FFFFFFF 01 = 00000000 01 2E 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 2E 06B 00000002 7FFFFFFF 11 = 00000000 01 2E 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 2E 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 2E 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 2E 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 2E 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 2E 071 80000000 7FFFFFFF 01 = 80000000 10 2E 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 2E 073 80000000 7FFFFFFF 11 = 80000000 10 2E 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 2E 075 80000001 7FFFFFFF 01 = 80000000 10 2E 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 2E 077 80000001 7FFFFFFF 11 = 80000000 10 2E 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 2E 079 FFFFFFFE 7FFFFFFF 01 = 80000000 10 2E 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 00 2E 07B FFFFFFFE 7FFFFFFF 11 = 80000000 10 2E 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 2E 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 2E 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 2E 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 2E 080 00000000 80000000 00 = 80000000 10 2E 081 00000000 80000000 01 = 00000000 01 2E 082 00000000 80000000 10 = 80000000 10 2E 083 00000000 80000000 11 = 00000000 01 2E 084 00000001 80000000 00 = 80000001 00 2E 085 00000001 80000000 01 = 00000001 10 2E 086 00000001 80000000 10 = 80000001 00 2E 087 00000001 80000000 11 = 00000001 10 2E 088 00000002 80000000 00 = 80000002 00 2E 089 00000002 80000000 01 = 00000002 10 2E 08A 00000002 80000000 10 = 80000002 00 2E 08B 00000002 80000000 11 = 00000002 10 2E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 2E 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 2E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 2E 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 2E 090 80000000 80000000 00 = 80000000 10 2E 091 80000000 80000000 01 = 00000000 01 2E 092 80000000 80000000 10 = 80000000 10 2E 093 80000000 80000000 11 = 00000000 01 2E 094 80000001 80000000 00 = 80000001 00 2E 095 80000001 80000000 01 = 00000001 10 2E 096 80000001 80000000 10 = 80000001 00 2E 097 80000001 80000000 11 = 00000001 10 2E 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 2E 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 2E 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 2E 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 2E 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 2E 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 2E 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 2E 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 2E 0A0 00000000 80000001 00 = 80000001 00 2E 0A1 00000000 80000001 01 = 00000000 01 2E 0A2 00000000 80000001 10 = 80000001 00 2E 0A3 00000000 80000001 11 = 00000000 01 2E 0A4 00000001 80000001 00 = 80000001 00 2E 0A5 00000001 80000001 01 = 00000000 01 2E 0A6 00000001 80000001 10 = 80000001 00 2E 0A7 00000001 80000001 11 = 00000000 01 2E 0A8 00000002 80000001 00 = 80000003 10 2E 0A9 00000002 80000001 01 = 00000002 10 2E 0AA 00000002 80000001 10 = 80000003 10 2E 0AB 00000002 80000001 11 = 00000002 10 2E 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 2E 0AD 7FFFFFFF 80000001 01 = 7FFFFFFE 00 2E 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 00 2E 0AF 7FFFFFFF 80000001 11 = 7FFFFFFE 00 2E 0B0 80000000 80000001 00 = 80000001 00 2E 0B1 80000000 80000001 01 = 00000000 01 2E 0B2 80000000 80000001 10 = 80000001 00 2E 0B3 80000000 80000001 11 = 00000000 01 2E 0B4 80000001 80000001 00 = 80000001 00 2E 0B5 80000001 80000001 01 = 00000000 01 2E 0B6 80000001 80000001 10 = 80000001 00 2E 0B7 80000001 80000001 11 = 00000000 01 2E 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 2E 0B9 FFFFFFFE 80000001 01 = 7FFFFFFE 00 2E 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 2E 0BB FFFFFFFE 80000001 11 = 7FFFFFFE 00 2E 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 2E 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 2E 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 2E 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 2E 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 2E 0C1 00000000 FFFFFFFE 01 = 00000000 01 2E 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 2E 0C3 00000000 FFFFFFFE 11 = 00000000 01 2E 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 2E 0C5 00000001 FFFFFFFE 01 = 00000001 10 2E 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 2E 0C7 00000001 FFFFFFFE 11 = 00000001 10 2E 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 2E 0C9 00000002 FFFFFFFE 01 = 00000000 01 2E 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 2E 0CB 00000002 FFFFFFFE 11 = 00000000 01 2E 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 2E 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 2E 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 00 2E 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 10 2E 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 2E 0D1 80000000 FFFFFFFE 01 = 00000000 01 2E 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 2E 0D3 80000000 FFFFFFFE 11 = 00000000 01 2E 0D4 80000001 FFFFFFFE 00 = FFFFFFFF 00 2E 0D5 80000001 FFFFFFFE 01 = 00000001 10 2E 0D6 80000001 FFFFFFFE 10 = FFFFFFFF 00 2E 0D7 80000001 FFFFFFFE 11 = 00000001 10 2E 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 2E 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 2E 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 2E 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 2E 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 2E 0DD FFFFFFFF FFFFFFFE 01 = 00000001 10 2E 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 2E 0DF FFFFFFFF FFFFFFFE 11 = 00000001 10 2E 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 2E 0E1 00000000 FFFFFFFF 01 = 00000000 01 2E 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 2E 0E3 00000000 FFFFFFFF 11 = 00000000 01 2E 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 2E 0E5 00000001 FFFFFFFF 01 = 00000000 01 2E 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 00 2E 0E7 00000001 FFFFFFFF 11 = 00000000 01 2E 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 00 2E 0E9 00000002 FFFFFFFF 01 = 00000000 01 2E 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 00 2E 0EB 00000002 FFFFFFFF 11 = 00000000 01 2E 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 2E 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 01 2E 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 2E 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 01 2E 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 2E 0F1 80000000 FFFFFFFF 01 = 00000000 01 2E 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 00 2E 0F3 80000000 FFFFFFFF 11 = 00000000 01 2E 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 2E 0F5 80000001 FFFFFFFF 01 = 00000000 01 2E 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 2E 0F7 80000001 FFFFFFFF 11 = 00000000 01 2E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 2E 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 01 2E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 2E 0FB FFFFFFFE FFFFFFFF 11 = 00000000 01 2E 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 2E 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 2E 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 2E 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 mov ---D---- ---S---- CZ = ---Q---- CZ 2F 000 00000000 00000000 00 = 00000000 01 2F 001 00000000 00000000 01 = 00000000 01 2F 002 00000000 00000000 10 = 00000000 01 2F 003 00000000 00000000 11 = 00000000 01 2F 004 00000001 00000000 00 = 00000000 01 2F 005 00000001 00000000 01 = 00000000 01 2F 006 00000001 00000000 10 = 00000000 01 2F 007 00000001 00000000 11 = 00000000 01 2F 008 00000002 00000000 00 = 00000000 01 2F 009 00000002 00000000 01 = 00000000 01 2F 00A 00000002 00000000 10 = 00000000 01 2F 00B 00000002 00000000 11 = 00000000 01 2F 00C 7FFFFFFF 00000000 00 = 00000000 01 2F 00D 7FFFFFFF 00000000 01 = 00000000 01 2F 00E 7FFFFFFF 00000000 10 = 00000000 01 2F 00F 7FFFFFFF 00000000 11 = 00000000 01 2F 010 80000000 00000000 00 = 00000000 01 2F 011 80000000 00000000 01 = 00000000 01 2F 012 80000000 00000000 10 = 00000000 01 2F 013 80000000 00000000 11 = 00000000 01 2F 014 80000001 00000000 00 = 00000000 01 2F 015 80000001 00000000 01 = 00000000 01 2F 016 80000001 00000000 10 = 00000000 01 2F 017 80000001 00000000 11 = 00000000 01 2F 018 FFFFFFFE 00000000 00 = 00000000 01 2F 019 FFFFFFFE 00000000 01 = 00000000 01 2F 01A FFFFFFFE 00000000 10 = 00000000 01 2F 01B FFFFFFFE 00000000 11 = 00000000 01 2F 01C FFFFFFFF 00000000 00 = 00000000 01 2F 01D FFFFFFFF 00000000 01 = 00000000 01 2F 01E FFFFFFFF 00000000 10 = 00000000 01 2F 01F FFFFFFFF 00000000 11 = 00000000 01 2F 020 00000000 00000001 00 = 00000001 00 2F 021 00000000 00000001 01 = 00000001 00 2F 022 00000000 00000001 10 = 00000001 00 2F 023 00000000 00000001 11 = 00000001 00 2F 024 00000001 00000001 00 = 00000001 00 2F 025 00000001 00000001 01 = 00000001 00 2F 026 00000001 00000001 10 = 00000001 00 2F 027 00000001 00000001 11 = 00000001 00 2F 028 00000002 00000001 00 = 00000001 00 2F 029 00000002 00000001 01 = 00000001 00 2F 02A 00000002 00000001 10 = 00000001 00 2F 02B 00000002 00000001 11 = 00000001 00 2F 02C 7FFFFFFF 00000001 00 = 00000001 00 2F 02D 7FFFFFFF 00000001 01 = 00000001 00 2F 02E 7FFFFFFF 00000001 10 = 00000001 00 2F 02F 7FFFFFFF 00000001 11 = 00000001 00 2F 030 80000000 00000001 00 = 00000001 00 2F 031 80000000 00000001 01 = 00000001 00 2F 032 80000000 00000001 10 = 00000001 00 2F 033 80000000 00000001 11 = 00000001 00 2F 034 80000001 00000001 00 = 00000001 00 2F 035 80000001 00000001 01 = 00000001 00 2F 036 80000001 00000001 10 = 00000001 00 2F 037 80000001 00000001 11 = 00000001 00 2F 038 FFFFFFFE 00000001 00 = 00000001 00 2F 039 FFFFFFFE 00000001 01 = 00000001 00 2F 03A FFFFFFFE 00000001 10 = 00000001 00 2F 03B FFFFFFFE 00000001 11 = 00000001 00 2F 03C FFFFFFFF 00000001 00 = 00000001 00 2F 03D FFFFFFFF 00000001 01 = 00000001 00 2F 03E FFFFFFFF 00000001 10 = 00000001 00 2F 03F FFFFFFFF 00000001 11 = 00000001 00 2F 040 00000000 00000002 00 = 00000002 00 2F 041 00000000 00000002 01 = 00000002 00 2F 042 00000000 00000002 10 = 00000002 00 2F 043 00000000 00000002 11 = 00000002 00 2F 044 00000001 00000002 00 = 00000002 00 2F 045 00000001 00000002 01 = 00000002 00 2F 046 00000001 00000002 10 = 00000002 00 2F 047 00000001 00000002 11 = 00000002 00 2F 048 00000002 00000002 00 = 00000002 00 2F 049 00000002 00000002 01 = 00000002 00 2F 04A 00000002 00000002 10 = 00000002 00 2F 04B 00000002 00000002 11 = 00000002 00 2F 04C 7FFFFFFF 00000002 00 = 00000002 00 2F 04D 7FFFFFFF 00000002 01 = 00000002 00 2F 04E 7FFFFFFF 00000002 10 = 00000002 00 2F 04F 7FFFFFFF 00000002 11 = 00000002 00 2F 050 80000000 00000002 00 = 00000002 00 2F 051 80000000 00000002 01 = 00000002 00 2F 052 80000000 00000002 10 = 00000002 00 2F 053 80000000 00000002 11 = 00000002 00 2F 054 80000001 00000002 00 = 00000002 00 2F 055 80000001 00000002 01 = 00000002 00 2F 056 80000001 00000002 10 = 00000002 00 2F 057 80000001 00000002 11 = 00000002 00 2F 058 FFFFFFFE 00000002 00 = 00000002 00 2F 059 FFFFFFFE 00000002 01 = 00000002 00 2F 05A FFFFFFFE 00000002 10 = 00000002 00 2F 05B FFFFFFFE 00000002 11 = 00000002 00 2F 05C FFFFFFFF 00000002 00 = 00000002 00 2F 05D FFFFFFFF 00000002 01 = 00000002 00 2F 05E FFFFFFFF 00000002 10 = 00000002 00 2F 05F FFFFFFFF 00000002 11 = 00000002 00 2F 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 2F 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 2F 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 2F 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 2F 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 2F 065 00000001 7FFFFFFF 01 = 7FFFFFFF 00 2F 066 00000001 7FFFFFFF 10 = 7FFFFFFF 00 2F 067 00000001 7FFFFFFF 11 = 7FFFFFFF 00 2F 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 2F 069 00000002 7FFFFFFF 01 = 7FFFFFFF 00 2F 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 00 2F 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 00 2F 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 2F 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 2F 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 2F 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 2F 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 2F 071 80000000 7FFFFFFF 01 = 7FFFFFFF 00 2F 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 2F 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 2F 074 80000001 7FFFFFFF 00 = 7FFFFFFF 00 2F 075 80000001 7FFFFFFF 01 = 7FFFFFFF 00 2F 076 80000001 7FFFFFFF 10 = 7FFFFFFF 00 2F 077 80000001 7FFFFFFF 11 = 7FFFFFFF 00 2F 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 2F 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 2F 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 00 2F 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 00 2F 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 2F 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 2F 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 2F 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 2F 080 00000000 80000000 00 = 80000000 10 2F 081 00000000 80000000 01 = 80000000 10 2F 082 00000000 80000000 10 = 80000000 10 2F 083 00000000 80000000 11 = 80000000 10 2F 084 00000001 80000000 00 = 80000000 10 2F 085 00000001 80000000 01 = 80000000 10 2F 086 00000001 80000000 10 = 80000000 10 2F 087 00000001 80000000 11 = 80000000 10 2F 088 00000002 80000000 00 = 80000000 10 2F 089 00000002 80000000 01 = 80000000 10 2F 08A 00000002 80000000 10 = 80000000 10 2F 08B 00000002 80000000 11 = 80000000 10 2F 08C 7FFFFFFF 80000000 00 = 80000000 10 2F 08D 7FFFFFFF 80000000 01 = 80000000 10 2F 08E 7FFFFFFF 80000000 10 = 80000000 10 2F 08F 7FFFFFFF 80000000 11 = 80000000 10 2F 090 80000000 80000000 00 = 80000000 10 2F 091 80000000 80000000 01 = 80000000 10 2F 092 80000000 80000000 10 = 80000000 10 2F 093 80000000 80000000 11 = 80000000 10 2F 094 80000001 80000000 00 = 80000000 10 2F 095 80000001 80000000 01 = 80000000 10 2F 096 80000001 80000000 10 = 80000000 10 2F 097 80000001 80000000 11 = 80000000 10 2F 098 FFFFFFFE 80000000 00 = 80000000 10 2F 099 FFFFFFFE 80000000 01 = 80000000 10 2F 09A FFFFFFFE 80000000 10 = 80000000 10 2F 09B FFFFFFFE 80000000 11 = 80000000 10 2F 09C FFFFFFFF 80000000 00 = 80000000 10 2F 09D FFFFFFFF 80000000 01 = 80000000 10 2F 09E FFFFFFFF 80000000 10 = 80000000 10 2F 09F FFFFFFFF 80000000 11 = 80000000 10 2F 0A0 00000000 80000001 00 = 80000001 10 2F 0A1 00000000 80000001 01 = 80000001 10 2F 0A2 00000000 80000001 10 = 80000001 10 2F 0A3 00000000 80000001 11 = 80000001 10 2F 0A4 00000001 80000001 00 = 80000001 10 2F 0A5 00000001 80000001 01 = 80000001 10 2F 0A6 00000001 80000001 10 = 80000001 10 2F 0A7 00000001 80000001 11 = 80000001 10 2F 0A8 00000002 80000001 00 = 80000001 10 2F 0A9 00000002 80000001 01 = 80000001 10 2F 0AA 00000002 80000001 10 = 80000001 10 2F 0AB 00000002 80000001 11 = 80000001 10 2F 0AC 7FFFFFFF 80000001 00 = 80000001 10 2F 0AD 7FFFFFFF 80000001 01 = 80000001 10 2F 0AE 7FFFFFFF 80000001 10 = 80000001 10 2F 0AF 7FFFFFFF 80000001 11 = 80000001 10 2F 0B0 80000000 80000001 00 = 80000001 10 2F 0B1 80000000 80000001 01 = 80000001 10 2F 0B2 80000000 80000001 10 = 80000001 10 2F 0B3 80000000 80000001 11 = 80000001 10 2F 0B4 80000001 80000001 00 = 80000001 10 2F 0B5 80000001 80000001 01 = 80000001 10 2F 0B6 80000001 80000001 10 = 80000001 10 2F 0B7 80000001 80000001 11 = 80000001 10 2F 0B8 FFFFFFFE 80000001 00 = 80000001 10 2F 0B9 FFFFFFFE 80000001 01 = 80000001 10 2F 0BA FFFFFFFE 80000001 10 = 80000001 10 2F 0BB FFFFFFFE 80000001 11 = 80000001 10 2F 0BC FFFFFFFF 80000001 00 = 80000001 10 2F 0BD FFFFFFFF 80000001 01 = 80000001 10 2F 0BE FFFFFFFF 80000001 10 = 80000001 10 2F 0BF FFFFFFFF 80000001 11 = 80000001 10 2F 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 2F 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 2F 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 2F 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 2F 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 10 2F 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 10 2F 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 2F 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 2F 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 2F 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 2F 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 2F 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 2F 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 10 2F 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 10 2F 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 2F 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 10 2F 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 2F 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 2F 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 2F 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 2F 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 10 2F 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 10 2F 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 2F 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 2F 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 2F 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 2F 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 2F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 2F 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 2F 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 2F 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 2F 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 2F 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 2F 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 2F 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 2F 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 2F 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 10 2F 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 10 2F 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 2F 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 2F 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 10 2F 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 10 2F 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 2F 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 10 2F 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 2F 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 2F 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 2F 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 2F 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 10 2F 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 10 2F 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 2F 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 10 2F 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 10 2F 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 10 2F 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 2F 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 2F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 2F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 2F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 2F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 2F 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 2F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 2F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 2F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 not ---D---- ---S---- CZ = ---Q---- CZ 30 000 00000000 00000000 00 = FFFFFFFF 10 30 001 00000000 00000000 01 = FFFFFFFF 10 30 002 00000000 00000000 10 = FFFFFFFF 10 30 003 00000000 00000000 11 = FFFFFFFF 10 30 004 00000001 00000000 00 = FFFFFFFF 10 30 005 00000001 00000000 01 = FFFFFFFF 10 30 006 00000001 00000000 10 = FFFFFFFF 10 30 007 00000001 00000000 11 = FFFFFFFF 10 30 008 00000002 00000000 00 = FFFFFFFF 10 30 009 00000002 00000000 01 = FFFFFFFF 10 30 00A 00000002 00000000 10 = FFFFFFFF 10 30 00B 00000002 00000000 11 = FFFFFFFF 10 30 00C 7FFFFFFF 00000000 00 = FFFFFFFF 10 30 00D 7FFFFFFF 00000000 01 = FFFFFFFF 10 30 00E 7FFFFFFF 00000000 10 = FFFFFFFF 10 30 00F 7FFFFFFF 00000000 11 = FFFFFFFF 10 30 010 80000000 00000000 00 = FFFFFFFF 10 30 011 80000000 00000000 01 = FFFFFFFF 10 30 012 80000000 00000000 10 = FFFFFFFF 10 30 013 80000000 00000000 11 = FFFFFFFF 10 30 014 80000001 00000000 00 = FFFFFFFF 10 30 015 80000001 00000000 01 = FFFFFFFF 10 30 016 80000001 00000000 10 = FFFFFFFF 10 30 017 80000001 00000000 11 = FFFFFFFF 10 30 018 FFFFFFFE 00000000 00 = FFFFFFFF 10 30 019 FFFFFFFE 00000000 01 = FFFFFFFF 10 30 01A FFFFFFFE 00000000 10 = FFFFFFFF 10 30 01B FFFFFFFE 00000000 11 = FFFFFFFF 10 30 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 30 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 30 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 30 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 30 020 00000000 00000001 00 = FFFFFFFE 10 30 021 00000000 00000001 01 = FFFFFFFE 10 30 022 00000000 00000001 10 = FFFFFFFE 10 30 023 00000000 00000001 11 = FFFFFFFE 10 30 024 00000001 00000001 00 = FFFFFFFE 10 30 025 00000001 00000001 01 = FFFFFFFE 10 30 026 00000001 00000001 10 = FFFFFFFE 10 30 027 00000001 00000001 11 = FFFFFFFE 10 30 028 00000002 00000001 00 = FFFFFFFE 10 30 029 00000002 00000001 01 = FFFFFFFE 10 30 02A 00000002 00000001 10 = FFFFFFFE 10 30 02B 00000002 00000001 11 = FFFFFFFE 10 30 02C 7FFFFFFF 00000001 00 = FFFFFFFE 10 30 02D 7FFFFFFF 00000001 01 = FFFFFFFE 10 30 02E 7FFFFFFF 00000001 10 = FFFFFFFE 10 30 02F 7FFFFFFF 00000001 11 = FFFFFFFE 10 30 030 80000000 00000001 00 = FFFFFFFE 10 30 031 80000000 00000001 01 = FFFFFFFE 10 30 032 80000000 00000001 10 = FFFFFFFE 10 30 033 80000000 00000001 11 = FFFFFFFE 10 30 034 80000001 00000001 00 = FFFFFFFE 10 30 035 80000001 00000001 01 = FFFFFFFE 10 30 036 80000001 00000001 10 = FFFFFFFE 10 30 037 80000001 00000001 11 = FFFFFFFE 10 30 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 30 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 30 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 30 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 30 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 30 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 30 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 30 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 30 040 00000000 00000002 00 = FFFFFFFD 10 30 041 00000000 00000002 01 = FFFFFFFD 10 30 042 00000000 00000002 10 = FFFFFFFD 10 30 043 00000000 00000002 11 = FFFFFFFD 10 30 044 00000001 00000002 00 = FFFFFFFD 10 30 045 00000001 00000002 01 = FFFFFFFD 10 30 046 00000001 00000002 10 = FFFFFFFD 10 30 047 00000001 00000002 11 = FFFFFFFD 10 30 048 00000002 00000002 00 = FFFFFFFD 10 30 049 00000002 00000002 01 = FFFFFFFD 10 30 04A 00000002 00000002 10 = FFFFFFFD 10 30 04B 00000002 00000002 11 = FFFFFFFD 10 30 04C 7FFFFFFF 00000002 00 = FFFFFFFD 10 30 04D 7FFFFFFF 00000002 01 = FFFFFFFD 10 30 04E 7FFFFFFF 00000002 10 = FFFFFFFD 10 30 04F 7FFFFFFF 00000002 11 = FFFFFFFD 10 30 050 80000000 00000002 00 = FFFFFFFD 10 30 051 80000000 00000002 01 = FFFFFFFD 10 30 052 80000000 00000002 10 = FFFFFFFD 10 30 053 80000000 00000002 11 = FFFFFFFD 10 30 054 80000001 00000002 00 = FFFFFFFD 10 30 055 80000001 00000002 01 = FFFFFFFD 10 30 056 80000001 00000002 10 = FFFFFFFD 10 30 057 80000001 00000002 11 = FFFFFFFD 10 30 058 FFFFFFFE 00000002 00 = FFFFFFFD 10 30 059 FFFFFFFE 00000002 01 = FFFFFFFD 10 30 05A FFFFFFFE 00000002 10 = FFFFFFFD 10 30 05B FFFFFFFE 00000002 11 = FFFFFFFD 10 30 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 30 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 30 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 30 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 30 060 00000000 7FFFFFFF 00 = 80000000 10 30 061 00000000 7FFFFFFF 01 = 80000000 10 30 062 00000000 7FFFFFFF 10 = 80000000 10 30 063 00000000 7FFFFFFF 11 = 80000000 10 30 064 00000001 7FFFFFFF 00 = 80000000 10 30 065 00000001 7FFFFFFF 01 = 80000000 10 30 066 00000001 7FFFFFFF 10 = 80000000 10 30 067 00000001 7FFFFFFF 11 = 80000000 10 30 068 00000002 7FFFFFFF 00 = 80000000 10 30 069 00000002 7FFFFFFF 01 = 80000000 10 30 06A 00000002 7FFFFFFF 10 = 80000000 10 30 06B 00000002 7FFFFFFF 11 = 80000000 10 30 06C 7FFFFFFF 7FFFFFFF 00 = 80000000 10 30 06D 7FFFFFFF 7FFFFFFF 01 = 80000000 10 30 06E 7FFFFFFF 7FFFFFFF 10 = 80000000 10 30 06F 7FFFFFFF 7FFFFFFF 11 = 80000000 10 30 070 80000000 7FFFFFFF 00 = 80000000 10 30 071 80000000 7FFFFFFF 01 = 80000000 10 30 072 80000000 7FFFFFFF 10 = 80000000 10 30 073 80000000 7FFFFFFF 11 = 80000000 10 30 074 80000001 7FFFFFFF 00 = 80000000 10 30 075 80000001 7FFFFFFF 01 = 80000000 10 30 076 80000001 7FFFFFFF 10 = 80000000 10 30 077 80000001 7FFFFFFF 11 = 80000000 10 30 078 FFFFFFFE 7FFFFFFF 00 = 80000000 10 30 079 FFFFFFFE 7FFFFFFF 01 = 80000000 10 30 07A FFFFFFFE 7FFFFFFF 10 = 80000000 10 30 07B FFFFFFFE 7FFFFFFF 11 = 80000000 10 30 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 30 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 30 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 30 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 30 080 00000000 80000000 00 = 7FFFFFFF 00 30 081 00000000 80000000 01 = 7FFFFFFF 00 30 082 00000000 80000000 10 = 7FFFFFFF 00 30 083 00000000 80000000 11 = 7FFFFFFF 00 30 084 00000001 80000000 00 = 7FFFFFFF 00 30 085 00000001 80000000 01 = 7FFFFFFF 00 30 086 00000001 80000000 10 = 7FFFFFFF 00 30 087 00000001 80000000 11 = 7FFFFFFF 00 30 088 00000002 80000000 00 = 7FFFFFFF 00 30 089 00000002 80000000 01 = 7FFFFFFF 00 30 08A 00000002 80000000 10 = 7FFFFFFF 00 30 08B 00000002 80000000 11 = 7FFFFFFF 00 30 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 30 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 30 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 30 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 30 090 80000000 80000000 00 = 7FFFFFFF 00 30 091 80000000 80000000 01 = 7FFFFFFF 00 30 092 80000000 80000000 10 = 7FFFFFFF 00 30 093 80000000 80000000 11 = 7FFFFFFF 00 30 094 80000001 80000000 00 = 7FFFFFFF 00 30 095 80000001 80000000 01 = 7FFFFFFF 00 30 096 80000001 80000000 10 = 7FFFFFFF 00 30 097 80000001 80000000 11 = 7FFFFFFF 00 30 098 FFFFFFFE 80000000 00 = 7FFFFFFF 00 30 099 FFFFFFFE 80000000 01 = 7FFFFFFF 00 30 09A FFFFFFFE 80000000 10 = 7FFFFFFF 00 30 09B FFFFFFFE 80000000 11 = 7FFFFFFF 00 30 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 30 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 30 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 30 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 30 0A0 00000000 80000001 00 = 7FFFFFFE 00 30 0A1 00000000 80000001 01 = 7FFFFFFE 00 30 0A2 00000000 80000001 10 = 7FFFFFFE 00 30 0A3 00000000 80000001 11 = 7FFFFFFE 00 30 0A4 00000001 80000001 00 = 7FFFFFFE 00 30 0A5 00000001 80000001 01 = 7FFFFFFE 00 30 0A6 00000001 80000001 10 = 7FFFFFFE 00 30 0A7 00000001 80000001 11 = 7FFFFFFE 00 30 0A8 00000002 80000001 00 = 7FFFFFFE 00 30 0A9 00000002 80000001 01 = 7FFFFFFE 00 30 0AA 00000002 80000001 10 = 7FFFFFFE 00 30 0AB 00000002 80000001 11 = 7FFFFFFE 00 30 0AC 7FFFFFFF 80000001 00 = 7FFFFFFE 00 30 0AD 7FFFFFFF 80000001 01 = 7FFFFFFE 00 30 0AE 7FFFFFFF 80000001 10 = 7FFFFFFE 00 30 0AF 7FFFFFFF 80000001 11 = 7FFFFFFE 00 30 0B0 80000000 80000001 00 = 7FFFFFFE 00 30 0B1 80000000 80000001 01 = 7FFFFFFE 00 30 0B2 80000000 80000001 10 = 7FFFFFFE 00 30 0B3 80000000 80000001 11 = 7FFFFFFE 00 30 0B4 80000001 80000001 00 = 7FFFFFFE 00 30 0B5 80000001 80000001 01 = 7FFFFFFE 00 30 0B6 80000001 80000001 10 = 7FFFFFFE 00 30 0B7 80000001 80000001 11 = 7FFFFFFE 00 30 0B8 FFFFFFFE 80000001 00 = 7FFFFFFE 00 30 0B9 FFFFFFFE 80000001 01 = 7FFFFFFE 00 30 0BA FFFFFFFE 80000001 10 = 7FFFFFFE 00 30 0BB FFFFFFFE 80000001 11 = 7FFFFFFE 00 30 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 30 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 30 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 30 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 30 0C0 00000000 FFFFFFFE 00 = 00000001 00 30 0C1 00000000 FFFFFFFE 01 = 00000001 00 30 0C2 00000000 FFFFFFFE 10 = 00000001 00 30 0C3 00000000 FFFFFFFE 11 = 00000001 00 30 0C4 00000001 FFFFFFFE 00 = 00000001 00 30 0C5 00000001 FFFFFFFE 01 = 00000001 00 30 0C6 00000001 FFFFFFFE 10 = 00000001 00 30 0C7 00000001 FFFFFFFE 11 = 00000001 00 30 0C8 00000002 FFFFFFFE 00 = 00000001 00 30 0C9 00000002 FFFFFFFE 01 = 00000001 00 30 0CA 00000002 FFFFFFFE 10 = 00000001 00 30 0CB 00000002 FFFFFFFE 11 = 00000001 00 30 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 00 30 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 00 30 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 00 30 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 00 30 0D0 80000000 FFFFFFFE 00 = 00000001 00 30 0D1 80000000 FFFFFFFE 01 = 00000001 00 30 0D2 80000000 FFFFFFFE 10 = 00000001 00 30 0D3 80000000 FFFFFFFE 11 = 00000001 00 30 0D4 80000001 FFFFFFFE 00 = 00000001 00 30 0D5 80000001 FFFFFFFE 01 = 00000001 00 30 0D6 80000001 FFFFFFFE 10 = 00000001 00 30 0D7 80000001 FFFFFFFE 11 = 00000001 00 30 0D8 FFFFFFFE FFFFFFFE 00 = 00000001 00 30 0D9 FFFFFFFE FFFFFFFE 01 = 00000001 00 30 0DA FFFFFFFE FFFFFFFE 10 = 00000001 00 30 0DB FFFFFFFE FFFFFFFE 11 = 00000001 00 30 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 30 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 30 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 30 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 30 0E0 00000000 FFFFFFFF 00 = 00000000 01 30 0E1 00000000 FFFFFFFF 01 = 00000000 01 30 0E2 00000000 FFFFFFFF 10 = 00000000 01 30 0E3 00000000 FFFFFFFF 11 = 00000000 01 30 0E4 00000001 FFFFFFFF 00 = 00000000 01 30 0E5 00000001 FFFFFFFF 01 = 00000000 01 30 0E6 00000001 FFFFFFFF 10 = 00000000 01 30 0E7 00000001 FFFFFFFF 11 = 00000000 01 30 0E8 00000002 FFFFFFFF 00 = 00000000 01 30 0E9 00000002 FFFFFFFF 01 = 00000000 01 30 0EA 00000002 FFFFFFFF 10 = 00000000 01 30 0EB 00000002 FFFFFFFF 11 = 00000000 01 30 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 01 30 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 01 30 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 01 30 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 01 30 0F0 80000000 FFFFFFFF 00 = 00000000 01 30 0F1 80000000 FFFFFFFF 01 = 00000000 01 30 0F2 80000000 FFFFFFFF 10 = 00000000 01 30 0F3 80000000 FFFFFFFF 11 = 00000000 01 30 0F4 80000001 FFFFFFFF 00 = 00000000 01 30 0F5 80000001 FFFFFFFF 01 = 00000000 01 30 0F6 80000001 FFFFFFFF 10 = 00000000 01 30 0F7 80000001 FFFFFFFF 11 = 00000000 01 30 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 01 30 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 01 30 0FA FFFFFFFE FFFFFFFF 10 = 00000000 01 30 0FB FFFFFFFE FFFFFFFF 11 = 00000000 01 30 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 30 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 30 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 30 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 abs ---D---- ---S---- CZ = ---Q---- CZ 31 000 00000000 00000000 00 = 00000000 01 31 001 00000000 00000000 01 = 00000000 01 31 002 00000000 00000000 10 = 00000000 01 31 003 00000000 00000000 11 = 00000000 01 31 004 00000001 00000000 00 = 00000000 01 31 005 00000001 00000000 01 = 00000000 01 31 006 00000001 00000000 10 = 00000000 01 31 007 00000001 00000000 11 = 00000000 01 31 008 00000002 00000000 00 = 00000000 01 31 009 00000002 00000000 01 = 00000000 01 31 00A 00000002 00000000 10 = 00000000 01 31 00B 00000002 00000000 11 = 00000000 01 31 00C 7FFFFFFF 00000000 00 = 00000000 01 31 00D 7FFFFFFF 00000000 01 = 00000000 01 31 00E 7FFFFFFF 00000000 10 = 00000000 01 31 00F 7FFFFFFF 00000000 11 = 00000000 01 31 010 80000000 00000000 00 = 00000000 01 31 011 80000000 00000000 01 = 00000000 01 31 012 80000000 00000000 10 = 00000000 01 31 013 80000000 00000000 11 = 00000000 01 31 014 80000001 00000000 00 = 00000000 01 31 015 80000001 00000000 01 = 00000000 01 31 016 80000001 00000000 10 = 00000000 01 31 017 80000001 00000000 11 = 00000000 01 31 018 FFFFFFFE 00000000 00 = 00000000 01 31 019 FFFFFFFE 00000000 01 = 00000000 01 31 01A FFFFFFFE 00000000 10 = 00000000 01 31 01B FFFFFFFE 00000000 11 = 00000000 01 31 01C FFFFFFFF 00000000 00 = 00000000 01 31 01D FFFFFFFF 00000000 01 = 00000000 01 31 01E FFFFFFFF 00000000 10 = 00000000 01 31 01F FFFFFFFF 00000000 11 = 00000000 01 31 020 00000000 00000001 00 = 00000001 00 31 021 00000000 00000001 01 = 00000001 00 31 022 00000000 00000001 10 = 00000001 00 31 023 00000000 00000001 11 = 00000001 00 31 024 00000001 00000001 00 = 00000001 00 31 025 00000001 00000001 01 = 00000001 00 31 026 00000001 00000001 10 = 00000001 00 31 027 00000001 00000001 11 = 00000001 00 31 028 00000002 00000001 00 = 00000001 00 31 029 00000002 00000001 01 = 00000001 00 31 02A 00000002 00000001 10 = 00000001 00 31 02B 00000002 00000001 11 = 00000001 00 31 02C 7FFFFFFF 00000001 00 = 00000001 00 31 02D 7FFFFFFF 00000001 01 = 00000001 00 31 02E 7FFFFFFF 00000001 10 = 00000001 00 31 02F 7FFFFFFF 00000001 11 = 00000001 00 31 030 80000000 00000001 00 = 00000001 00 31 031 80000000 00000001 01 = 00000001 00 31 032 80000000 00000001 10 = 00000001 00 31 033 80000000 00000001 11 = 00000001 00 31 034 80000001 00000001 00 = 00000001 00 31 035 80000001 00000001 01 = 00000001 00 31 036 80000001 00000001 10 = 00000001 00 31 037 80000001 00000001 11 = 00000001 00 31 038 FFFFFFFE 00000001 00 = 00000001 00 31 039 FFFFFFFE 00000001 01 = 00000001 00 31 03A FFFFFFFE 00000001 10 = 00000001 00 31 03B FFFFFFFE 00000001 11 = 00000001 00 31 03C FFFFFFFF 00000001 00 = 00000001 00 31 03D FFFFFFFF 00000001 01 = 00000001 00 31 03E FFFFFFFF 00000001 10 = 00000001 00 31 03F FFFFFFFF 00000001 11 = 00000001 00 31 040 00000000 00000002 00 = 00000002 00 31 041 00000000 00000002 01 = 00000002 00 31 042 00000000 00000002 10 = 00000002 00 31 043 00000000 00000002 11 = 00000002 00 31 044 00000001 00000002 00 = 00000002 00 31 045 00000001 00000002 01 = 00000002 00 31 046 00000001 00000002 10 = 00000002 00 31 047 00000001 00000002 11 = 00000002 00 31 048 00000002 00000002 00 = 00000002 00 31 049 00000002 00000002 01 = 00000002 00 31 04A 00000002 00000002 10 = 00000002 00 31 04B 00000002 00000002 11 = 00000002 00 31 04C 7FFFFFFF 00000002 00 = 00000002 00 31 04D 7FFFFFFF 00000002 01 = 00000002 00 31 04E 7FFFFFFF 00000002 10 = 00000002 00 31 04F 7FFFFFFF 00000002 11 = 00000002 00 31 050 80000000 00000002 00 = 00000002 00 31 051 80000000 00000002 01 = 00000002 00 31 052 80000000 00000002 10 = 00000002 00 31 053 80000000 00000002 11 = 00000002 00 31 054 80000001 00000002 00 = 00000002 00 31 055 80000001 00000002 01 = 00000002 00 31 056 80000001 00000002 10 = 00000002 00 31 057 80000001 00000002 11 = 00000002 00 31 058 FFFFFFFE 00000002 00 = 00000002 00 31 059 FFFFFFFE 00000002 01 = 00000002 00 31 05A FFFFFFFE 00000002 10 = 00000002 00 31 05B FFFFFFFE 00000002 11 = 00000002 00 31 05C FFFFFFFF 00000002 00 = 00000002 00 31 05D FFFFFFFF 00000002 01 = 00000002 00 31 05E FFFFFFFF 00000002 10 = 00000002 00 31 05F FFFFFFFF 00000002 11 = 00000002 00 31 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 31 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 31 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 31 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 31 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 31 065 00000001 7FFFFFFF 01 = 7FFFFFFF 00 31 066 00000001 7FFFFFFF 10 = 7FFFFFFF 00 31 067 00000001 7FFFFFFF 11 = 7FFFFFFF 00 31 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 31 069 00000002 7FFFFFFF 01 = 7FFFFFFF 00 31 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 00 31 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 00 31 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 31 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 31 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 31 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 31 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 31 071 80000000 7FFFFFFF 01 = 7FFFFFFF 00 31 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 31 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 31 074 80000001 7FFFFFFF 00 = 7FFFFFFF 00 31 075 80000001 7FFFFFFF 01 = 7FFFFFFF 00 31 076 80000001 7FFFFFFF 10 = 7FFFFFFF 00 31 077 80000001 7FFFFFFF 11 = 7FFFFFFF 00 31 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 31 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 31 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 00 31 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 00 31 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 31 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 31 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 31 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 31 080 00000000 80000000 00 = 80000000 10 31 081 00000000 80000000 01 = 80000000 10 31 082 00000000 80000000 10 = 80000000 10 31 083 00000000 80000000 11 = 80000000 10 31 084 00000001 80000000 00 = 80000000 10 31 085 00000001 80000000 01 = 80000000 10 31 086 00000001 80000000 10 = 80000000 10 31 087 00000001 80000000 11 = 80000000 10 31 088 00000002 80000000 00 = 80000000 10 31 089 00000002 80000000 01 = 80000000 10 31 08A 00000002 80000000 10 = 80000000 10 31 08B 00000002 80000000 11 = 80000000 10 31 08C 7FFFFFFF 80000000 00 = 80000000 10 31 08D 7FFFFFFF 80000000 01 = 80000000 10 31 08E 7FFFFFFF 80000000 10 = 80000000 10 31 08F 7FFFFFFF 80000000 11 = 80000000 10 31 090 80000000 80000000 00 = 80000000 10 31 091 80000000 80000000 01 = 80000000 10 31 092 80000000 80000000 10 = 80000000 10 31 093 80000000 80000000 11 = 80000000 10 31 094 80000001 80000000 00 = 80000000 10 31 095 80000001 80000000 01 = 80000000 10 31 096 80000001 80000000 10 = 80000000 10 31 097 80000001 80000000 11 = 80000000 10 31 098 FFFFFFFE 80000000 00 = 80000000 10 31 099 FFFFFFFE 80000000 01 = 80000000 10 31 09A FFFFFFFE 80000000 10 = 80000000 10 31 09B FFFFFFFE 80000000 11 = 80000000 10 31 09C FFFFFFFF 80000000 00 = 80000000 10 31 09D FFFFFFFF 80000000 01 = 80000000 10 31 09E FFFFFFFF 80000000 10 = 80000000 10 31 09F FFFFFFFF 80000000 11 = 80000000 10 31 0A0 00000000 80000001 00 = 7FFFFFFF 10 31 0A1 00000000 80000001 01 = 7FFFFFFF 10 31 0A2 00000000 80000001 10 = 7FFFFFFF 10 31 0A3 00000000 80000001 11 = 7FFFFFFF 10 31 0A4 00000001 80000001 00 = 7FFFFFFF 10 31 0A5 00000001 80000001 01 = 7FFFFFFF 10 31 0A6 00000001 80000001 10 = 7FFFFFFF 10 31 0A7 00000001 80000001 11 = 7FFFFFFF 10 31 0A8 00000002 80000001 00 = 7FFFFFFF 10 31 0A9 00000002 80000001 01 = 7FFFFFFF 10 31 0AA 00000002 80000001 10 = 7FFFFFFF 10 31 0AB 00000002 80000001 11 = 7FFFFFFF 10 31 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 31 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 10 31 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 31 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 10 31 0B0 80000000 80000001 00 = 7FFFFFFF 10 31 0B1 80000000 80000001 01 = 7FFFFFFF 10 31 0B2 80000000 80000001 10 = 7FFFFFFF 10 31 0B3 80000000 80000001 11 = 7FFFFFFF 10 31 0B4 80000001 80000001 00 = 7FFFFFFF 10 31 0B5 80000001 80000001 01 = 7FFFFFFF 10 31 0B6 80000001 80000001 10 = 7FFFFFFF 10 31 0B7 80000001 80000001 11 = 7FFFFFFF 10 31 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 31 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 31 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 31 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 31 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 10 31 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 10 31 0BE FFFFFFFF 80000001 10 = 7FFFFFFF 10 31 0BF FFFFFFFF 80000001 11 = 7FFFFFFF 10 31 0C0 00000000 FFFFFFFE 00 = 00000002 10 31 0C1 00000000 FFFFFFFE 01 = 00000002 10 31 0C2 00000000 FFFFFFFE 10 = 00000002 10 31 0C3 00000000 FFFFFFFE 11 = 00000002 10 31 0C4 00000001 FFFFFFFE 00 = 00000002 10 31 0C5 00000001 FFFFFFFE 01 = 00000002 10 31 0C6 00000001 FFFFFFFE 10 = 00000002 10 31 0C7 00000001 FFFFFFFE 11 = 00000002 10 31 0C8 00000002 FFFFFFFE 00 = 00000002 10 31 0C9 00000002 FFFFFFFE 01 = 00000002 10 31 0CA 00000002 FFFFFFFE 10 = 00000002 10 31 0CB 00000002 FFFFFFFE 11 = 00000002 10 31 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 10 31 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 10 31 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 10 31 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 10 31 0D0 80000000 FFFFFFFE 00 = 00000002 10 31 0D1 80000000 FFFFFFFE 01 = 00000002 10 31 0D2 80000000 FFFFFFFE 10 = 00000002 10 31 0D3 80000000 FFFFFFFE 11 = 00000002 10 31 0D4 80000001 FFFFFFFE 00 = 00000002 10 31 0D5 80000001 FFFFFFFE 01 = 00000002 10 31 0D6 80000001 FFFFFFFE 10 = 00000002 10 31 0D7 80000001 FFFFFFFE 11 = 00000002 10 31 0D8 FFFFFFFE FFFFFFFE 00 = 00000002 10 31 0D9 FFFFFFFE FFFFFFFE 01 = 00000002 10 31 0DA FFFFFFFE FFFFFFFE 10 = 00000002 10 31 0DB FFFFFFFE FFFFFFFE 11 = 00000002 10 31 0DC FFFFFFFF FFFFFFFE 00 = 00000002 10 31 0DD FFFFFFFF FFFFFFFE 01 = 00000002 10 31 0DE FFFFFFFF FFFFFFFE 10 = 00000002 10 31 0DF FFFFFFFF FFFFFFFE 11 = 00000002 10 31 0E0 00000000 FFFFFFFF 00 = 00000001 10 31 0E1 00000000 FFFFFFFF 01 = 00000001 10 31 0E2 00000000 FFFFFFFF 10 = 00000001 10 31 0E3 00000000 FFFFFFFF 11 = 00000001 10 31 0E4 00000001 FFFFFFFF 00 = 00000001 10 31 0E5 00000001 FFFFFFFF 01 = 00000001 10 31 0E6 00000001 FFFFFFFF 10 = 00000001 10 31 0E7 00000001 FFFFFFFF 11 = 00000001 10 31 0E8 00000002 FFFFFFFF 00 = 00000001 10 31 0E9 00000002 FFFFFFFF 01 = 00000001 10 31 0EA 00000002 FFFFFFFF 10 = 00000001 10 31 0EB 00000002 FFFFFFFF 11 = 00000001 10 31 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 10 31 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 10 31 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 10 31 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 10 31 0F0 80000000 FFFFFFFF 00 = 00000001 10 31 0F1 80000000 FFFFFFFF 01 = 00000001 10 31 0F2 80000000 FFFFFFFF 10 = 00000001 10 31 0F3 80000000 FFFFFFFF 11 = 00000001 10 31 0F4 80000001 FFFFFFFF 00 = 00000001 10 31 0F5 80000001 FFFFFFFF 01 = 00000001 10 31 0F6 80000001 FFFFFFFF 10 = 00000001 10 31 0F7 80000001 FFFFFFFF 11 = 00000001 10 31 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 10 31 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 10 31 0FA FFFFFFFE FFFFFFFF 10 = 00000001 10 31 0FB FFFFFFFE FFFFFFFF 11 = 00000001 10 31 0FC FFFFFFFF FFFFFFFF 00 = 00000001 10 31 0FD FFFFFFFF FFFFFFFF 01 = 00000001 10 31 0FE FFFFFFFF FFFFFFFF 10 = 00000001 10 31 0FF FFFFFFFF FFFFFFFF 11 = 00000001 10 neg ---D---- ---S---- CZ = ---Q---- CZ 32 000 00000000 00000000 00 = 00000000 01 32 001 00000000 00000000 01 = 00000000 01 32 002 00000000 00000000 10 = 00000000 01 32 003 00000000 00000000 11 = 00000000 01 32 004 00000001 00000000 00 = 00000000 01 32 005 00000001 00000000 01 = 00000000 01 32 006 00000001 00000000 10 = 00000000 01 32 007 00000001 00000000 11 = 00000000 01 32 008 00000002 00000000 00 = 00000000 01 32 009 00000002 00000000 01 = 00000000 01 32 00A 00000002 00000000 10 = 00000000 01 32 00B 00000002 00000000 11 = 00000000 01 32 00C 7FFFFFFF 00000000 00 = 00000000 01 32 00D 7FFFFFFF 00000000 01 = 00000000 01 32 00E 7FFFFFFF 00000000 10 = 00000000 01 32 00F 7FFFFFFF 00000000 11 = 00000000 01 32 010 80000000 00000000 00 = 00000000 01 32 011 80000000 00000000 01 = 00000000 01 32 012 80000000 00000000 10 = 00000000 01 32 013 80000000 00000000 11 = 00000000 01 32 014 80000001 00000000 00 = 00000000 01 32 015 80000001 00000000 01 = 00000000 01 32 016 80000001 00000000 10 = 00000000 01 32 017 80000001 00000000 11 = 00000000 01 32 018 FFFFFFFE 00000000 00 = 00000000 01 32 019 FFFFFFFE 00000000 01 = 00000000 01 32 01A FFFFFFFE 00000000 10 = 00000000 01 32 01B FFFFFFFE 00000000 11 = 00000000 01 32 01C FFFFFFFF 00000000 00 = 00000000 01 32 01D FFFFFFFF 00000000 01 = 00000000 01 32 01E FFFFFFFF 00000000 10 = 00000000 01 32 01F FFFFFFFF 00000000 11 = 00000000 01 32 020 00000000 00000001 00 = FFFFFFFF 10 32 021 00000000 00000001 01 = FFFFFFFF 10 32 022 00000000 00000001 10 = FFFFFFFF 10 32 023 00000000 00000001 11 = FFFFFFFF 10 32 024 00000001 00000001 00 = FFFFFFFF 10 32 025 00000001 00000001 01 = FFFFFFFF 10 32 026 00000001 00000001 10 = FFFFFFFF 10 32 027 00000001 00000001 11 = FFFFFFFF 10 32 028 00000002 00000001 00 = FFFFFFFF 10 32 029 00000002 00000001 01 = FFFFFFFF 10 32 02A 00000002 00000001 10 = FFFFFFFF 10 32 02B 00000002 00000001 11 = FFFFFFFF 10 32 02C 7FFFFFFF 00000001 00 = FFFFFFFF 10 32 02D 7FFFFFFF 00000001 01 = FFFFFFFF 10 32 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 32 02F 7FFFFFFF 00000001 11 = FFFFFFFF 10 32 030 80000000 00000001 00 = FFFFFFFF 10 32 031 80000000 00000001 01 = FFFFFFFF 10 32 032 80000000 00000001 10 = FFFFFFFF 10 32 033 80000000 00000001 11 = FFFFFFFF 10 32 034 80000001 00000001 00 = FFFFFFFF 10 32 035 80000001 00000001 01 = FFFFFFFF 10 32 036 80000001 00000001 10 = FFFFFFFF 10 32 037 80000001 00000001 11 = FFFFFFFF 10 32 038 FFFFFFFE 00000001 00 = FFFFFFFF 10 32 039 FFFFFFFE 00000001 01 = FFFFFFFF 10 32 03A FFFFFFFE 00000001 10 = FFFFFFFF 10 32 03B FFFFFFFE 00000001 11 = FFFFFFFF 10 32 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 32 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 32 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 32 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 32 040 00000000 00000002 00 = FFFFFFFE 10 32 041 00000000 00000002 01 = FFFFFFFE 10 32 042 00000000 00000002 10 = FFFFFFFE 10 32 043 00000000 00000002 11 = FFFFFFFE 10 32 044 00000001 00000002 00 = FFFFFFFE 10 32 045 00000001 00000002 01 = FFFFFFFE 10 32 046 00000001 00000002 10 = FFFFFFFE 10 32 047 00000001 00000002 11 = FFFFFFFE 10 32 048 00000002 00000002 00 = FFFFFFFE 10 32 049 00000002 00000002 01 = FFFFFFFE 10 32 04A 00000002 00000002 10 = FFFFFFFE 10 32 04B 00000002 00000002 11 = FFFFFFFE 10 32 04C 7FFFFFFF 00000002 00 = FFFFFFFE 10 32 04D 7FFFFFFF 00000002 01 = FFFFFFFE 10 32 04E 7FFFFFFF 00000002 10 = FFFFFFFE 10 32 04F 7FFFFFFF 00000002 11 = FFFFFFFE 10 32 050 80000000 00000002 00 = FFFFFFFE 10 32 051 80000000 00000002 01 = FFFFFFFE 10 32 052 80000000 00000002 10 = FFFFFFFE 10 32 053 80000000 00000002 11 = FFFFFFFE 10 32 054 80000001 00000002 00 = FFFFFFFE 10 32 055 80000001 00000002 01 = FFFFFFFE 10 32 056 80000001 00000002 10 = FFFFFFFE 10 32 057 80000001 00000002 11 = FFFFFFFE 10 32 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 32 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 32 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 32 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 32 05C FFFFFFFF 00000002 00 = FFFFFFFE 10 32 05D FFFFFFFF 00000002 01 = FFFFFFFE 10 32 05E FFFFFFFF 00000002 10 = FFFFFFFE 10 32 05F FFFFFFFF 00000002 11 = FFFFFFFE 10 32 060 00000000 7FFFFFFF 00 = 80000001 10 32 061 00000000 7FFFFFFF 01 = 80000001 10 32 062 00000000 7FFFFFFF 10 = 80000001 10 32 063 00000000 7FFFFFFF 11 = 80000001 10 32 064 00000001 7FFFFFFF 00 = 80000001 10 32 065 00000001 7FFFFFFF 01 = 80000001 10 32 066 00000001 7FFFFFFF 10 = 80000001 10 32 067 00000001 7FFFFFFF 11 = 80000001 10 32 068 00000002 7FFFFFFF 00 = 80000001 10 32 069 00000002 7FFFFFFF 01 = 80000001 10 32 06A 00000002 7FFFFFFF 10 = 80000001 10 32 06B 00000002 7FFFFFFF 11 = 80000001 10 32 06C 7FFFFFFF 7FFFFFFF 00 = 80000001 10 32 06D 7FFFFFFF 7FFFFFFF 01 = 80000001 10 32 06E 7FFFFFFF 7FFFFFFF 10 = 80000001 10 32 06F 7FFFFFFF 7FFFFFFF 11 = 80000001 10 32 070 80000000 7FFFFFFF 00 = 80000001 10 32 071 80000000 7FFFFFFF 01 = 80000001 10 32 072 80000000 7FFFFFFF 10 = 80000001 10 32 073 80000000 7FFFFFFF 11 = 80000001 10 32 074 80000001 7FFFFFFF 00 = 80000001 10 32 075 80000001 7FFFFFFF 01 = 80000001 10 32 076 80000001 7FFFFFFF 10 = 80000001 10 32 077 80000001 7FFFFFFF 11 = 80000001 10 32 078 FFFFFFFE 7FFFFFFF 00 = 80000001 10 32 079 FFFFFFFE 7FFFFFFF 01 = 80000001 10 32 07A FFFFFFFE 7FFFFFFF 10 = 80000001 10 32 07B FFFFFFFE 7FFFFFFF 11 = 80000001 10 32 07C FFFFFFFF 7FFFFFFF 00 = 80000001 10 32 07D FFFFFFFF 7FFFFFFF 01 = 80000001 10 32 07E FFFFFFFF 7FFFFFFF 10 = 80000001 10 32 07F FFFFFFFF 7FFFFFFF 11 = 80000001 10 32 080 00000000 80000000 00 = 80000000 10 32 081 00000000 80000000 01 = 80000000 10 32 082 00000000 80000000 10 = 80000000 10 32 083 00000000 80000000 11 = 80000000 10 32 084 00000001 80000000 00 = 80000000 10 32 085 00000001 80000000 01 = 80000000 10 32 086 00000001 80000000 10 = 80000000 10 32 087 00000001 80000000 11 = 80000000 10 32 088 00000002 80000000 00 = 80000000 10 32 089 00000002 80000000 01 = 80000000 10 32 08A 00000002 80000000 10 = 80000000 10 32 08B 00000002 80000000 11 = 80000000 10 32 08C 7FFFFFFF 80000000 00 = 80000000 10 32 08D 7FFFFFFF 80000000 01 = 80000000 10 32 08E 7FFFFFFF 80000000 10 = 80000000 10 32 08F 7FFFFFFF 80000000 11 = 80000000 10 32 090 80000000 80000000 00 = 80000000 10 32 091 80000000 80000000 01 = 80000000 10 32 092 80000000 80000000 10 = 80000000 10 32 093 80000000 80000000 11 = 80000000 10 32 094 80000001 80000000 00 = 80000000 10 32 095 80000001 80000000 01 = 80000000 10 32 096 80000001 80000000 10 = 80000000 10 32 097 80000001 80000000 11 = 80000000 10 32 098 FFFFFFFE 80000000 00 = 80000000 10 32 099 FFFFFFFE 80000000 01 = 80000000 10 32 09A FFFFFFFE 80000000 10 = 80000000 10 32 09B FFFFFFFE 80000000 11 = 80000000 10 32 09C FFFFFFFF 80000000 00 = 80000000 10 32 09D FFFFFFFF 80000000 01 = 80000000 10 32 09E FFFFFFFF 80000000 10 = 80000000 10 32 09F FFFFFFFF 80000000 11 = 80000000 10 32 0A0 00000000 80000001 00 = 7FFFFFFF 00 32 0A1 00000000 80000001 01 = 7FFFFFFF 00 32 0A2 00000000 80000001 10 = 7FFFFFFF 00 32 0A3 00000000 80000001 11 = 7FFFFFFF 00 32 0A4 00000001 80000001 00 = 7FFFFFFF 00 32 0A5 00000001 80000001 01 = 7FFFFFFF 00 32 0A6 00000001 80000001 10 = 7FFFFFFF 00 32 0A7 00000001 80000001 11 = 7FFFFFFF 00 32 0A8 00000002 80000001 00 = 7FFFFFFF 00 32 0A9 00000002 80000001 01 = 7FFFFFFF 00 32 0AA 00000002 80000001 10 = 7FFFFFFF 00 32 0AB 00000002 80000001 11 = 7FFFFFFF 00 32 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 32 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 32 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 32 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 32 0B0 80000000 80000001 00 = 7FFFFFFF 00 32 0B1 80000000 80000001 01 = 7FFFFFFF 00 32 0B2 80000000 80000001 10 = 7FFFFFFF 00 32 0B3 80000000 80000001 11 = 7FFFFFFF 00 32 0B4 80000001 80000001 00 = 7FFFFFFF 00 32 0B5 80000001 80000001 01 = 7FFFFFFF 00 32 0B6 80000001 80000001 10 = 7FFFFFFF 00 32 0B7 80000001 80000001 11 = 7FFFFFFF 00 32 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 32 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 32 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 00 32 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 00 32 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 00 32 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 00 32 0BE FFFFFFFF 80000001 10 = 7FFFFFFF 00 32 0BF FFFFFFFF 80000001 11 = 7FFFFFFF 00 32 0C0 00000000 FFFFFFFE 00 = 00000002 00 32 0C1 00000000 FFFFFFFE 01 = 00000002 00 32 0C2 00000000 FFFFFFFE 10 = 00000002 00 32 0C3 00000000 FFFFFFFE 11 = 00000002 00 32 0C4 00000001 FFFFFFFE 00 = 00000002 00 32 0C5 00000001 FFFFFFFE 01 = 00000002 00 32 0C6 00000001 FFFFFFFE 10 = 00000002 00 32 0C7 00000001 FFFFFFFE 11 = 00000002 00 32 0C8 00000002 FFFFFFFE 00 = 00000002 00 32 0C9 00000002 FFFFFFFE 01 = 00000002 00 32 0CA 00000002 FFFFFFFE 10 = 00000002 00 32 0CB 00000002 FFFFFFFE 11 = 00000002 00 32 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 00 32 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 00 32 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 00 32 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 00 32 0D0 80000000 FFFFFFFE 00 = 00000002 00 32 0D1 80000000 FFFFFFFE 01 = 00000002 00 32 0D2 80000000 FFFFFFFE 10 = 00000002 00 32 0D3 80000000 FFFFFFFE 11 = 00000002 00 32 0D4 80000001 FFFFFFFE 00 = 00000002 00 32 0D5 80000001 FFFFFFFE 01 = 00000002 00 32 0D6 80000001 FFFFFFFE 10 = 00000002 00 32 0D7 80000001 FFFFFFFE 11 = 00000002 00 32 0D8 FFFFFFFE FFFFFFFE 00 = 00000002 00 32 0D9 FFFFFFFE FFFFFFFE 01 = 00000002 00 32 0DA FFFFFFFE FFFFFFFE 10 = 00000002 00 32 0DB FFFFFFFE FFFFFFFE 11 = 00000002 00 32 0DC FFFFFFFF FFFFFFFE 00 = 00000002 00 32 0DD FFFFFFFF FFFFFFFE 01 = 00000002 00 32 0DE FFFFFFFF FFFFFFFE 10 = 00000002 00 32 0DF FFFFFFFF FFFFFFFE 11 = 00000002 00 32 0E0 00000000 FFFFFFFF 00 = 00000001 00 32 0E1 00000000 FFFFFFFF 01 = 00000001 00 32 0E2 00000000 FFFFFFFF 10 = 00000001 00 32 0E3 00000000 FFFFFFFF 11 = 00000001 00 32 0E4 00000001 FFFFFFFF 00 = 00000001 00 32 0E5 00000001 FFFFFFFF 01 = 00000001 00 32 0E6 00000001 FFFFFFFF 10 = 00000001 00 32 0E7 00000001 FFFFFFFF 11 = 00000001 00 32 0E8 00000002 FFFFFFFF 00 = 00000001 00 32 0E9 00000002 FFFFFFFF 01 = 00000001 00 32 0EA 00000002 FFFFFFFF 10 = 00000001 00 32 0EB 00000002 FFFFFFFF 11 = 00000001 00 32 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 00 32 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 00 32 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 00 32 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 00 32 0F0 80000000 FFFFFFFF 00 = 00000001 00 32 0F1 80000000 FFFFFFFF 01 = 00000001 00 32 0F2 80000000 FFFFFFFF 10 = 00000001 00 32 0F3 80000000 FFFFFFFF 11 = 00000001 00 32 0F4 80000001 FFFFFFFF 00 = 00000001 00 32 0F5 80000001 FFFFFFFF 01 = 00000001 00 32 0F6 80000001 FFFFFFFF 10 = 00000001 00 32 0F7 80000001 FFFFFFFF 11 = 00000001 00 32 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 00 32 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 00 32 0FA FFFFFFFE FFFFFFFF 10 = 00000001 00 32 0FB FFFFFFFE FFFFFFFF 11 = 00000001 00 32 0FC FFFFFFFF FFFFFFFF 00 = 00000001 00 32 0FD FFFFFFFF FFFFFFFF 01 = 00000001 00 32 0FE FFFFFFFF FFFFFFFF 10 = 00000001 00 32 0FF FFFFFFFF FFFFFFFF 11 = 00000001 00 negc ---D---- ---S---- CZ = ---Q---- CZ 33 000 00000000 00000000 00 = 00000000 01 33 001 00000000 00000000 01 = 00000000 01 33 002 00000000 00000000 10 = 00000000 01 33 003 00000000 00000000 11 = 00000000 01 33 004 00000001 00000000 00 = 00000000 01 33 005 00000001 00000000 01 = 00000000 01 33 006 00000001 00000000 10 = 00000000 01 33 007 00000001 00000000 11 = 00000000 01 33 008 00000002 00000000 00 = 00000000 01 33 009 00000002 00000000 01 = 00000000 01 33 00A 00000002 00000000 10 = 00000000 01 33 00B 00000002 00000000 11 = 00000000 01 33 00C 7FFFFFFF 00000000 00 = 00000000 01 33 00D 7FFFFFFF 00000000 01 = 00000000 01 33 00E 7FFFFFFF 00000000 10 = 00000000 01 33 00F 7FFFFFFF 00000000 11 = 00000000 01 33 010 80000000 00000000 00 = 00000000 01 33 011 80000000 00000000 01 = 00000000 01 33 012 80000000 00000000 10 = 00000000 01 33 013 80000000 00000000 11 = 00000000 01 33 014 80000001 00000000 00 = 00000000 01 33 015 80000001 00000000 01 = 00000000 01 33 016 80000001 00000000 10 = 00000000 01 33 017 80000001 00000000 11 = 00000000 01 33 018 FFFFFFFE 00000000 00 = 00000000 01 33 019 FFFFFFFE 00000000 01 = 00000000 01 33 01A FFFFFFFE 00000000 10 = 00000000 01 33 01B FFFFFFFE 00000000 11 = 00000000 01 33 01C FFFFFFFF 00000000 00 = 00000000 01 33 01D FFFFFFFF 00000000 01 = 00000000 01 33 01E FFFFFFFF 00000000 10 = 00000000 01 33 01F FFFFFFFF 00000000 11 = 00000000 01 33 020 00000000 00000001 00 = 00000001 00 33 021 00000000 00000001 01 = 00000001 00 33 022 00000000 00000001 10 = FFFFFFFF 10 33 023 00000000 00000001 11 = FFFFFFFF 10 33 024 00000001 00000001 00 = 00000001 00 33 025 00000001 00000001 01 = 00000001 00 33 026 00000001 00000001 10 = FFFFFFFF 10 33 027 00000001 00000001 11 = FFFFFFFF 10 33 028 00000002 00000001 00 = 00000001 00 33 029 00000002 00000001 01 = 00000001 00 33 02A 00000002 00000001 10 = FFFFFFFF 10 33 02B 00000002 00000001 11 = FFFFFFFF 10 33 02C 7FFFFFFF 00000001 00 = 00000001 00 33 02D 7FFFFFFF 00000001 01 = 00000001 00 33 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 33 02F 7FFFFFFF 00000001 11 = FFFFFFFF 10 33 030 80000000 00000001 00 = 00000001 00 33 031 80000000 00000001 01 = 00000001 00 33 032 80000000 00000001 10 = FFFFFFFF 10 33 033 80000000 00000001 11 = FFFFFFFF 10 33 034 80000001 00000001 00 = 00000001 00 33 035 80000001 00000001 01 = 00000001 00 33 036 80000001 00000001 10 = FFFFFFFF 10 33 037 80000001 00000001 11 = FFFFFFFF 10 33 038 FFFFFFFE 00000001 00 = 00000001 00 33 039 FFFFFFFE 00000001 01 = 00000001 00 33 03A FFFFFFFE 00000001 10 = FFFFFFFF 10 33 03B FFFFFFFE 00000001 11 = FFFFFFFF 10 33 03C FFFFFFFF 00000001 00 = 00000001 00 33 03D FFFFFFFF 00000001 01 = 00000001 00 33 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 33 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 33 040 00000000 00000002 00 = 00000002 00 33 041 00000000 00000002 01 = 00000002 00 33 042 00000000 00000002 10 = FFFFFFFE 10 33 043 00000000 00000002 11 = FFFFFFFE 10 33 044 00000001 00000002 00 = 00000002 00 33 045 00000001 00000002 01 = 00000002 00 33 046 00000001 00000002 10 = FFFFFFFE 10 33 047 00000001 00000002 11 = FFFFFFFE 10 33 048 00000002 00000002 00 = 00000002 00 33 049 00000002 00000002 01 = 00000002 00 33 04A 00000002 00000002 10 = FFFFFFFE 10 33 04B 00000002 00000002 11 = FFFFFFFE 10 33 04C 7FFFFFFF 00000002 00 = 00000002 00 33 04D 7FFFFFFF 00000002 01 = 00000002 00 33 04E 7FFFFFFF 00000002 10 = FFFFFFFE 10 33 04F 7FFFFFFF 00000002 11 = FFFFFFFE 10 33 050 80000000 00000002 00 = 00000002 00 33 051 80000000 00000002 01 = 00000002 00 33 052 80000000 00000002 10 = FFFFFFFE 10 33 053 80000000 00000002 11 = FFFFFFFE 10 33 054 80000001 00000002 00 = 00000002 00 33 055 80000001 00000002 01 = 00000002 00 33 056 80000001 00000002 10 = FFFFFFFE 10 33 057 80000001 00000002 11 = FFFFFFFE 10 33 058 FFFFFFFE 00000002 00 = 00000002 00 33 059 FFFFFFFE 00000002 01 = 00000002 00 33 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 33 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 33 05C FFFFFFFF 00000002 00 = 00000002 00 33 05D FFFFFFFF 00000002 01 = 00000002 00 33 05E FFFFFFFF 00000002 10 = FFFFFFFE 10 33 05F FFFFFFFF 00000002 11 = FFFFFFFE 10 33 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 33 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 33 062 00000000 7FFFFFFF 10 = 80000001 10 33 063 00000000 7FFFFFFF 11 = 80000001 10 33 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 33 065 00000001 7FFFFFFF 01 = 7FFFFFFF 00 33 066 00000001 7FFFFFFF 10 = 80000001 10 33 067 00000001 7FFFFFFF 11 = 80000001 10 33 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 33 069 00000002 7FFFFFFF 01 = 7FFFFFFF 00 33 06A 00000002 7FFFFFFF 10 = 80000001 10 33 06B 00000002 7FFFFFFF 11 = 80000001 10 33 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 33 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 33 06E 7FFFFFFF 7FFFFFFF 10 = 80000001 10 33 06F 7FFFFFFF 7FFFFFFF 11 = 80000001 10 33 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 33 071 80000000 7FFFFFFF 01 = 7FFFFFFF 00 33 072 80000000 7FFFFFFF 10 = 80000001 10 33 073 80000000 7FFFFFFF 11 = 80000001 10 33 074 80000001 7FFFFFFF 00 = 7FFFFFFF 00 33 075 80000001 7FFFFFFF 01 = 7FFFFFFF 00 33 076 80000001 7FFFFFFF 10 = 80000001 10 33 077 80000001 7FFFFFFF 11 = 80000001 10 33 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 33 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 33 07A FFFFFFFE 7FFFFFFF 10 = 80000001 10 33 07B FFFFFFFE 7FFFFFFF 11 = 80000001 10 33 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 33 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 33 07E FFFFFFFF 7FFFFFFF 10 = 80000001 10 33 07F FFFFFFFF 7FFFFFFF 11 = 80000001 10 33 080 00000000 80000000 00 = 80000000 10 33 081 00000000 80000000 01 = 80000000 10 33 082 00000000 80000000 10 = 80000000 10 33 083 00000000 80000000 11 = 80000000 10 33 084 00000001 80000000 00 = 80000000 10 33 085 00000001 80000000 01 = 80000000 10 33 086 00000001 80000000 10 = 80000000 10 33 087 00000001 80000000 11 = 80000000 10 33 088 00000002 80000000 00 = 80000000 10 33 089 00000002 80000000 01 = 80000000 10 33 08A 00000002 80000000 10 = 80000000 10 33 08B 00000002 80000000 11 = 80000000 10 33 08C 7FFFFFFF 80000000 00 = 80000000 10 33 08D 7FFFFFFF 80000000 01 = 80000000 10 33 08E 7FFFFFFF 80000000 10 = 80000000 10 33 08F 7FFFFFFF 80000000 11 = 80000000 10 33 090 80000000 80000000 00 = 80000000 10 33 091 80000000 80000000 01 = 80000000 10 33 092 80000000 80000000 10 = 80000000 10 33 093 80000000 80000000 11 = 80000000 10 33 094 80000001 80000000 00 = 80000000 10 33 095 80000001 80000000 01 = 80000000 10 33 096 80000001 80000000 10 = 80000000 10 33 097 80000001 80000000 11 = 80000000 10 33 098 FFFFFFFE 80000000 00 = 80000000 10 33 099 FFFFFFFE 80000000 01 = 80000000 10 33 09A FFFFFFFE 80000000 10 = 80000000 10 33 09B FFFFFFFE 80000000 11 = 80000000 10 33 09C FFFFFFFF 80000000 00 = 80000000 10 33 09D FFFFFFFF 80000000 01 = 80000000 10 33 09E FFFFFFFF 80000000 10 = 80000000 10 33 09F FFFFFFFF 80000000 11 = 80000000 10 33 0A0 00000000 80000001 00 = 80000001 10 33 0A1 00000000 80000001 01 = 80000001 10 33 0A2 00000000 80000001 10 = 7FFFFFFF 00 33 0A3 00000000 80000001 11 = 7FFFFFFF 00 33 0A4 00000001 80000001 00 = 80000001 10 33 0A5 00000001 80000001 01 = 80000001 10 33 0A6 00000001 80000001 10 = 7FFFFFFF 00 33 0A7 00000001 80000001 11 = 7FFFFFFF 00 33 0A8 00000002 80000001 00 = 80000001 10 33 0A9 00000002 80000001 01 = 80000001 10 33 0AA 00000002 80000001 10 = 7FFFFFFF 00 33 0AB 00000002 80000001 11 = 7FFFFFFF 00 33 0AC 7FFFFFFF 80000001 00 = 80000001 10 33 0AD 7FFFFFFF 80000001 01 = 80000001 10 33 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 33 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 33 0B0 80000000 80000001 00 = 80000001 10 33 0B1 80000000 80000001 01 = 80000001 10 33 0B2 80000000 80000001 10 = 7FFFFFFF 00 33 0B3 80000000 80000001 11 = 7FFFFFFF 00 33 0B4 80000001 80000001 00 = 80000001 10 33 0B5 80000001 80000001 01 = 80000001 10 33 0B6 80000001 80000001 10 = 7FFFFFFF 00 33 0B7 80000001 80000001 11 = 7FFFFFFF 00 33 0B8 FFFFFFFE 80000001 00 = 80000001 10 33 0B9 FFFFFFFE 80000001 01 = 80000001 10 33 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 00 33 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 00 33 0BC FFFFFFFF 80000001 00 = 80000001 10 33 0BD FFFFFFFF 80000001 01 = 80000001 10 33 0BE FFFFFFFF 80000001 10 = 7FFFFFFF 00 33 0BF FFFFFFFF 80000001 11 = 7FFFFFFF 00 33 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 33 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 33 0C2 00000000 FFFFFFFE 10 = 00000002 00 33 0C3 00000000 FFFFFFFE 11 = 00000002 00 33 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 10 33 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 10 33 0C6 00000001 FFFFFFFE 10 = 00000002 00 33 0C7 00000001 FFFFFFFE 11 = 00000002 00 33 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 33 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 33 0CA 00000002 FFFFFFFE 10 = 00000002 00 33 0CB 00000002 FFFFFFFE 11 = 00000002 00 33 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 10 33 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 10 33 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 00 33 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 00 33 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 33 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 33 0D2 80000000 FFFFFFFE 10 = 00000002 00 33 0D3 80000000 FFFFFFFE 11 = 00000002 00 33 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 10 33 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 10 33 0D6 80000001 FFFFFFFE 10 = 00000002 00 33 0D7 80000001 FFFFFFFE 11 = 00000002 00 33 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 33 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 33 0DA FFFFFFFE FFFFFFFE 10 = 00000002 00 33 0DB FFFFFFFE FFFFFFFE 11 = 00000002 00 33 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 33 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 33 0DE FFFFFFFF FFFFFFFE 10 = 00000002 00 33 0DF FFFFFFFF FFFFFFFE 11 = 00000002 00 33 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 33 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 33 0E2 00000000 FFFFFFFF 10 = 00000001 00 33 0E3 00000000 FFFFFFFF 11 = 00000001 00 33 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 10 33 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 10 33 0E6 00000001 FFFFFFFF 10 = 00000001 00 33 0E7 00000001 FFFFFFFF 11 = 00000001 00 33 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 10 33 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 10 33 0EA 00000002 FFFFFFFF 10 = 00000001 00 33 0EB 00000002 FFFFFFFF 11 = 00000001 00 33 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 33 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 33 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 00 33 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 00 33 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 10 33 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 10 33 0F2 80000000 FFFFFFFF 10 = 00000001 00 33 0F3 80000000 FFFFFFFF 11 = 00000001 00 33 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 10 33 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 10 33 0F6 80000001 FFFFFFFF 10 = 00000001 00 33 0F7 80000001 FFFFFFFF 11 = 00000001 00 33 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 33 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 33 0FA FFFFFFFE FFFFFFFF 10 = 00000001 00 33 0FB FFFFFFFE FFFFFFFF 11 = 00000001 00 33 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 33 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 33 0FE FFFFFFFF FFFFFFFF 10 = 00000001 00 33 0FF FFFFFFFF FFFFFFFF 11 = 00000001 00 negnc ---D---- ---S---- CZ = ---Q---- CZ 34 000 00000000 00000000 00 = 00000000 01 34 001 00000000 00000000 01 = 00000000 01 34 002 00000000 00000000 10 = 00000000 01 34 003 00000000 00000000 11 = 00000000 01 34 004 00000001 00000000 00 = 00000000 01 34 005 00000001 00000000 01 = 00000000 01 34 006 00000001 00000000 10 = 00000000 01 34 007 00000001 00000000 11 = 00000000 01 34 008 00000002 00000000 00 = 00000000 01 34 009 00000002 00000000 01 = 00000000 01 34 00A 00000002 00000000 10 = 00000000 01 34 00B 00000002 00000000 11 = 00000000 01 34 00C 7FFFFFFF 00000000 00 = 00000000 01 34 00D 7FFFFFFF 00000000 01 = 00000000 01 34 00E 7FFFFFFF 00000000 10 = 00000000 01 34 00F 7FFFFFFF 00000000 11 = 00000000 01 34 010 80000000 00000000 00 = 00000000 01 34 011 80000000 00000000 01 = 00000000 01 34 012 80000000 00000000 10 = 00000000 01 34 013 80000000 00000000 11 = 00000000 01 34 014 80000001 00000000 00 = 00000000 01 34 015 80000001 00000000 01 = 00000000 01 34 016 80000001 00000000 10 = 00000000 01 34 017 80000001 00000000 11 = 00000000 01 34 018 FFFFFFFE 00000000 00 = 00000000 01 34 019 FFFFFFFE 00000000 01 = 00000000 01 34 01A FFFFFFFE 00000000 10 = 00000000 01 34 01B FFFFFFFE 00000000 11 = 00000000 01 34 01C FFFFFFFF 00000000 00 = 00000000 01 34 01D FFFFFFFF 00000000 01 = 00000000 01 34 01E FFFFFFFF 00000000 10 = 00000000 01 34 01F FFFFFFFF 00000000 11 = 00000000 01 34 020 00000000 00000001 00 = FFFFFFFF 10 34 021 00000000 00000001 01 = FFFFFFFF 10 34 022 00000000 00000001 10 = 00000001 00 34 023 00000000 00000001 11 = 00000001 00 34 024 00000001 00000001 00 = FFFFFFFF 10 34 025 00000001 00000001 01 = FFFFFFFF 10 34 026 00000001 00000001 10 = 00000001 00 34 027 00000001 00000001 11 = 00000001 00 34 028 00000002 00000001 00 = FFFFFFFF 10 34 029 00000002 00000001 01 = FFFFFFFF 10 34 02A 00000002 00000001 10 = 00000001 00 34 02B 00000002 00000001 11 = 00000001 00 34 02C 7FFFFFFF 00000001 00 = FFFFFFFF 10 34 02D 7FFFFFFF 00000001 01 = FFFFFFFF 10 34 02E 7FFFFFFF 00000001 10 = 00000001 00 34 02F 7FFFFFFF 00000001 11 = 00000001 00 34 030 80000000 00000001 00 = FFFFFFFF 10 34 031 80000000 00000001 01 = FFFFFFFF 10 34 032 80000000 00000001 10 = 00000001 00 34 033 80000000 00000001 11 = 00000001 00 34 034 80000001 00000001 00 = FFFFFFFF 10 34 035 80000001 00000001 01 = FFFFFFFF 10 34 036 80000001 00000001 10 = 00000001 00 34 037 80000001 00000001 11 = 00000001 00 34 038 FFFFFFFE 00000001 00 = FFFFFFFF 10 34 039 FFFFFFFE 00000001 01 = FFFFFFFF 10 34 03A FFFFFFFE 00000001 10 = 00000001 00 34 03B FFFFFFFE 00000001 11 = 00000001 00 34 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 34 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 34 03E FFFFFFFF 00000001 10 = 00000001 00 34 03F FFFFFFFF 00000001 11 = 00000001 00 34 040 00000000 00000002 00 = FFFFFFFE 10 34 041 00000000 00000002 01 = FFFFFFFE 10 34 042 00000000 00000002 10 = 00000002 00 34 043 00000000 00000002 11 = 00000002 00 34 044 00000001 00000002 00 = FFFFFFFE 10 34 045 00000001 00000002 01 = FFFFFFFE 10 34 046 00000001 00000002 10 = 00000002 00 34 047 00000001 00000002 11 = 00000002 00 34 048 00000002 00000002 00 = FFFFFFFE 10 34 049 00000002 00000002 01 = FFFFFFFE 10 34 04A 00000002 00000002 10 = 00000002 00 34 04B 00000002 00000002 11 = 00000002 00 34 04C 7FFFFFFF 00000002 00 = FFFFFFFE 10 34 04D 7FFFFFFF 00000002 01 = FFFFFFFE 10 34 04E 7FFFFFFF 00000002 10 = 00000002 00 34 04F 7FFFFFFF 00000002 11 = 00000002 00 34 050 80000000 00000002 00 = FFFFFFFE 10 34 051 80000000 00000002 01 = FFFFFFFE 10 34 052 80000000 00000002 10 = 00000002 00 34 053 80000000 00000002 11 = 00000002 00 34 054 80000001 00000002 00 = FFFFFFFE 10 34 055 80000001 00000002 01 = FFFFFFFE 10 34 056 80000001 00000002 10 = 00000002 00 34 057 80000001 00000002 11 = 00000002 00 34 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 34 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 34 05A FFFFFFFE 00000002 10 = 00000002 00 34 05B FFFFFFFE 00000002 11 = 00000002 00 34 05C FFFFFFFF 00000002 00 = FFFFFFFE 10 34 05D FFFFFFFF 00000002 01 = FFFFFFFE 10 34 05E FFFFFFFF 00000002 10 = 00000002 00 34 05F FFFFFFFF 00000002 11 = 00000002 00 34 060 00000000 7FFFFFFF 00 = 80000001 10 34 061 00000000 7FFFFFFF 01 = 80000001 10 34 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 34 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 34 064 00000001 7FFFFFFF 00 = 80000001 10 34 065 00000001 7FFFFFFF 01 = 80000001 10 34 066 00000001 7FFFFFFF 10 = 7FFFFFFF 00 34 067 00000001 7FFFFFFF 11 = 7FFFFFFF 00 34 068 00000002 7FFFFFFF 00 = 80000001 10 34 069 00000002 7FFFFFFF 01 = 80000001 10 34 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 00 34 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 00 34 06C 7FFFFFFF 7FFFFFFF 00 = 80000001 10 34 06D 7FFFFFFF 7FFFFFFF 01 = 80000001 10 34 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 34 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 34 070 80000000 7FFFFFFF 00 = 80000001 10 34 071 80000000 7FFFFFFF 01 = 80000001 10 34 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 34 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 34 074 80000001 7FFFFFFF 00 = 80000001 10 34 075 80000001 7FFFFFFF 01 = 80000001 10 34 076 80000001 7FFFFFFF 10 = 7FFFFFFF 00 34 077 80000001 7FFFFFFF 11 = 7FFFFFFF 00 34 078 FFFFFFFE 7FFFFFFF 00 = 80000001 10 34 079 FFFFFFFE 7FFFFFFF 01 = 80000001 10 34 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 00 34 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 00 34 07C FFFFFFFF 7FFFFFFF 00 = 80000001 10 34 07D FFFFFFFF 7FFFFFFF 01 = 80000001 10 34 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 34 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 34 080 00000000 80000000 00 = 80000000 10 34 081 00000000 80000000 01 = 80000000 10 34 082 00000000 80000000 10 = 80000000 10 34 083 00000000 80000000 11 = 80000000 10 34 084 00000001 80000000 00 = 80000000 10 34 085 00000001 80000000 01 = 80000000 10 34 086 00000001 80000000 10 = 80000000 10 34 087 00000001 80000000 11 = 80000000 10 34 088 00000002 80000000 00 = 80000000 10 34 089 00000002 80000000 01 = 80000000 10 34 08A 00000002 80000000 10 = 80000000 10 34 08B 00000002 80000000 11 = 80000000 10 34 08C 7FFFFFFF 80000000 00 = 80000000 10 34 08D 7FFFFFFF 80000000 01 = 80000000 10 34 08E 7FFFFFFF 80000000 10 = 80000000 10 34 08F 7FFFFFFF 80000000 11 = 80000000 10 34 090 80000000 80000000 00 = 80000000 10 34 091 80000000 80000000 01 = 80000000 10 34 092 80000000 80000000 10 = 80000000 10 34 093 80000000 80000000 11 = 80000000 10 34 094 80000001 80000000 00 = 80000000 10 34 095 80000001 80000000 01 = 80000000 10 34 096 80000001 80000000 10 = 80000000 10 34 097 80000001 80000000 11 = 80000000 10 34 098 FFFFFFFE 80000000 00 = 80000000 10 34 099 FFFFFFFE 80000000 01 = 80000000 10 34 09A FFFFFFFE 80000000 10 = 80000000 10 34 09B FFFFFFFE 80000000 11 = 80000000 10 34 09C FFFFFFFF 80000000 00 = 80000000 10 34 09D FFFFFFFF 80000000 01 = 80000000 10 34 09E FFFFFFFF 80000000 10 = 80000000 10 34 09F FFFFFFFF 80000000 11 = 80000000 10 34 0A0 00000000 80000001 00 = 7FFFFFFF 00 34 0A1 00000000 80000001 01 = 7FFFFFFF 00 34 0A2 00000000 80000001 10 = 80000001 10 34 0A3 00000000 80000001 11 = 80000001 10 34 0A4 00000001 80000001 00 = 7FFFFFFF 00 34 0A5 00000001 80000001 01 = 7FFFFFFF 00 34 0A6 00000001 80000001 10 = 80000001 10 34 0A7 00000001 80000001 11 = 80000001 10 34 0A8 00000002 80000001 00 = 7FFFFFFF 00 34 0A9 00000002 80000001 01 = 7FFFFFFF 00 34 0AA 00000002 80000001 10 = 80000001 10 34 0AB 00000002 80000001 11 = 80000001 10 34 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 34 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 34 0AE 7FFFFFFF 80000001 10 = 80000001 10 34 0AF 7FFFFFFF 80000001 11 = 80000001 10 34 0B0 80000000 80000001 00 = 7FFFFFFF 00 34 0B1 80000000 80000001 01 = 7FFFFFFF 00 34 0B2 80000000 80000001 10 = 80000001 10 34 0B3 80000000 80000001 11 = 80000001 10 34 0B4 80000001 80000001 00 = 7FFFFFFF 00 34 0B5 80000001 80000001 01 = 7FFFFFFF 00 34 0B6 80000001 80000001 10 = 80000001 10 34 0B7 80000001 80000001 11 = 80000001 10 34 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 34 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 34 0BA FFFFFFFE 80000001 10 = 80000001 10 34 0BB FFFFFFFE 80000001 11 = 80000001 10 34 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 00 34 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 00 34 0BE FFFFFFFF 80000001 10 = 80000001 10 34 0BF FFFFFFFF 80000001 11 = 80000001 10 34 0C0 00000000 FFFFFFFE 00 = 00000002 00 34 0C1 00000000 FFFFFFFE 01 = 00000002 00 34 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 34 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 34 0C4 00000001 FFFFFFFE 00 = 00000002 00 34 0C5 00000001 FFFFFFFE 01 = 00000002 00 34 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 34 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 34 0C8 00000002 FFFFFFFE 00 = 00000002 00 34 0C9 00000002 FFFFFFFE 01 = 00000002 00 34 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 34 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 34 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 00 34 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 00 34 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 34 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 10 34 0D0 80000000 FFFFFFFE 00 = 00000002 00 34 0D1 80000000 FFFFFFFE 01 = 00000002 00 34 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 34 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 34 0D4 80000001 FFFFFFFE 00 = 00000002 00 34 0D5 80000001 FFFFFFFE 01 = 00000002 00 34 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 34 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 34 0D8 FFFFFFFE FFFFFFFE 00 = 00000002 00 34 0D9 FFFFFFFE FFFFFFFE 01 = 00000002 00 34 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 34 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 34 0DC FFFFFFFF FFFFFFFE 00 = 00000002 00 34 0DD FFFFFFFF FFFFFFFE 01 = 00000002 00 34 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 34 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 34 0E0 00000000 FFFFFFFF 00 = 00000001 00 34 0E1 00000000 FFFFFFFF 01 = 00000001 00 34 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 34 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 34 0E4 00000001 FFFFFFFF 00 = 00000001 00 34 0E5 00000001 FFFFFFFF 01 = 00000001 00 34 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 34 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 34 0E8 00000002 FFFFFFFF 00 = 00000001 00 34 0E9 00000002 FFFFFFFF 01 = 00000001 00 34 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 34 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 10 34 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 00 34 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 00 34 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 34 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 34 0F0 80000000 FFFFFFFF 00 = 00000001 00 34 0F1 80000000 FFFFFFFF 01 = 00000001 00 34 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 34 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 10 34 0F4 80000001 FFFFFFFF 00 = 00000001 00 34 0F5 80000001 FFFFFFFF 01 = 00000001 00 34 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 34 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 34 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 00 34 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 00 34 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 34 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 34 0FC FFFFFFFF FFFFFFFF 00 = 00000001 00 34 0FD FFFFFFFF FFFFFFFF 01 = 00000001 00 34 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 34 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 negz ---D---- ---S---- CZ = ---Q---- CZ 35 000 00000000 00000000 00 = 00000000 01 35 001 00000000 00000000 01 = 00000000 01 35 002 00000000 00000000 10 = 00000000 01 35 003 00000000 00000000 11 = 00000000 01 35 004 00000001 00000000 00 = 00000000 01 35 005 00000001 00000000 01 = 00000000 01 35 006 00000001 00000000 10 = 00000000 01 35 007 00000001 00000000 11 = 00000000 01 35 008 00000002 00000000 00 = 00000000 01 35 009 00000002 00000000 01 = 00000000 01 35 00A 00000002 00000000 10 = 00000000 01 35 00B 00000002 00000000 11 = 00000000 01 35 00C 7FFFFFFF 00000000 00 = 00000000 01 35 00D 7FFFFFFF 00000000 01 = 00000000 01 35 00E 7FFFFFFF 00000000 10 = 00000000 01 35 00F 7FFFFFFF 00000000 11 = 00000000 01 35 010 80000000 00000000 00 = 00000000 01 35 011 80000000 00000000 01 = 00000000 01 35 012 80000000 00000000 10 = 00000000 01 35 013 80000000 00000000 11 = 00000000 01 35 014 80000001 00000000 00 = 00000000 01 35 015 80000001 00000000 01 = 00000000 01 35 016 80000001 00000000 10 = 00000000 01 35 017 80000001 00000000 11 = 00000000 01 35 018 FFFFFFFE 00000000 00 = 00000000 01 35 019 FFFFFFFE 00000000 01 = 00000000 01 35 01A FFFFFFFE 00000000 10 = 00000000 01 35 01B FFFFFFFE 00000000 11 = 00000000 01 35 01C FFFFFFFF 00000000 00 = 00000000 01 35 01D FFFFFFFF 00000000 01 = 00000000 01 35 01E FFFFFFFF 00000000 10 = 00000000 01 35 01F FFFFFFFF 00000000 11 = 00000000 01 35 020 00000000 00000001 00 = 00000001 00 35 021 00000000 00000001 01 = FFFFFFFF 10 35 022 00000000 00000001 10 = 00000001 00 35 023 00000000 00000001 11 = FFFFFFFF 10 35 024 00000001 00000001 00 = 00000001 00 35 025 00000001 00000001 01 = FFFFFFFF 10 35 026 00000001 00000001 10 = 00000001 00 35 027 00000001 00000001 11 = FFFFFFFF 10 35 028 00000002 00000001 00 = 00000001 00 35 029 00000002 00000001 01 = FFFFFFFF 10 35 02A 00000002 00000001 10 = 00000001 00 35 02B 00000002 00000001 11 = FFFFFFFF 10 35 02C 7FFFFFFF 00000001 00 = 00000001 00 35 02D 7FFFFFFF 00000001 01 = FFFFFFFF 10 35 02E 7FFFFFFF 00000001 10 = 00000001 00 35 02F 7FFFFFFF 00000001 11 = FFFFFFFF 10 35 030 80000000 00000001 00 = 00000001 00 35 031 80000000 00000001 01 = FFFFFFFF 10 35 032 80000000 00000001 10 = 00000001 00 35 033 80000000 00000001 11 = FFFFFFFF 10 35 034 80000001 00000001 00 = 00000001 00 35 035 80000001 00000001 01 = FFFFFFFF 10 35 036 80000001 00000001 10 = 00000001 00 35 037 80000001 00000001 11 = FFFFFFFF 10 35 038 FFFFFFFE 00000001 00 = 00000001 00 35 039 FFFFFFFE 00000001 01 = FFFFFFFF 10 35 03A FFFFFFFE 00000001 10 = 00000001 00 35 03B FFFFFFFE 00000001 11 = FFFFFFFF 10 35 03C FFFFFFFF 00000001 00 = 00000001 00 35 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 35 03E FFFFFFFF 00000001 10 = 00000001 00 35 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 35 040 00000000 00000002 00 = 00000002 00 35 041 00000000 00000002 01 = FFFFFFFE 10 35 042 00000000 00000002 10 = 00000002 00 35 043 00000000 00000002 11 = FFFFFFFE 10 35 044 00000001 00000002 00 = 00000002 00 35 045 00000001 00000002 01 = FFFFFFFE 10 35 046 00000001 00000002 10 = 00000002 00 35 047 00000001 00000002 11 = FFFFFFFE 10 35 048 00000002 00000002 00 = 00000002 00 35 049 00000002 00000002 01 = FFFFFFFE 10 35 04A 00000002 00000002 10 = 00000002 00 35 04B 00000002 00000002 11 = FFFFFFFE 10 35 04C 7FFFFFFF 00000002 00 = 00000002 00 35 04D 7FFFFFFF 00000002 01 = FFFFFFFE 10 35 04E 7FFFFFFF 00000002 10 = 00000002 00 35 04F 7FFFFFFF 00000002 11 = FFFFFFFE 10 35 050 80000000 00000002 00 = 00000002 00 35 051 80000000 00000002 01 = FFFFFFFE 10 35 052 80000000 00000002 10 = 00000002 00 35 053 80000000 00000002 11 = FFFFFFFE 10 35 054 80000001 00000002 00 = 00000002 00 35 055 80000001 00000002 01 = FFFFFFFE 10 35 056 80000001 00000002 10 = 00000002 00 35 057 80000001 00000002 11 = FFFFFFFE 10 35 058 FFFFFFFE 00000002 00 = 00000002 00 35 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 35 05A FFFFFFFE 00000002 10 = 00000002 00 35 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 35 05C FFFFFFFF 00000002 00 = 00000002 00 35 05D FFFFFFFF 00000002 01 = FFFFFFFE 10 35 05E FFFFFFFF 00000002 10 = 00000002 00 35 05F FFFFFFFF 00000002 11 = FFFFFFFE 10 35 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 35 061 00000000 7FFFFFFF 01 = 80000001 10 35 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 35 063 00000000 7FFFFFFF 11 = 80000001 10 35 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 35 065 00000001 7FFFFFFF 01 = 80000001 10 35 066 00000001 7FFFFFFF 10 = 7FFFFFFF 00 35 067 00000001 7FFFFFFF 11 = 80000001 10 35 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 35 069 00000002 7FFFFFFF 01 = 80000001 10 35 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 00 35 06B 00000002 7FFFFFFF 11 = 80000001 10 35 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 35 06D 7FFFFFFF 7FFFFFFF 01 = 80000001 10 35 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 35 06F 7FFFFFFF 7FFFFFFF 11 = 80000001 10 35 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 35 071 80000000 7FFFFFFF 01 = 80000001 10 35 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 35 073 80000000 7FFFFFFF 11 = 80000001 10 35 074 80000001 7FFFFFFF 00 = 7FFFFFFF 00 35 075 80000001 7FFFFFFF 01 = 80000001 10 35 076 80000001 7FFFFFFF 10 = 7FFFFFFF 00 35 077 80000001 7FFFFFFF 11 = 80000001 10 35 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 35 079 FFFFFFFE 7FFFFFFF 01 = 80000001 10 35 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 00 35 07B FFFFFFFE 7FFFFFFF 11 = 80000001 10 35 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 35 07D FFFFFFFF 7FFFFFFF 01 = 80000001 10 35 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 35 07F FFFFFFFF 7FFFFFFF 11 = 80000001 10 35 080 00000000 80000000 00 = 80000000 10 35 081 00000000 80000000 01 = 80000000 10 35 082 00000000 80000000 10 = 80000000 10 35 083 00000000 80000000 11 = 80000000 10 35 084 00000001 80000000 00 = 80000000 10 35 085 00000001 80000000 01 = 80000000 10 35 086 00000001 80000000 10 = 80000000 10 35 087 00000001 80000000 11 = 80000000 10 35 088 00000002 80000000 00 = 80000000 10 35 089 00000002 80000000 01 = 80000000 10 35 08A 00000002 80000000 10 = 80000000 10 35 08B 00000002 80000000 11 = 80000000 10 35 08C 7FFFFFFF 80000000 00 = 80000000 10 35 08D 7FFFFFFF 80000000 01 = 80000000 10 35 08E 7FFFFFFF 80000000 10 = 80000000 10 35 08F 7FFFFFFF 80000000 11 = 80000000 10 35 090 80000000 80000000 00 = 80000000 10 35 091 80000000 80000000 01 = 80000000 10 35 092 80000000 80000000 10 = 80000000 10 35 093 80000000 80000000 11 = 80000000 10 35 094 80000001 80000000 00 = 80000000 10 35 095 80000001 80000000 01 = 80000000 10 35 096 80000001 80000000 10 = 80000000 10 35 097 80000001 80000000 11 = 80000000 10 35 098 FFFFFFFE 80000000 00 = 80000000 10 35 099 FFFFFFFE 80000000 01 = 80000000 10 35 09A FFFFFFFE 80000000 10 = 80000000 10 35 09B FFFFFFFE 80000000 11 = 80000000 10 35 09C FFFFFFFF 80000000 00 = 80000000 10 35 09D FFFFFFFF 80000000 01 = 80000000 10 35 09E FFFFFFFF 80000000 10 = 80000000 10 35 09F FFFFFFFF 80000000 11 = 80000000 10 35 0A0 00000000 80000001 00 = 80000001 10 35 0A1 00000000 80000001 01 = 7FFFFFFF 00 35 0A2 00000000 80000001 10 = 80000001 10 35 0A3 00000000 80000001 11 = 7FFFFFFF 00 35 0A4 00000001 80000001 00 = 80000001 10 35 0A5 00000001 80000001 01 = 7FFFFFFF 00 35 0A6 00000001 80000001 10 = 80000001 10 35 0A7 00000001 80000001 11 = 7FFFFFFF 00 35 0A8 00000002 80000001 00 = 80000001 10 35 0A9 00000002 80000001 01 = 7FFFFFFF 00 35 0AA 00000002 80000001 10 = 80000001 10 35 0AB 00000002 80000001 11 = 7FFFFFFF 00 35 0AC 7FFFFFFF 80000001 00 = 80000001 10 35 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 35 0AE 7FFFFFFF 80000001 10 = 80000001 10 35 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 35 0B0 80000000 80000001 00 = 80000001 10 35 0B1 80000000 80000001 01 = 7FFFFFFF 00 35 0B2 80000000 80000001 10 = 80000001 10 35 0B3 80000000 80000001 11 = 7FFFFFFF 00 35 0B4 80000001 80000001 00 = 80000001 10 35 0B5 80000001 80000001 01 = 7FFFFFFF 00 35 0B6 80000001 80000001 10 = 80000001 10 35 0B7 80000001 80000001 11 = 7FFFFFFF 00 35 0B8 FFFFFFFE 80000001 00 = 80000001 10 35 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 35 0BA FFFFFFFE 80000001 10 = 80000001 10 35 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 00 35 0BC FFFFFFFF 80000001 00 = 80000001 10 35 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 00 35 0BE FFFFFFFF 80000001 10 = 80000001 10 35 0BF FFFFFFFF 80000001 11 = 7FFFFFFF 00 35 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 35 0C1 00000000 FFFFFFFE 01 = 00000002 00 35 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 35 0C3 00000000 FFFFFFFE 11 = 00000002 00 35 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 10 35 0C5 00000001 FFFFFFFE 01 = 00000002 00 35 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 35 0C7 00000001 FFFFFFFE 11 = 00000002 00 35 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 35 0C9 00000002 FFFFFFFE 01 = 00000002 00 35 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 35 0CB 00000002 FFFFFFFE 11 = 00000002 00 35 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 10 35 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 00 35 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 35 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 00 35 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 35 0D1 80000000 FFFFFFFE 01 = 00000002 00 35 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 35 0D3 80000000 FFFFFFFE 11 = 00000002 00 35 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 10 35 0D5 80000001 FFFFFFFE 01 = 00000002 00 35 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 35 0D7 80000001 FFFFFFFE 11 = 00000002 00 35 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 35 0D9 FFFFFFFE FFFFFFFE 01 = 00000002 00 35 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 35 0DB FFFFFFFE FFFFFFFE 11 = 00000002 00 35 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 35 0DD FFFFFFFF FFFFFFFE 01 = 00000002 00 35 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 35 0DF FFFFFFFF FFFFFFFE 11 = 00000002 00 35 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 35 0E1 00000000 FFFFFFFF 01 = 00000001 00 35 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 35 0E3 00000000 FFFFFFFF 11 = 00000001 00 35 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 10 35 0E5 00000001 FFFFFFFF 01 = 00000001 00 35 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 35 0E7 00000001 FFFFFFFF 11 = 00000001 00 35 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 10 35 0E9 00000002 FFFFFFFF 01 = 00000001 00 35 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 35 0EB 00000002 FFFFFFFF 11 = 00000001 00 35 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 35 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 00 35 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 35 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 00 35 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 10 35 0F1 80000000 FFFFFFFF 01 = 00000001 00 35 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 35 0F3 80000000 FFFFFFFF 11 = 00000001 00 35 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 10 35 0F5 80000001 FFFFFFFF 01 = 00000001 00 35 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 35 0F7 80000001 FFFFFFFF 11 = 00000001 00 35 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 35 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 00 35 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 35 0FB FFFFFFFE FFFFFFFF 11 = 00000001 00 35 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 35 0FD FFFFFFFF FFFFFFFF 01 = 00000001 00 35 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 35 0FF FFFFFFFF FFFFFFFF 11 = 00000001 00 negnz ---D---- ---S---- CZ = ---Q---- CZ 36 000 00000000 00000000 00 = 00000000 01 36 001 00000000 00000000 01 = 00000000 01 36 002 00000000 00000000 10 = 00000000 01 36 003 00000000 00000000 11 = 00000000 01 36 004 00000001 00000000 00 = 00000000 01 36 005 00000001 00000000 01 = 00000000 01 36 006 00000001 00000000 10 = 00000000 01 36 007 00000001 00000000 11 = 00000000 01 36 008 00000002 00000000 00 = 00000000 01 36 009 00000002 00000000 01 = 00000000 01 36 00A 00000002 00000000 10 = 00000000 01 36 00B 00000002 00000000 11 = 00000000 01 36 00C 7FFFFFFF 00000000 00 = 00000000 01 36 00D 7FFFFFFF 00000000 01 = 00000000 01 36 00E 7FFFFFFF 00000000 10 = 00000000 01 36 00F 7FFFFFFF 00000000 11 = 00000000 01 36 010 80000000 00000000 00 = 00000000 01 36 011 80000000 00000000 01 = 00000000 01 36 012 80000000 00000000 10 = 00000000 01 36 013 80000000 00000000 11 = 00000000 01 36 014 80000001 00000000 00 = 00000000 01 36 015 80000001 00000000 01 = 00000000 01 36 016 80000001 00000000 10 = 00000000 01 36 017 80000001 00000000 11 = 00000000 01 36 018 FFFFFFFE 00000000 00 = 00000000 01 36 019 FFFFFFFE 00000000 01 = 00000000 01 36 01A FFFFFFFE 00000000 10 = 00000000 01 36 01B FFFFFFFE 00000000 11 = 00000000 01 36 01C FFFFFFFF 00000000 00 = 00000000 01 36 01D FFFFFFFF 00000000 01 = 00000000 01 36 01E FFFFFFFF 00000000 10 = 00000000 01 36 01F FFFFFFFF 00000000 11 = 00000000 01 36 020 00000000 00000001 00 = FFFFFFFF 10 36 021 00000000 00000001 01 = 00000001 00 36 022 00000000 00000001 10 = FFFFFFFF 10 36 023 00000000 00000001 11 = 00000001 00 36 024 00000001 00000001 00 = FFFFFFFF 10 36 025 00000001 00000001 01 = 00000001 00 36 026 00000001 00000001 10 = FFFFFFFF 10 36 027 00000001 00000001 11 = 00000001 00 36 028 00000002 00000001 00 = FFFFFFFF 10 36 029 00000002 00000001 01 = 00000001 00 36 02A 00000002 00000001 10 = FFFFFFFF 10 36 02B 00000002 00000001 11 = 00000001 00 36 02C 7FFFFFFF 00000001 00 = FFFFFFFF 10 36 02D 7FFFFFFF 00000001 01 = 00000001 00 36 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 36 02F 7FFFFFFF 00000001 11 = 00000001 00 36 030 80000000 00000001 00 = FFFFFFFF 10 36 031 80000000 00000001 01 = 00000001 00 36 032 80000000 00000001 10 = FFFFFFFF 10 36 033 80000000 00000001 11 = 00000001 00 36 034 80000001 00000001 00 = FFFFFFFF 10 36 035 80000001 00000001 01 = 00000001 00 36 036 80000001 00000001 10 = FFFFFFFF 10 36 037 80000001 00000001 11 = 00000001 00 36 038 FFFFFFFE 00000001 00 = FFFFFFFF 10 36 039 FFFFFFFE 00000001 01 = 00000001 00 36 03A FFFFFFFE 00000001 10 = FFFFFFFF 10 36 03B FFFFFFFE 00000001 11 = 00000001 00 36 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 36 03D FFFFFFFF 00000001 01 = 00000001 00 36 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 36 03F FFFFFFFF 00000001 11 = 00000001 00 36 040 00000000 00000002 00 = FFFFFFFE 10 36 041 00000000 00000002 01 = 00000002 00 36 042 00000000 00000002 10 = FFFFFFFE 10 36 043 00000000 00000002 11 = 00000002 00 36 044 00000001 00000002 00 = FFFFFFFE 10 36 045 00000001 00000002 01 = 00000002 00 36 046 00000001 00000002 10 = FFFFFFFE 10 36 047 00000001 00000002 11 = 00000002 00 36 048 00000002 00000002 00 = FFFFFFFE 10 36 049 00000002 00000002 01 = 00000002 00 36 04A 00000002 00000002 10 = FFFFFFFE 10 36 04B 00000002 00000002 11 = 00000002 00 36 04C 7FFFFFFF 00000002 00 = FFFFFFFE 10 36 04D 7FFFFFFF 00000002 01 = 00000002 00 36 04E 7FFFFFFF 00000002 10 = FFFFFFFE 10 36 04F 7FFFFFFF 00000002 11 = 00000002 00 36 050 80000000 00000002 00 = FFFFFFFE 10 36 051 80000000 00000002 01 = 00000002 00 36 052 80000000 00000002 10 = FFFFFFFE 10 36 053 80000000 00000002 11 = 00000002 00 36 054 80000001 00000002 00 = FFFFFFFE 10 36 055 80000001 00000002 01 = 00000002 00 36 056 80000001 00000002 10 = FFFFFFFE 10 36 057 80000001 00000002 11 = 00000002 00 36 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 36 059 FFFFFFFE 00000002 01 = 00000002 00 36 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 36 05B FFFFFFFE 00000002 11 = 00000002 00 36 05C FFFFFFFF 00000002 00 = FFFFFFFE 10 36 05D FFFFFFFF 00000002 01 = 00000002 00 36 05E FFFFFFFF 00000002 10 = FFFFFFFE 10 36 05F FFFFFFFF 00000002 11 = 00000002 00 36 060 00000000 7FFFFFFF 00 = 80000001 10 36 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 36 062 00000000 7FFFFFFF 10 = 80000001 10 36 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 36 064 00000001 7FFFFFFF 00 = 80000001 10 36 065 00000001 7FFFFFFF 01 = 7FFFFFFF 00 36 066 00000001 7FFFFFFF 10 = 80000001 10 36 067 00000001 7FFFFFFF 11 = 7FFFFFFF 00 36 068 00000002 7FFFFFFF 00 = 80000001 10 36 069 00000002 7FFFFFFF 01 = 7FFFFFFF 00 36 06A 00000002 7FFFFFFF 10 = 80000001 10 36 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 00 36 06C 7FFFFFFF 7FFFFFFF 00 = 80000001 10 36 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 36 06E 7FFFFFFF 7FFFFFFF 10 = 80000001 10 36 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 36 070 80000000 7FFFFFFF 00 = 80000001 10 36 071 80000000 7FFFFFFF 01 = 7FFFFFFF 00 36 072 80000000 7FFFFFFF 10 = 80000001 10 36 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 36 074 80000001 7FFFFFFF 00 = 80000001 10 36 075 80000001 7FFFFFFF 01 = 7FFFFFFF 00 36 076 80000001 7FFFFFFF 10 = 80000001 10 36 077 80000001 7FFFFFFF 11 = 7FFFFFFF 00 36 078 FFFFFFFE 7FFFFFFF 00 = 80000001 10 36 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 36 07A FFFFFFFE 7FFFFFFF 10 = 80000001 10 36 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 00 36 07C FFFFFFFF 7FFFFFFF 00 = 80000001 10 36 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 36 07E FFFFFFFF 7FFFFFFF 10 = 80000001 10 36 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 36 080 00000000 80000000 00 = 80000000 10 36 081 00000000 80000000 01 = 80000000 10 36 082 00000000 80000000 10 = 80000000 10 36 083 00000000 80000000 11 = 80000000 10 36 084 00000001 80000000 00 = 80000000 10 36 085 00000001 80000000 01 = 80000000 10 36 086 00000001 80000000 10 = 80000000 10 36 087 00000001 80000000 11 = 80000000 10 36 088 00000002 80000000 00 = 80000000 10 36 089 00000002 80000000 01 = 80000000 10 36 08A 00000002 80000000 10 = 80000000 10 36 08B 00000002 80000000 11 = 80000000 10 36 08C 7FFFFFFF 80000000 00 = 80000000 10 36 08D 7FFFFFFF 80000000 01 = 80000000 10 36 08E 7FFFFFFF 80000000 10 = 80000000 10 36 08F 7FFFFFFF 80000000 11 = 80000000 10 36 090 80000000 80000000 00 = 80000000 10 36 091 80000000 80000000 01 = 80000000 10 36 092 80000000 80000000 10 = 80000000 10 36 093 80000000 80000000 11 = 80000000 10 36 094 80000001 80000000 00 = 80000000 10 36 095 80000001 80000000 01 = 80000000 10 36 096 80000001 80000000 10 = 80000000 10 36 097 80000001 80000000 11 = 80000000 10 36 098 FFFFFFFE 80000000 00 = 80000000 10 36 099 FFFFFFFE 80000000 01 = 80000000 10 36 09A FFFFFFFE 80000000 10 = 80000000 10 36 09B FFFFFFFE 80000000 11 = 80000000 10 36 09C FFFFFFFF 80000000 00 = 80000000 10 36 09D FFFFFFFF 80000000 01 = 80000000 10 36 09E FFFFFFFF 80000000 10 = 80000000 10 36 09F FFFFFFFF 80000000 11 = 80000000 10 36 0A0 00000000 80000001 00 = 7FFFFFFF 00 36 0A1 00000000 80000001 01 = 80000001 10 36 0A2 00000000 80000001 10 = 7FFFFFFF 00 36 0A3 00000000 80000001 11 = 80000001 10 36 0A4 00000001 80000001 00 = 7FFFFFFF 00 36 0A5 00000001 80000001 01 = 80000001 10 36 0A6 00000001 80000001 10 = 7FFFFFFF 00 36 0A7 00000001 80000001 11 = 80000001 10 36 0A8 00000002 80000001 00 = 7FFFFFFF 00 36 0A9 00000002 80000001 01 = 80000001 10 36 0AA 00000002 80000001 10 = 7FFFFFFF 00 36 0AB 00000002 80000001 11 = 80000001 10 36 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 36 0AD 7FFFFFFF 80000001 01 = 80000001 10 36 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 36 0AF 7FFFFFFF 80000001 11 = 80000001 10 36 0B0 80000000 80000001 00 = 7FFFFFFF 00 36 0B1 80000000 80000001 01 = 80000001 10 36 0B2 80000000 80000001 10 = 7FFFFFFF 00 36 0B3 80000000 80000001 11 = 80000001 10 36 0B4 80000001 80000001 00 = 7FFFFFFF 00 36 0B5 80000001 80000001 01 = 80000001 10 36 0B6 80000001 80000001 10 = 7FFFFFFF 00 36 0B7 80000001 80000001 11 = 80000001 10 36 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 36 0B9 FFFFFFFE 80000001 01 = 80000001 10 36 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 00 36 0BB FFFFFFFE 80000001 11 = 80000001 10 36 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 00 36 0BD FFFFFFFF 80000001 01 = 80000001 10 36 0BE FFFFFFFF 80000001 10 = 7FFFFFFF 00 36 0BF FFFFFFFF 80000001 11 = 80000001 10 36 0C0 00000000 FFFFFFFE 00 = 00000002 00 36 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 36 0C2 00000000 FFFFFFFE 10 = 00000002 00 36 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 36 0C4 00000001 FFFFFFFE 00 = 00000002 00 36 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 10 36 0C6 00000001 FFFFFFFE 10 = 00000002 00 36 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 36 0C8 00000002 FFFFFFFE 00 = 00000002 00 36 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 36 0CA 00000002 FFFFFFFE 10 = 00000002 00 36 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 36 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 00 36 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 10 36 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 00 36 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 10 36 0D0 80000000 FFFFFFFE 00 = 00000002 00 36 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 36 0D2 80000000 FFFFFFFE 10 = 00000002 00 36 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 36 0D4 80000001 FFFFFFFE 00 = 00000002 00 36 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 10 36 0D6 80000001 FFFFFFFE 10 = 00000002 00 36 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 36 0D8 FFFFFFFE FFFFFFFE 00 = 00000002 00 36 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 36 0DA FFFFFFFE FFFFFFFE 10 = 00000002 00 36 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 36 0DC FFFFFFFF FFFFFFFE 00 = 00000002 00 36 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 36 0DE FFFFFFFF FFFFFFFE 10 = 00000002 00 36 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 36 0E0 00000000 FFFFFFFF 00 = 00000001 00 36 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 36 0E2 00000000 FFFFFFFF 10 = 00000001 00 36 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 36 0E4 00000001 FFFFFFFF 00 = 00000001 00 36 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 10 36 0E6 00000001 FFFFFFFF 10 = 00000001 00 36 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 36 0E8 00000002 FFFFFFFF 00 = 00000001 00 36 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 10 36 0EA 00000002 FFFFFFFF 10 = 00000001 00 36 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 10 36 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 00 36 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 36 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 00 36 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 36 0F0 80000000 FFFFFFFF 00 = 00000001 00 36 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 10 36 0F2 80000000 FFFFFFFF 10 = 00000001 00 36 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 10 36 0F4 80000001 FFFFFFFF 00 = 00000001 00 36 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 10 36 0F6 80000001 FFFFFFFF 10 = 00000001 00 36 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 36 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 00 36 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 36 0FA FFFFFFFE FFFFFFFF 10 = 00000001 00 36 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 36 0FC FFFFFFFF FFFFFFFF 00 = 00000001 00 36 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 36 0FE FFFFFFFF FFFFFFFF 10 = 00000001 00 36 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 incmod ---D---- ---S---- CZ = ---Q---- CZ 37 000 00000000 00000000 00 = 00000000 11 37 001 00000000 00000000 01 = 00000000 11 37 002 00000000 00000000 10 = 00000000 11 37 003 00000000 00000000 11 = 00000000 11 37 004 00000001 00000000 00 = 00000002 00 37 005 00000001 00000000 01 = 00000002 00 37 006 00000001 00000000 10 = 00000002 00 37 007 00000001 00000000 11 = 00000002 00 37 008 00000002 00000000 00 = 00000003 00 37 009 00000002 00000000 01 = 00000003 00 37 00A 00000002 00000000 10 = 00000003 00 37 00B 00000002 00000000 11 = 00000003 00 37 00C 7FFFFFFF 00000000 00 = 80000000 00 37 00D 7FFFFFFF 00000000 01 = 80000000 00 37 00E 7FFFFFFF 00000000 10 = 80000000 00 37 00F 7FFFFFFF 00000000 11 = 80000000 00 37 010 80000000 00000000 00 = 80000001 00 37 011 80000000 00000000 01 = 80000001 00 37 012 80000000 00000000 10 = 80000001 00 37 013 80000000 00000000 11 = 80000001 00 37 014 80000001 00000000 00 = 80000002 00 37 015 80000001 00000000 01 = 80000002 00 37 016 80000001 00000000 10 = 80000002 00 37 017 80000001 00000000 11 = 80000002 00 37 018 FFFFFFFE 00000000 00 = FFFFFFFF 00 37 019 FFFFFFFE 00000000 01 = FFFFFFFF 00 37 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 37 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 37 01C FFFFFFFF 00000000 00 = 00000000 01 37 01D FFFFFFFF 00000000 01 = 00000000 01 37 01E FFFFFFFF 00000000 10 = 00000000 01 37 01F FFFFFFFF 00000000 11 = 00000000 01 37 020 00000000 00000001 00 = 00000001 00 37 021 00000000 00000001 01 = 00000001 00 37 022 00000000 00000001 10 = 00000001 00 37 023 00000000 00000001 11 = 00000001 00 37 024 00000001 00000001 00 = 00000000 11 37 025 00000001 00000001 01 = 00000000 11 37 026 00000001 00000001 10 = 00000000 11 37 027 00000001 00000001 11 = 00000000 11 37 028 00000002 00000001 00 = 00000003 00 37 029 00000002 00000001 01 = 00000003 00 37 02A 00000002 00000001 10 = 00000003 00 37 02B 00000002 00000001 11 = 00000003 00 37 02C 7FFFFFFF 00000001 00 = 80000000 00 37 02D 7FFFFFFF 00000001 01 = 80000000 00 37 02E 7FFFFFFF 00000001 10 = 80000000 00 37 02F 7FFFFFFF 00000001 11 = 80000000 00 37 030 80000000 00000001 00 = 80000001 00 37 031 80000000 00000001 01 = 80000001 00 37 032 80000000 00000001 10 = 80000001 00 37 033 80000000 00000001 11 = 80000001 00 37 034 80000001 00000001 00 = 80000002 00 37 035 80000001 00000001 01 = 80000002 00 37 036 80000001 00000001 10 = 80000002 00 37 037 80000001 00000001 11 = 80000002 00 37 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 37 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 37 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 37 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 37 03C FFFFFFFF 00000001 00 = 00000000 01 37 03D FFFFFFFF 00000001 01 = 00000000 01 37 03E FFFFFFFF 00000001 10 = 00000000 01 37 03F FFFFFFFF 00000001 11 = 00000000 01 37 040 00000000 00000002 00 = 00000001 00 37 041 00000000 00000002 01 = 00000001 00 37 042 00000000 00000002 10 = 00000001 00 37 043 00000000 00000002 11 = 00000001 00 37 044 00000001 00000002 00 = 00000002 00 37 045 00000001 00000002 01 = 00000002 00 37 046 00000001 00000002 10 = 00000002 00 37 047 00000001 00000002 11 = 00000002 00 37 048 00000002 00000002 00 = 00000000 11 37 049 00000002 00000002 01 = 00000000 11 37 04A 00000002 00000002 10 = 00000000 11 37 04B 00000002 00000002 11 = 00000000 11 37 04C 7FFFFFFF 00000002 00 = 80000000 00 37 04D 7FFFFFFF 00000002 01 = 80000000 00 37 04E 7FFFFFFF 00000002 10 = 80000000 00 37 04F 7FFFFFFF 00000002 11 = 80000000 00 37 050 80000000 00000002 00 = 80000001 00 37 051 80000000 00000002 01 = 80000001 00 37 052 80000000 00000002 10 = 80000001 00 37 053 80000000 00000002 11 = 80000001 00 37 054 80000001 00000002 00 = 80000002 00 37 055 80000001 00000002 01 = 80000002 00 37 056 80000001 00000002 10 = 80000002 00 37 057 80000001 00000002 11 = 80000002 00 37 058 FFFFFFFE 00000002 00 = FFFFFFFF 00 37 059 FFFFFFFE 00000002 01 = FFFFFFFF 00 37 05A FFFFFFFE 00000002 10 = FFFFFFFF 00 37 05B FFFFFFFE 00000002 11 = FFFFFFFF 00 37 05C FFFFFFFF 00000002 00 = 00000000 01 37 05D FFFFFFFF 00000002 01 = 00000000 01 37 05E FFFFFFFF 00000002 10 = 00000000 01 37 05F FFFFFFFF 00000002 11 = 00000000 01 37 060 00000000 7FFFFFFF 00 = 00000001 00 37 061 00000000 7FFFFFFF 01 = 00000001 00 37 062 00000000 7FFFFFFF 10 = 00000001 00 37 063 00000000 7FFFFFFF 11 = 00000001 00 37 064 00000001 7FFFFFFF 00 = 00000002 00 37 065 00000001 7FFFFFFF 01 = 00000002 00 37 066 00000001 7FFFFFFF 10 = 00000002 00 37 067 00000001 7FFFFFFF 11 = 00000002 00 37 068 00000002 7FFFFFFF 00 = 00000003 00 37 069 00000002 7FFFFFFF 01 = 00000003 00 37 06A 00000002 7FFFFFFF 10 = 00000003 00 37 06B 00000002 7FFFFFFF 11 = 00000003 00 37 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 11 37 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 11 37 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 11 37 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 11 37 070 80000000 7FFFFFFF 00 = 80000001 00 37 071 80000000 7FFFFFFF 01 = 80000001 00 37 072 80000000 7FFFFFFF 10 = 80000001 00 37 073 80000000 7FFFFFFF 11 = 80000001 00 37 074 80000001 7FFFFFFF 00 = 80000002 00 37 075 80000001 7FFFFFFF 01 = 80000002 00 37 076 80000001 7FFFFFFF 10 = 80000002 00 37 077 80000001 7FFFFFFF 11 = 80000002 00 37 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 37 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 00 37 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 00 37 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 00 37 07C FFFFFFFF 7FFFFFFF 00 = 00000000 01 37 07D FFFFFFFF 7FFFFFFF 01 = 00000000 01 37 07E FFFFFFFF 7FFFFFFF 10 = 00000000 01 37 07F FFFFFFFF 7FFFFFFF 11 = 00000000 01 37 080 00000000 80000000 00 = 00000001 00 37 081 00000000 80000000 01 = 00000001 00 37 082 00000000 80000000 10 = 00000001 00 37 083 00000000 80000000 11 = 00000001 00 37 084 00000001 80000000 00 = 00000002 00 37 085 00000001 80000000 01 = 00000002 00 37 086 00000001 80000000 10 = 00000002 00 37 087 00000001 80000000 11 = 00000002 00 37 088 00000002 80000000 00 = 00000003 00 37 089 00000002 80000000 01 = 00000003 00 37 08A 00000002 80000000 10 = 00000003 00 37 08B 00000002 80000000 11 = 00000003 00 37 08C 7FFFFFFF 80000000 00 = 80000000 00 37 08D 7FFFFFFF 80000000 01 = 80000000 00 37 08E 7FFFFFFF 80000000 10 = 80000000 00 37 08F 7FFFFFFF 80000000 11 = 80000000 00 37 090 80000000 80000000 00 = 00000000 11 37 091 80000000 80000000 01 = 00000000 11 37 092 80000000 80000000 10 = 00000000 11 37 093 80000000 80000000 11 = 00000000 11 37 094 80000001 80000000 00 = 80000002 00 37 095 80000001 80000000 01 = 80000002 00 37 096 80000001 80000000 10 = 80000002 00 37 097 80000001 80000000 11 = 80000002 00 37 098 FFFFFFFE 80000000 00 = FFFFFFFF 00 37 099 FFFFFFFE 80000000 01 = FFFFFFFF 00 37 09A FFFFFFFE 80000000 10 = FFFFFFFF 00 37 09B FFFFFFFE 80000000 11 = FFFFFFFF 00 37 09C FFFFFFFF 80000000 00 = 00000000 01 37 09D FFFFFFFF 80000000 01 = 00000000 01 37 09E FFFFFFFF 80000000 10 = 00000000 01 37 09F FFFFFFFF 80000000 11 = 00000000 01 37 0A0 00000000 80000001 00 = 00000001 00 37 0A1 00000000 80000001 01 = 00000001 00 37 0A2 00000000 80000001 10 = 00000001 00 37 0A3 00000000 80000001 11 = 00000001 00 37 0A4 00000001 80000001 00 = 00000002 00 37 0A5 00000001 80000001 01 = 00000002 00 37 0A6 00000001 80000001 10 = 00000002 00 37 0A7 00000001 80000001 11 = 00000002 00 37 0A8 00000002 80000001 00 = 00000003 00 37 0A9 00000002 80000001 01 = 00000003 00 37 0AA 00000002 80000001 10 = 00000003 00 37 0AB 00000002 80000001 11 = 00000003 00 37 0AC 7FFFFFFF 80000001 00 = 80000000 00 37 0AD 7FFFFFFF 80000001 01 = 80000000 00 37 0AE 7FFFFFFF 80000001 10 = 80000000 00 37 0AF 7FFFFFFF 80000001 11 = 80000000 00 37 0B0 80000000 80000001 00 = 80000001 00 37 0B1 80000000 80000001 01 = 80000001 00 37 0B2 80000000 80000001 10 = 80000001 00 37 0B3 80000000 80000001 11 = 80000001 00 37 0B4 80000001 80000001 00 = 00000000 11 37 0B5 80000001 80000001 01 = 00000000 11 37 0B6 80000001 80000001 10 = 00000000 11 37 0B7 80000001 80000001 11 = 00000000 11 37 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 37 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 00 37 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 37 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 37 0BC FFFFFFFF 80000001 00 = 00000000 01 37 0BD FFFFFFFF 80000001 01 = 00000000 01 37 0BE FFFFFFFF 80000001 10 = 00000000 01 37 0BF FFFFFFFF 80000001 11 = 00000000 01 37 0C0 00000000 FFFFFFFE 00 = 00000001 00 37 0C1 00000000 FFFFFFFE 01 = 00000001 00 37 0C2 00000000 FFFFFFFE 10 = 00000001 00 37 0C3 00000000 FFFFFFFE 11 = 00000001 00 37 0C4 00000001 FFFFFFFE 00 = 00000002 00 37 0C5 00000001 FFFFFFFE 01 = 00000002 00 37 0C6 00000001 FFFFFFFE 10 = 00000002 00 37 0C7 00000001 FFFFFFFE 11 = 00000002 00 37 0C8 00000002 FFFFFFFE 00 = 00000003 00 37 0C9 00000002 FFFFFFFE 01 = 00000003 00 37 0CA 00000002 FFFFFFFE 10 = 00000003 00 37 0CB 00000002 FFFFFFFE 11 = 00000003 00 37 0CC 7FFFFFFF FFFFFFFE 00 = 80000000 00 37 0CD 7FFFFFFF FFFFFFFE 01 = 80000000 00 37 0CE 7FFFFFFF FFFFFFFE 10 = 80000000 00 37 0CF 7FFFFFFF FFFFFFFE 11 = 80000000 00 37 0D0 80000000 FFFFFFFE 00 = 80000001 00 37 0D1 80000000 FFFFFFFE 01 = 80000001 00 37 0D2 80000000 FFFFFFFE 10 = 80000001 00 37 0D3 80000000 FFFFFFFE 11 = 80000001 00 37 0D4 80000001 FFFFFFFE 00 = 80000002 00 37 0D5 80000001 FFFFFFFE 01 = 80000002 00 37 0D6 80000001 FFFFFFFE 10 = 80000002 00 37 0D7 80000001 FFFFFFFE 11 = 80000002 00 37 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 11 37 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 11 37 0DA FFFFFFFE FFFFFFFE 10 = 00000000 11 37 0DB FFFFFFFE FFFFFFFE 11 = 00000000 11 37 0DC FFFFFFFF FFFFFFFE 00 = 00000000 01 37 0DD FFFFFFFF FFFFFFFE 01 = 00000000 01 37 0DE FFFFFFFF FFFFFFFE 10 = 00000000 01 37 0DF FFFFFFFF FFFFFFFE 11 = 00000000 01 37 0E0 00000000 FFFFFFFF 00 = 00000001 00 37 0E1 00000000 FFFFFFFF 01 = 00000001 00 37 0E2 00000000 FFFFFFFF 10 = 00000001 00 37 0E3 00000000 FFFFFFFF 11 = 00000001 00 37 0E4 00000001 FFFFFFFF 00 = 00000002 00 37 0E5 00000001 FFFFFFFF 01 = 00000002 00 37 0E6 00000001 FFFFFFFF 10 = 00000002 00 37 0E7 00000001 FFFFFFFF 11 = 00000002 00 37 0E8 00000002 FFFFFFFF 00 = 00000003 00 37 0E9 00000002 FFFFFFFF 01 = 00000003 00 37 0EA 00000002 FFFFFFFF 10 = 00000003 00 37 0EB 00000002 FFFFFFFF 11 = 00000003 00 37 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 00 37 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 00 37 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 00 37 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 00 37 0F0 80000000 FFFFFFFF 00 = 80000001 00 37 0F1 80000000 FFFFFFFF 01 = 80000001 00 37 0F2 80000000 FFFFFFFF 10 = 80000001 00 37 0F3 80000000 FFFFFFFF 11 = 80000001 00 37 0F4 80000001 FFFFFFFF 00 = 80000002 00 37 0F5 80000001 FFFFFFFF 01 = 80000002 00 37 0F6 80000001 FFFFFFFF 10 = 80000002 00 37 0F7 80000001 FFFFFFFF 11 = 80000002 00 37 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 37 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 37 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 37 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 37 0FC FFFFFFFF FFFFFFFF 00 = 00000000 11 37 0FD FFFFFFFF FFFFFFFF 01 = 00000000 11 37 0FE FFFFFFFF FFFFFFFF 10 = 00000000 11 37 0FF FFFFFFFF FFFFFFFF 11 = 00000000 11 decmod ---D---- ---S---- CZ = ---Q---- CZ 38 000 00000000 00000000 00 = 00000000 11 38 001 00000000 00000000 01 = 00000000 11 38 002 00000000 00000000 10 = 00000000 11 38 003 00000000 00000000 11 = 00000000 11 38 004 00000001 00000000 00 = 00000000 01 38 005 00000001 00000000 01 = 00000000 01 38 006 00000001 00000000 10 = 00000000 01 38 007 00000001 00000000 11 = 00000000 01 38 008 00000002 00000000 00 = 00000001 00 38 009 00000002 00000000 01 = 00000001 00 38 00A 00000002 00000000 10 = 00000001 00 38 00B 00000002 00000000 11 = 00000001 00 38 00C 7FFFFFFF 00000000 00 = 7FFFFFFE 00 38 00D 7FFFFFFF 00000000 01 = 7FFFFFFE 00 38 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 00 38 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 00 38 010 80000000 00000000 00 = 7FFFFFFF 00 38 011 80000000 00000000 01 = 7FFFFFFF 00 38 012 80000000 00000000 10 = 7FFFFFFF 00 38 013 80000000 00000000 11 = 7FFFFFFF 00 38 014 80000001 00000000 00 = 80000000 00 38 015 80000001 00000000 01 = 80000000 00 38 016 80000001 00000000 10 = 80000000 00 38 017 80000001 00000000 11 = 80000000 00 38 018 FFFFFFFE 00000000 00 = FFFFFFFD 00 38 019 FFFFFFFE 00000000 01 = FFFFFFFD 00 38 01A FFFFFFFE 00000000 10 = FFFFFFFD 00 38 01B FFFFFFFE 00000000 11 = FFFFFFFD 00 38 01C FFFFFFFF 00000000 00 = FFFFFFFE 00 38 01D FFFFFFFF 00000000 01 = FFFFFFFE 00 38 01E FFFFFFFF 00000000 10 = FFFFFFFE 00 38 01F FFFFFFFF 00000000 11 = FFFFFFFE 00 38 020 00000000 00000001 00 = 00000001 10 38 021 00000000 00000001 01 = 00000001 10 38 022 00000000 00000001 10 = 00000001 10 38 023 00000000 00000001 11 = 00000001 10 38 024 00000001 00000001 00 = 00000000 01 38 025 00000001 00000001 01 = 00000000 01 38 026 00000001 00000001 10 = 00000000 01 38 027 00000001 00000001 11 = 00000000 01 38 028 00000002 00000001 00 = 00000001 00 38 029 00000002 00000001 01 = 00000001 00 38 02A 00000002 00000001 10 = 00000001 00 38 02B 00000002 00000001 11 = 00000001 00 38 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 38 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 38 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 38 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 38 030 80000000 00000001 00 = 7FFFFFFF 00 38 031 80000000 00000001 01 = 7FFFFFFF 00 38 032 80000000 00000001 10 = 7FFFFFFF 00 38 033 80000000 00000001 11 = 7FFFFFFF 00 38 034 80000001 00000001 00 = 80000000 00 38 035 80000001 00000001 01 = 80000000 00 38 036 80000001 00000001 10 = 80000000 00 38 037 80000001 00000001 11 = 80000000 00 38 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 38 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 38 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 38 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 38 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 38 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 38 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 38 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 38 040 00000000 00000002 00 = 00000002 10 38 041 00000000 00000002 01 = 00000002 10 38 042 00000000 00000002 10 = 00000002 10 38 043 00000000 00000002 11 = 00000002 10 38 044 00000001 00000002 00 = 00000000 01 38 045 00000001 00000002 01 = 00000000 01 38 046 00000001 00000002 10 = 00000000 01 38 047 00000001 00000002 11 = 00000000 01 38 048 00000002 00000002 00 = 00000001 00 38 049 00000002 00000002 01 = 00000001 00 38 04A 00000002 00000002 10 = 00000001 00 38 04B 00000002 00000002 11 = 00000001 00 38 04C 7FFFFFFF 00000002 00 = 7FFFFFFE 00 38 04D 7FFFFFFF 00000002 01 = 7FFFFFFE 00 38 04E 7FFFFFFF 00000002 10 = 7FFFFFFE 00 38 04F 7FFFFFFF 00000002 11 = 7FFFFFFE 00 38 050 80000000 00000002 00 = 7FFFFFFF 00 38 051 80000000 00000002 01 = 7FFFFFFF 00 38 052 80000000 00000002 10 = 7FFFFFFF 00 38 053 80000000 00000002 11 = 7FFFFFFF 00 38 054 80000001 00000002 00 = 80000000 00 38 055 80000001 00000002 01 = 80000000 00 38 056 80000001 00000002 10 = 80000000 00 38 057 80000001 00000002 11 = 80000000 00 38 058 FFFFFFFE 00000002 00 = FFFFFFFD 00 38 059 FFFFFFFE 00000002 01 = FFFFFFFD 00 38 05A FFFFFFFE 00000002 10 = FFFFFFFD 00 38 05B FFFFFFFE 00000002 11 = FFFFFFFD 00 38 05C FFFFFFFF 00000002 00 = FFFFFFFE 00 38 05D FFFFFFFF 00000002 01 = FFFFFFFE 00 38 05E FFFFFFFF 00000002 10 = FFFFFFFE 00 38 05F FFFFFFFF 00000002 11 = FFFFFFFE 00 38 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 38 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 38 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 38 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 38 064 00000001 7FFFFFFF 00 = 00000000 01 38 065 00000001 7FFFFFFF 01 = 00000000 01 38 066 00000001 7FFFFFFF 10 = 00000000 01 38 067 00000001 7FFFFFFF 11 = 00000000 01 38 068 00000002 7FFFFFFF 00 = 00000001 00 38 069 00000002 7FFFFFFF 01 = 00000001 00 38 06A 00000002 7FFFFFFF 10 = 00000001 00 38 06B 00000002 7FFFFFFF 11 = 00000001 00 38 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 38 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 38 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 38 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 38 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 38 071 80000000 7FFFFFFF 01 = 7FFFFFFF 00 38 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 38 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 38 074 80000001 7FFFFFFF 00 = 80000000 00 38 075 80000001 7FFFFFFF 01 = 80000000 00 38 076 80000001 7FFFFFFF 10 = 80000000 00 38 077 80000001 7FFFFFFF 11 = 80000000 00 38 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFD 00 38 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFD 00 38 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFD 00 38 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFD 00 38 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFE 00 38 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFE 00 38 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFE 00 38 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFE 00 38 080 00000000 80000000 00 = 80000000 10 38 081 00000000 80000000 01 = 80000000 10 38 082 00000000 80000000 10 = 80000000 10 38 083 00000000 80000000 11 = 80000000 10 38 084 00000001 80000000 00 = 00000000 01 38 085 00000001 80000000 01 = 00000000 01 38 086 00000001 80000000 10 = 00000000 01 38 087 00000001 80000000 11 = 00000000 01 38 088 00000002 80000000 00 = 00000001 00 38 089 00000002 80000000 01 = 00000001 00 38 08A 00000002 80000000 10 = 00000001 00 38 08B 00000002 80000000 11 = 00000001 00 38 08C 7FFFFFFF 80000000 00 = 7FFFFFFE 00 38 08D 7FFFFFFF 80000000 01 = 7FFFFFFE 00 38 08E 7FFFFFFF 80000000 10 = 7FFFFFFE 00 38 08F 7FFFFFFF 80000000 11 = 7FFFFFFE 00 38 090 80000000 80000000 00 = 7FFFFFFF 00 38 091 80000000 80000000 01 = 7FFFFFFF 00 38 092 80000000 80000000 10 = 7FFFFFFF 00 38 093 80000000 80000000 11 = 7FFFFFFF 00 38 094 80000001 80000000 00 = 80000000 00 38 095 80000001 80000000 01 = 80000000 00 38 096 80000001 80000000 10 = 80000000 00 38 097 80000001 80000000 11 = 80000000 00 38 098 FFFFFFFE 80000000 00 = FFFFFFFD 00 38 099 FFFFFFFE 80000000 01 = FFFFFFFD 00 38 09A FFFFFFFE 80000000 10 = FFFFFFFD 00 38 09B FFFFFFFE 80000000 11 = FFFFFFFD 00 38 09C FFFFFFFF 80000000 00 = FFFFFFFE 00 38 09D FFFFFFFF 80000000 01 = FFFFFFFE 00 38 09E FFFFFFFF 80000000 10 = FFFFFFFE 00 38 09F FFFFFFFF 80000000 11 = FFFFFFFE 00 38 0A0 00000000 80000001 00 = 80000001 10 38 0A1 00000000 80000001 01 = 80000001 10 38 0A2 00000000 80000001 10 = 80000001 10 38 0A3 00000000 80000001 11 = 80000001 10 38 0A4 00000001 80000001 00 = 00000000 01 38 0A5 00000001 80000001 01 = 00000000 01 38 0A6 00000001 80000001 10 = 00000000 01 38 0A7 00000001 80000001 11 = 00000000 01 38 0A8 00000002 80000001 00 = 00000001 00 38 0A9 00000002 80000001 01 = 00000001 00 38 0AA 00000002 80000001 10 = 00000001 00 38 0AB 00000002 80000001 11 = 00000001 00 38 0AC 7FFFFFFF 80000001 00 = 7FFFFFFE 00 38 0AD 7FFFFFFF 80000001 01 = 7FFFFFFE 00 38 0AE 7FFFFFFF 80000001 10 = 7FFFFFFE 00 38 0AF 7FFFFFFF 80000001 11 = 7FFFFFFE 00 38 0B0 80000000 80000001 00 = 7FFFFFFF 00 38 0B1 80000000 80000001 01 = 7FFFFFFF 00 38 0B2 80000000 80000001 10 = 7FFFFFFF 00 38 0B3 80000000 80000001 11 = 7FFFFFFF 00 38 0B4 80000001 80000001 00 = 80000000 00 38 0B5 80000001 80000001 01 = 80000000 00 38 0B6 80000001 80000001 10 = 80000000 00 38 0B7 80000001 80000001 11 = 80000000 00 38 0B8 FFFFFFFE 80000001 00 = FFFFFFFD 00 38 0B9 FFFFFFFE 80000001 01 = FFFFFFFD 00 38 0BA FFFFFFFE 80000001 10 = FFFFFFFD 00 38 0BB FFFFFFFE 80000001 11 = FFFFFFFD 00 38 0BC FFFFFFFF 80000001 00 = FFFFFFFE 00 38 0BD FFFFFFFF 80000001 01 = FFFFFFFE 00 38 0BE FFFFFFFF 80000001 10 = FFFFFFFE 00 38 0BF FFFFFFFF 80000001 11 = FFFFFFFE 00 38 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 38 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 38 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 38 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 38 0C4 00000001 FFFFFFFE 00 = 00000000 01 38 0C5 00000001 FFFFFFFE 01 = 00000000 01 38 0C6 00000001 FFFFFFFE 10 = 00000000 01 38 0C7 00000001 FFFFFFFE 11 = 00000000 01 38 0C8 00000002 FFFFFFFE 00 = 00000001 00 38 0C9 00000002 FFFFFFFE 01 = 00000001 00 38 0CA 00000002 FFFFFFFE 10 = 00000001 00 38 0CB 00000002 FFFFFFFE 11 = 00000001 00 38 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 38 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 00 38 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 00 38 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 00 38 0D0 80000000 FFFFFFFE 00 = 7FFFFFFF 00 38 0D1 80000000 FFFFFFFE 01 = 7FFFFFFF 00 38 0D2 80000000 FFFFFFFE 10 = 7FFFFFFF 00 38 0D3 80000000 FFFFFFFE 11 = 7FFFFFFF 00 38 0D4 80000001 FFFFFFFE 00 = 80000000 00 38 0D5 80000001 FFFFFFFE 01 = 80000000 00 38 0D6 80000001 FFFFFFFE 10 = 80000000 00 38 0D7 80000001 FFFFFFFE 11 = 80000000 00 38 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFD 00 38 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFD 00 38 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFD 00 38 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFD 00 38 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 38 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 00 38 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 00 38 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 00 38 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 38 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 38 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 38 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 38 0E4 00000001 FFFFFFFF 00 = 00000000 01 38 0E5 00000001 FFFFFFFF 01 = 00000000 01 38 0E6 00000001 FFFFFFFF 10 = 00000000 01 38 0E7 00000001 FFFFFFFF 11 = 00000000 01 38 0E8 00000002 FFFFFFFF 00 = 00000001 00 38 0E9 00000002 FFFFFFFF 01 = 00000001 00 38 0EA 00000002 FFFFFFFF 10 = 00000001 00 38 0EB 00000002 FFFFFFFF 11 = 00000001 00 38 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 38 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 38 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 00 38 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 00 38 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 00 38 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 00 38 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 00 38 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 00 38 0F4 80000001 FFFFFFFF 00 = 80000000 00 38 0F5 80000001 FFFFFFFF 01 = 80000000 00 38 0F6 80000001 FFFFFFFF 10 = 80000000 00 38 0F7 80000001 FFFFFFFF 11 = 80000000 00 38 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 38 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 38 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 38 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 38 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 38 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 38 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 38 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 encod ---D---- ---S---- CZ = ---Q---- CZ 39 000 00000000 00000000 00 = 00000000 11 39 001 00000000 00000000 01 = 00000000 11 39 002 00000000 00000000 10 = 00000000 11 39 003 00000000 00000000 11 = 00000000 11 39 004 00000001 00000000 00 = 00000000 11 39 005 00000001 00000000 01 = 00000000 11 39 006 00000001 00000000 10 = 00000000 11 39 007 00000001 00000000 11 = 00000000 11 39 008 00000002 00000000 00 = 00000000 11 39 009 00000002 00000000 01 = 00000000 11 39 00A 00000002 00000000 10 = 00000000 11 39 00B 00000002 00000000 11 = 00000000 11 39 00C 7FFFFFFF 00000000 00 = 00000000 11 39 00D 7FFFFFFF 00000000 01 = 00000000 11 39 00E 7FFFFFFF 00000000 10 = 00000000 11 39 00F 7FFFFFFF 00000000 11 = 00000000 11 39 010 80000000 00000000 00 = 00000000 11 39 011 80000000 00000000 01 = 00000000 11 39 012 80000000 00000000 10 = 00000000 11 39 013 80000000 00000000 11 = 00000000 11 39 014 80000001 00000000 00 = 00000000 11 39 015 80000001 00000000 01 = 00000000 11 39 016 80000001 00000000 10 = 00000000 11 39 017 80000001 00000000 11 = 00000000 11 39 018 FFFFFFFE 00000000 00 = 00000000 11 39 019 FFFFFFFE 00000000 01 = 00000000 11 39 01A FFFFFFFE 00000000 10 = 00000000 11 39 01B FFFFFFFE 00000000 11 = 00000000 11 39 01C FFFFFFFF 00000000 00 = 00000000 11 39 01D FFFFFFFF 00000000 01 = 00000000 11 39 01E FFFFFFFF 00000000 10 = 00000000 11 39 01F FFFFFFFF 00000000 11 = 00000000 11 39 020 00000000 00000001 00 = 00000000 01 39 021 00000000 00000001 01 = 00000000 01 39 022 00000000 00000001 10 = 00000000 01 39 023 00000000 00000001 11 = 00000000 01 39 024 00000001 00000001 00 = 00000000 01 39 025 00000001 00000001 01 = 00000000 01 39 026 00000001 00000001 10 = 00000000 01 39 027 00000001 00000001 11 = 00000000 01 39 028 00000002 00000001 00 = 00000000 01 39 029 00000002 00000001 01 = 00000000 01 39 02A 00000002 00000001 10 = 00000000 01 39 02B 00000002 00000001 11 = 00000000 01 39 02C 7FFFFFFF 00000001 00 = 00000000 01 39 02D 7FFFFFFF 00000001 01 = 00000000 01 39 02E 7FFFFFFF 00000001 10 = 00000000 01 39 02F 7FFFFFFF 00000001 11 = 00000000 01 39 030 80000000 00000001 00 = 00000000 01 39 031 80000000 00000001 01 = 00000000 01 39 032 80000000 00000001 10 = 00000000 01 39 033 80000000 00000001 11 = 00000000 01 39 034 80000001 00000001 00 = 00000000 01 39 035 80000001 00000001 01 = 00000000 01 39 036 80000001 00000001 10 = 00000000 01 39 037 80000001 00000001 11 = 00000000 01 39 038 FFFFFFFE 00000001 00 = 00000000 01 39 039 FFFFFFFE 00000001 01 = 00000000 01 39 03A FFFFFFFE 00000001 10 = 00000000 01 39 03B FFFFFFFE 00000001 11 = 00000000 01 39 03C FFFFFFFF 00000001 00 = 00000000 01 39 03D FFFFFFFF 00000001 01 = 00000000 01 39 03E FFFFFFFF 00000001 10 = 00000000 01 39 03F FFFFFFFF 00000001 11 = 00000000 01 39 040 00000000 00000002 00 = 00000001 00 39 041 00000000 00000002 01 = 00000001 00 39 042 00000000 00000002 10 = 00000001 00 39 043 00000000 00000002 11 = 00000001 00 39 044 00000001 00000002 00 = 00000001 00 39 045 00000001 00000002 01 = 00000001 00 39 046 00000001 00000002 10 = 00000001 00 39 047 00000001 00000002 11 = 00000001 00 39 048 00000002 00000002 00 = 00000001 00 39 049 00000002 00000002 01 = 00000001 00 39 04A 00000002 00000002 10 = 00000001 00 39 04B 00000002 00000002 11 = 00000001 00 39 04C 7FFFFFFF 00000002 00 = 00000001 00 39 04D 7FFFFFFF 00000002 01 = 00000001 00 39 04E 7FFFFFFF 00000002 10 = 00000001 00 39 04F 7FFFFFFF 00000002 11 = 00000001 00 39 050 80000000 00000002 00 = 00000001 00 39 051 80000000 00000002 01 = 00000001 00 39 052 80000000 00000002 10 = 00000001 00 39 053 80000000 00000002 11 = 00000001 00 39 054 80000001 00000002 00 = 00000001 00 39 055 80000001 00000002 01 = 00000001 00 39 056 80000001 00000002 10 = 00000001 00 39 057 80000001 00000002 11 = 00000001 00 39 058 FFFFFFFE 00000002 00 = 00000001 00 39 059 FFFFFFFE 00000002 01 = 00000001 00 39 05A FFFFFFFE 00000002 10 = 00000001 00 39 05B FFFFFFFE 00000002 11 = 00000001 00 39 05C FFFFFFFF 00000002 00 = 00000001 00 39 05D FFFFFFFF 00000002 01 = 00000001 00 39 05E FFFFFFFF 00000002 10 = 00000001 00 39 05F FFFFFFFF 00000002 11 = 00000001 00 39 060 00000000 7FFFFFFF 00 = 0000001E 00 39 061 00000000 7FFFFFFF 01 = 0000001E 00 39 062 00000000 7FFFFFFF 10 = 0000001E 00 39 063 00000000 7FFFFFFF 11 = 0000001E 00 39 064 00000001 7FFFFFFF 00 = 0000001E 00 39 065 00000001 7FFFFFFF 01 = 0000001E 00 39 066 00000001 7FFFFFFF 10 = 0000001E 00 39 067 00000001 7FFFFFFF 11 = 0000001E 00 39 068 00000002 7FFFFFFF 00 = 0000001E 00 39 069 00000002 7FFFFFFF 01 = 0000001E 00 39 06A 00000002 7FFFFFFF 10 = 0000001E 00 39 06B 00000002 7FFFFFFF 11 = 0000001E 00 39 06C 7FFFFFFF 7FFFFFFF 00 = 0000001E 00 39 06D 7FFFFFFF 7FFFFFFF 01 = 0000001E 00 39 06E 7FFFFFFF 7FFFFFFF 10 = 0000001E 00 39 06F 7FFFFFFF 7FFFFFFF 11 = 0000001E 00 39 070 80000000 7FFFFFFF 00 = 0000001E 00 39 071 80000000 7FFFFFFF 01 = 0000001E 00 39 072 80000000 7FFFFFFF 10 = 0000001E 00 39 073 80000000 7FFFFFFF 11 = 0000001E 00 39 074 80000001 7FFFFFFF 00 = 0000001E 00 39 075 80000001 7FFFFFFF 01 = 0000001E 00 39 076 80000001 7FFFFFFF 10 = 0000001E 00 39 077 80000001 7FFFFFFF 11 = 0000001E 00 39 078 FFFFFFFE 7FFFFFFF 00 = 0000001E 00 39 079 FFFFFFFE 7FFFFFFF 01 = 0000001E 00 39 07A FFFFFFFE 7FFFFFFF 10 = 0000001E 00 39 07B FFFFFFFE 7FFFFFFF 11 = 0000001E 00 39 07C FFFFFFFF 7FFFFFFF 00 = 0000001E 00 39 07D FFFFFFFF 7FFFFFFF 01 = 0000001E 00 39 07E FFFFFFFF 7FFFFFFF 10 = 0000001E 00 39 07F FFFFFFFF 7FFFFFFF 11 = 0000001E 00 39 080 00000000 80000000 00 = 0000001F 00 39 081 00000000 80000000 01 = 0000001F 00 39 082 00000000 80000000 10 = 0000001F 00 39 083 00000000 80000000 11 = 0000001F 00 39 084 00000001 80000000 00 = 0000001F 00 39 085 00000001 80000000 01 = 0000001F 00 39 086 00000001 80000000 10 = 0000001F 00 39 087 00000001 80000000 11 = 0000001F 00 39 088 00000002 80000000 00 = 0000001F 00 39 089 00000002 80000000 01 = 0000001F 00 39 08A 00000002 80000000 10 = 0000001F 00 39 08B 00000002 80000000 11 = 0000001F 00 39 08C 7FFFFFFF 80000000 00 = 0000001F 00 39 08D 7FFFFFFF 80000000 01 = 0000001F 00 39 08E 7FFFFFFF 80000000 10 = 0000001F 00 39 08F 7FFFFFFF 80000000 11 = 0000001F 00 39 090 80000000 80000000 00 = 0000001F 00 39 091 80000000 80000000 01 = 0000001F 00 39 092 80000000 80000000 10 = 0000001F 00 39 093 80000000 80000000 11 = 0000001F 00 39 094 80000001 80000000 00 = 0000001F 00 39 095 80000001 80000000 01 = 0000001F 00 39 096 80000001 80000000 10 = 0000001F 00 39 097 80000001 80000000 11 = 0000001F 00 39 098 FFFFFFFE 80000000 00 = 0000001F 00 39 099 FFFFFFFE 80000000 01 = 0000001F 00 39 09A FFFFFFFE 80000000 10 = 0000001F 00 39 09B FFFFFFFE 80000000 11 = 0000001F 00 39 09C FFFFFFFF 80000000 00 = 0000001F 00 39 09D FFFFFFFF 80000000 01 = 0000001F 00 39 09E FFFFFFFF 80000000 10 = 0000001F 00 39 09F FFFFFFFF 80000000 11 = 0000001F 00 39 0A0 00000000 80000001 00 = 0000001F 00 39 0A1 00000000 80000001 01 = 0000001F 00 39 0A2 00000000 80000001 10 = 0000001F 00 39 0A3 00000000 80000001 11 = 0000001F 00 39 0A4 00000001 80000001 00 = 0000001F 00 39 0A5 00000001 80000001 01 = 0000001F 00 39 0A6 00000001 80000001 10 = 0000001F 00 39 0A7 00000001 80000001 11 = 0000001F 00 39 0A8 00000002 80000001 00 = 0000001F 00 39 0A9 00000002 80000001 01 = 0000001F 00 39 0AA 00000002 80000001 10 = 0000001F 00 39 0AB 00000002 80000001 11 = 0000001F 00 39 0AC 7FFFFFFF 80000001 00 = 0000001F 00 39 0AD 7FFFFFFF 80000001 01 = 0000001F 00 39 0AE 7FFFFFFF 80000001 10 = 0000001F 00 39 0AF 7FFFFFFF 80000001 11 = 0000001F 00 39 0B0 80000000 80000001 00 = 0000001F 00 39 0B1 80000000 80000001 01 = 0000001F 00 39 0B2 80000000 80000001 10 = 0000001F 00 39 0B3 80000000 80000001 11 = 0000001F 00 39 0B4 80000001 80000001 00 = 0000001F 00 39 0B5 80000001 80000001 01 = 0000001F 00 39 0B6 80000001 80000001 10 = 0000001F 00 39 0B7 80000001 80000001 11 = 0000001F 00 39 0B8 FFFFFFFE 80000001 00 = 0000001F 00 39 0B9 FFFFFFFE 80000001 01 = 0000001F 00 39 0BA FFFFFFFE 80000001 10 = 0000001F 00 39 0BB FFFFFFFE 80000001 11 = 0000001F 00 39 0BC FFFFFFFF 80000001 00 = 0000001F 00 39 0BD FFFFFFFF 80000001 01 = 0000001F 00 39 0BE FFFFFFFF 80000001 10 = 0000001F 00 39 0BF FFFFFFFF 80000001 11 = 0000001F 00 39 0C0 00000000 FFFFFFFE 00 = 0000001F 00 39 0C1 00000000 FFFFFFFE 01 = 0000001F 00 39 0C2 00000000 FFFFFFFE 10 = 0000001F 00 39 0C3 00000000 FFFFFFFE 11 = 0000001F 00 39 0C4 00000001 FFFFFFFE 00 = 0000001F 00 39 0C5 00000001 FFFFFFFE 01 = 0000001F 00 39 0C6 00000001 FFFFFFFE 10 = 0000001F 00 39 0C7 00000001 FFFFFFFE 11 = 0000001F 00 39 0C8 00000002 FFFFFFFE 00 = 0000001F 00 39 0C9 00000002 FFFFFFFE 01 = 0000001F 00 39 0CA 00000002 FFFFFFFE 10 = 0000001F 00 39 0CB 00000002 FFFFFFFE 11 = 0000001F 00 39 0CC 7FFFFFFF FFFFFFFE 00 = 0000001F 00 39 0CD 7FFFFFFF FFFFFFFE 01 = 0000001F 00 39 0CE 7FFFFFFF FFFFFFFE 10 = 0000001F 00 39 0CF 7FFFFFFF FFFFFFFE 11 = 0000001F 00 39 0D0 80000000 FFFFFFFE 00 = 0000001F 00 39 0D1 80000000 FFFFFFFE 01 = 0000001F 00 39 0D2 80000000 FFFFFFFE 10 = 0000001F 00 39 0D3 80000000 FFFFFFFE 11 = 0000001F 00 39 0D4 80000001 FFFFFFFE 00 = 0000001F 00 39 0D5 80000001 FFFFFFFE 01 = 0000001F 00 39 0D6 80000001 FFFFFFFE 10 = 0000001F 00 39 0D7 80000001 FFFFFFFE 11 = 0000001F 00 39 0D8 FFFFFFFE FFFFFFFE 00 = 0000001F 00 39 0D9 FFFFFFFE FFFFFFFE 01 = 0000001F 00 39 0DA FFFFFFFE FFFFFFFE 10 = 0000001F 00 39 0DB FFFFFFFE FFFFFFFE 11 = 0000001F 00 39 0DC FFFFFFFF FFFFFFFE 00 = 0000001F 00 39 0DD FFFFFFFF FFFFFFFE 01 = 0000001F 00 39 0DE FFFFFFFF FFFFFFFE 10 = 0000001F 00 39 0DF FFFFFFFF FFFFFFFE 11 = 0000001F 00 39 0E0 00000000 FFFFFFFF 00 = 0000001F 00 39 0E1 00000000 FFFFFFFF 01 = 0000001F 00 39 0E2 00000000 FFFFFFFF 10 = 0000001F 00 39 0E3 00000000 FFFFFFFF 11 = 0000001F 00 39 0E4 00000001 FFFFFFFF 00 = 0000001F 00 39 0E5 00000001 FFFFFFFF 01 = 0000001F 00 39 0E6 00000001 FFFFFFFF 10 = 0000001F 00 39 0E7 00000001 FFFFFFFF 11 = 0000001F 00 39 0E8 00000002 FFFFFFFF 00 = 0000001F 00 39 0E9 00000002 FFFFFFFF 01 = 0000001F 00 39 0EA 00000002 FFFFFFFF 10 = 0000001F 00 39 0EB 00000002 FFFFFFFF 11 = 0000001F 00 39 0EC 7FFFFFFF FFFFFFFF 00 = 0000001F 00 39 0ED 7FFFFFFF FFFFFFFF 01 = 0000001F 00 39 0EE 7FFFFFFF FFFFFFFF 10 = 0000001F 00 39 0EF 7FFFFFFF FFFFFFFF 11 = 0000001F 00 39 0F0 80000000 FFFFFFFF 00 = 0000001F 00 39 0F1 80000000 FFFFFFFF 01 = 0000001F 00 39 0F2 80000000 FFFFFFFF 10 = 0000001F 00 39 0F3 80000000 FFFFFFFF 11 = 0000001F 00 39 0F4 80000001 FFFFFFFF 00 = 0000001F 00 39 0F5 80000001 FFFFFFFF 01 = 0000001F 00 39 0F6 80000001 FFFFFFFF 10 = 0000001F 00 39 0F7 80000001 FFFFFFFF 11 = 0000001F 00 39 0F8 FFFFFFFE FFFFFFFF 00 = 0000001F 00 39 0F9 FFFFFFFE FFFFFFFF 01 = 0000001F 00 39 0FA FFFFFFFE FFFFFFFF 10 = 0000001F 00 39 0FB FFFFFFFE FFFFFFFF 11 = 0000001F 00 39 0FC FFFFFFFF FFFFFFFF 00 = 0000001F 00 39 0FD FFFFFFFF FFFFFFFF 01 = 0000001F 00 39 0FE FFFFFFFF FFFFFFFF 10 = 0000001F 00 39 0FF FFFFFFFF FFFFFFFF 11 = 0000001F 00 testn ---D---- ---S---- CZ = ---Q---- CZ 3A 000 00000000 00000000 00 = 00000000 01 3A 001 00000000 00000000 01 = 00000000 01 3A 002 00000000 00000000 10 = 00000000 01 3A 003 00000000 00000000 11 = 00000000 01 3A 004 00000001 00000000 00 = 00000001 10 3A 005 00000001 00000000 01 = 00000001 10 3A 006 00000001 00000000 10 = 00000001 10 3A 007 00000001 00000000 11 = 00000001 10 3A 008 00000002 00000000 00 = 00000002 10 3A 009 00000002 00000000 01 = 00000002 10 3A 00A 00000002 00000000 10 = 00000002 10 3A 00B 00000002 00000000 11 = 00000002 10 3A 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 3A 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 3A 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 3A 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 3A 010 80000000 00000000 00 = 80000000 10 3A 011 80000000 00000000 01 = 80000000 10 3A 012 80000000 00000000 10 = 80000000 10 3A 013 80000000 00000000 11 = 80000000 10 3A 014 80000001 00000000 00 = 80000001 00 3A 015 80000001 00000000 01 = 80000001 00 3A 016 80000001 00000000 10 = 80000001 00 3A 017 80000001 00000000 11 = 80000001 00 3A 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 3A 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 3A 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 3A 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 3A 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 3A 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 3A 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 3A 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 3A 020 00000000 00000001 00 = 00000000 01 3A 021 00000000 00000001 01 = 00000000 01 3A 022 00000000 00000001 10 = 00000000 01 3A 023 00000000 00000001 11 = 00000000 01 3A 024 00000001 00000001 00 = 00000001 01 3A 025 00000001 00000001 01 = 00000001 01 3A 026 00000001 00000001 10 = 00000001 01 3A 027 00000001 00000001 11 = 00000001 01 3A 028 00000002 00000001 00 = 00000002 10 3A 029 00000002 00000001 01 = 00000002 10 3A 02A 00000002 00000001 10 = 00000002 10 3A 02B 00000002 00000001 11 = 00000002 10 3A 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 3A 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 3A 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 3A 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 3A 030 80000000 00000001 00 = 80000000 10 3A 031 80000000 00000001 01 = 80000000 10 3A 032 80000000 00000001 10 = 80000000 10 3A 033 80000000 00000001 11 = 80000000 10 3A 034 80000001 00000001 00 = 80000001 10 3A 035 80000001 00000001 01 = 80000001 10 3A 036 80000001 00000001 10 = 80000001 10 3A 037 80000001 00000001 11 = 80000001 10 3A 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 3A 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 3A 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 3A 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 3A 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 3A 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 3A 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 3A 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 3A 040 00000000 00000002 00 = 00000000 01 3A 041 00000000 00000002 01 = 00000000 01 3A 042 00000000 00000002 10 = 00000000 01 3A 043 00000000 00000002 11 = 00000000 01 3A 044 00000001 00000002 00 = 00000001 10 3A 045 00000001 00000002 01 = 00000001 10 3A 046 00000001 00000002 10 = 00000001 10 3A 047 00000001 00000002 11 = 00000001 10 3A 048 00000002 00000002 00 = 00000002 01 3A 049 00000002 00000002 01 = 00000002 01 3A 04A 00000002 00000002 10 = 00000002 01 3A 04B 00000002 00000002 11 = 00000002 01 3A 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 3A 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 3A 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 3A 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 3A 050 80000000 00000002 00 = 80000000 10 3A 051 80000000 00000002 01 = 80000000 10 3A 052 80000000 00000002 10 = 80000000 10 3A 053 80000000 00000002 11 = 80000000 10 3A 054 80000001 00000002 00 = 80000001 00 3A 055 80000001 00000002 01 = 80000001 00 3A 056 80000001 00000002 10 = 80000001 00 3A 057 80000001 00000002 11 = 80000001 00 3A 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 3A 059 FFFFFFFE 00000002 01 = FFFFFFFE 00 3A 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 3A 05B FFFFFFFE 00000002 11 = FFFFFFFE 00 3A 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 3A 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 3A 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 3A 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 3A 060 00000000 7FFFFFFF 00 = 00000000 01 3A 061 00000000 7FFFFFFF 01 = 00000000 01 3A 062 00000000 7FFFFFFF 10 = 00000000 01 3A 063 00000000 7FFFFFFF 11 = 00000000 01 3A 064 00000001 7FFFFFFF 00 = 00000001 01 3A 065 00000001 7FFFFFFF 01 = 00000001 01 3A 066 00000001 7FFFFFFF 10 = 00000001 01 3A 067 00000001 7FFFFFFF 11 = 00000001 01 3A 068 00000002 7FFFFFFF 00 = 00000002 01 3A 069 00000002 7FFFFFFF 01 = 00000002 01 3A 06A 00000002 7FFFFFFF 10 = 00000002 01 3A 06B 00000002 7FFFFFFF 11 = 00000002 01 3A 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 01 3A 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 3A 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 01 3A 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 3A 070 80000000 7FFFFFFF 00 = 80000000 10 3A 071 80000000 7FFFFFFF 01 = 80000000 10 3A 072 80000000 7FFFFFFF 10 = 80000000 10 3A 073 80000000 7FFFFFFF 11 = 80000000 10 3A 074 80000001 7FFFFFFF 00 = 80000001 10 3A 075 80000001 7FFFFFFF 01 = 80000001 10 3A 076 80000001 7FFFFFFF 10 = 80000001 10 3A 077 80000001 7FFFFFFF 11 = 80000001 10 3A 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 3A 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 10 3A 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 3A 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 10 3A 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 3A 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 3A 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 3A 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 3A 080 00000000 80000000 00 = 00000000 01 3A 081 00000000 80000000 01 = 00000000 01 3A 082 00000000 80000000 10 = 00000000 01 3A 083 00000000 80000000 11 = 00000000 01 3A 084 00000001 80000000 00 = 00000001 10 3A 085 00000001 80000000 01 = 00000001 10 3A 086 00000001 80000000 10 = 00000001 10 3A 087 00000001 80000000 11 = 00000001 10 3A 088 00000002 80000000 00 = 00000002 10 3A 089 00000002 80000000 01 = 00000002 10 3A 08A 00000002 80000000 10 = 00000002 10 3A 08B 00000002 80000000 11 = 00000002 10 3A 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 3A 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 3A 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 3A 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 3A 090 80000000 80000000 00 = 80000000 01 3A 091 80000000 80000000 01 = 80000000 01 3A 092 80000000 80000000 10 = 80000000 01 3A 093 80000000 80000000 11 = 80000000 01 3A 094 80000001 80000000 00 = 80000001 10 3A 095 80000001 80000000 01 = 80000001 10 3A 096 80000001 80000000 10 = 80000001 10 3A 097 80000001 80000000 11 = 80000001 10 3A 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 3A 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 3A 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 3A 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 3A 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 3A 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 3A 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 3A 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 3A 0A0 00000000 80000001 00 = 00000000 01 3A 0A1 00000000 80000001 01 = 00000000 01 3A 0A2 00000000 80000001 10 = 00000000 01 3A 0A3 00000000 80000001 11 = 00000000 01 3A 0A4 00000001 80000001 00 = 00000001 01 3A 0A5 00000001 80000001 01 = 00000001 01 3A 0A6 00000001 80000001 10 = 00000001 01 3A 0A7 00000001 80000001 11 = 00000001 01 3A 0A8 00000002 80000001 00 = 00000002 10 3A 0A9 00000002 80000001 01 = 00000002 10 3A 0AA 00000002 80000001 10 = 00000002 10 3A 0AB 00000002 80000001 11 = 00000002 10 3A 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 3A 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 3A 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 3A 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 3A 0B0 80000000 80000001 00 = 80000000 01 3A 0B1 80000000 80000001 01 = 80000000 01 3A 0B2 80000000 80000001 10 = 80000000 01 3A 0B3 80000000 80000001 11 = 80000000 01 3A 0B4 80000001 80000001 00 = 80000001 01 3A 0B5 80000001 80000001 01 = 80000001 01 3A 0B6 80000001 80000001 10 = 80000001 01 3A 0B7 80000001 80000001 11 = 80000001 01 3A 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 3A 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 3A 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 3A 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 3A 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 3A 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 3A 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 3A 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 3A 0C0 00000000 FFFFFFFE 00 = 00000000 01 3A 0C1 00000000 FFFFFFFE 01 = 00000000 01 3A 0C2 00000000 FFFFFFFE 10 = 00000000 01 3A 0C3 00000000 FFFFFFFE 11 = 00000000 01 3A 0C4 00000001 FFFFFFFE 00 = 00000001 10 3A 0C5 00000001 FFFFFFFE 01 = 00000001 10 3A 0C6 00000001 FFFFFFFE 10 = 00000001 10 3A 0C7 00000001 FFFFFFFE 11 = 00000001 10 3A 0C8 00000002 FFFFFFFE 00 = 00000002 01 3A 0C9 00000002 FFFFFFFE 01 = 00000002 01 3A 0CA 00000002 FFFFFFFE 10 = 00000002 01 3A 0CB 00000002 FFFFFFFE 11 = 00000002 01 3A 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 3A 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 10 3A 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 3A 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 10 3A 0D0 80000000 FFFFFFFE 00 = 80000000 01 3A 0D1 80000000 FFFFFFFE 01 = 80000000 01 3A 0D2 80000000 FFFFFFFE 10 = 80000000 01 3A 0D3 80000000 FFFFFFFE 11 = 80000000 01 3A 0D4 80000001 FFFFFFFE 00 = 80000001 10 3A 0D5 80000001 FFFFFFFE 01 = 80000001 10 3A 0D6 80000001 FFFFFFFE 10 = 80000001 10 3A 0D7 80000001 FFFFFFFE 11 = 80000001 10 3A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 01 3A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 3A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 01 3A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 3A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 3A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 3A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 3A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 3A 0E0 00000000 FFFFFFFF 00 = 00000000 01 3A 0E1 00000000 FFFFFFFF 01 = 00000000 01 3A 0E2 00000000 FFFFFFFF 10 = 00000000 01 3A 0E3 00000000 FFFFFFFF 11 = 00000000 01 3A 0E4 00000001 FFFFFFFF 00 = 00000001 01 3A 0E5 00000001 FFFFFFFF 01 = 00000001 01 3A 0E6 00000001 FFFFFFFF 10 = 00000001 01 3A 0E7 00000001 FFFFFFFF 11 = 00000001 01 3A 0E8 00000002 FFFFFFFF 00 = 00000002 01 3A 0E9 00000002 FFFFFFFF 01 = 00000002 01 3A 0EA 00000002 FFFFFFFF 10 = 00000002 01 3A 0EB 00000002 FFFFFFFF 11 = 00000002 01 3A 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 01 3A 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 3A 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 01 3A 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 01 3A 0F0 80000000 FFFFFFFF 00 = 80000000 01 3A 0F1 80000000 FFFFFFFF 01 = 80000000 01 3A 0F2 80000000 FFFFFFFF 10 = 80000000 01 3A 0F3 80000000 FFFFFFFF 11 = 80000000 01 3A 0F4 80000001 FFFFFFFF 00 = 80000001 01 3A 0F5 80000001 FFFFFFFF 01 = 80000001 01 3A 0F6 80000001 FFFFFFFF 10 = 80000001 01 3A 0F7 80000001 FFFFFFFF 11 = 80000001 01 3A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 01 3A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 3A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 01 3A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 01 3A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 01 3A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 3A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 01 3A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 test ---D---- ---S---- CZ = ---Q---- CZ 3B 000 00000000 00000000 00 = 00000000 01 3B 001 00000000 00000000 01 = 00000000 01 3B 002 00000000 00000000 10 = 00000000 01 3B 003 00000000 00000000 11 = 00000000 01 3B 004 00000001 00000000 00 = 00000001 01 3B 005 00000001 00000000 01 = 00000001 01 3B 006 00000001 00000000 10 = 00000001 01 3B 007 00000001 00000000 11 = 00000001 01 3B 008 00000002 00000000 00 = 00000002 01 3B 009 00000002 00000000 01 = 00000002 01 3B 00A 00000002 00000000 10 = 00000002 01 3B 00B 00000002 00000000 11 = 00000002 01 3B 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 01 3B 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 3B 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 01 3B 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 01 3B 010 80000000 00000000 00 = 80000000 01 3B 011 80000000 00000000 01 = 80000000 01 3B 012 80000000 00000000 10 = 80000000 01 3B 013 80000000 00000000 11 = 80000000 01 3B 014 80000001 00000000 00 = 80000001 01 3B 015 80000001 00000000 01 = 80000001 01 3B 016 80000001 00000000 10 = 80000001 01 3B 017 80000001 00000000 11 = 80000001 01 3B 018 FFFFFFFE 00000000 00 = FFFFFFFE 01 3B 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 3B 01A FFFFFFFE 00000000 10 = FFFFFFFE 01 3B 01B FFFFFFFE 00000000 11 = FFFFFFFE 01 3B 01C FFFFFFFF 00000000 00 = FFFFFFFF 01 3B 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 3B 01E FFFFFFFF 00000000 10 = FFFFFFFF 01 3B 01F FFFFFFFF 00000000 11 = FFFFFFFF 01 3B 020 00000000 00000001 00 = 00000000 01 3B 021 00000000 00000001 01 = 00000000 01 3B 022 00000000 00000001 10 = 00000000 01 3B 023 00000000 00000001 11 = 00000000 01 3B 024 00000001 00000001 00 = 00000001 10 3B 025 00000001 00000001 01 = 00000001 10 3B 026 00000001 00000001 10 = 00000001 10 3B 027 00000001 00000001 11 = 00000001 10 3B 028 00000002 00000001 00 = 00000002 01 3B 029 00000002 00000001 01 = 00000002 01 3B 02A 00000002 00000001 10 = 00000002 01 3B 02B 00000002 00000001 11 = 00000002 01 3B 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 3B 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 3B 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 3B 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 3B 030 80000000 00000001 00 = 80000000 01 3B 031 80000000 00000001 01 = 80000000 01 3B 032 80000000 00000001 10 = 80000000 01 3B 033 80000000 00000001 11 = 80000000 01 3B 034 80000001 00000001 00 = 80000001 10 3B 035 80000001 00000001 01 = 80000001 10 3B 036 80000001 00000001 10 = 80000001 10 3B 037 80000001 00000001 11 = 80000001 10 3B 038 FFFFFFFE 00000001 00 = FFFFFFFE 01 3B 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 3B 03A FFFFFFFE 00000001 10 = FFFFFFFE 01 3B 03B FFFFFFFE 00000001 11 = FFFFFFFE 01 3B 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 3B 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 3B 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 3B 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 3B 040 00000000 00000002 00 = 00000000 01 3B 041 00000000 00000002 01 = 00000000 01 3B 042 00000000 00000002 10 = 00000000 01 3B 043 00000000 00000002 11 = 00000000 01 3B 044 00000001 00000002 00 = 00000001 01 3B 045 00000001 00000002 01 = 00000001 01 3B 046 00000001 00000002 10 = 00000001 01 3B 047 00000001 00000002 11 = 00000001 01 3B 048 00000002 00000002 00 = 00000002 10 3B 049 00000002 00000002 01 = 00000002 10 3B 04A 00000002 00000002 10 = 00000002 10 3B 04B 00000002 00000002 11 = 00000002 10 3B 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 3B 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 3B 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 3B 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 3B 050 80000000 00000002 00 = 80000000 01 3B 051 80000000 00000002 01 = 80000000 01 3B 052 80000000 00000002 10 = 80000000 01 3B 053 80000000 00000002 11 = 80000000 01 3B 054 80000001 00000002 00 = 80000001 01 3B 055 80000001 00000002 01 = 80000001 01 3B 056 80000001 00000002 10 = 80000001 01 3B 057 80000001 00000002 11 = 80000001 01 3B 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 3B 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 3B 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 3B 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 3B 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 3B 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 3B 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 3B 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 3B 060 00000000 7FFFFFFF 00 = 00000000 01 3B 061 00000000 7FFFFFFF 01 = 00000000 01 3B 062 00000000 7FFFFFFF 10 = 00000000 01 3B 063 00000000 7FFFFFFF 11 = 00000000 01 3B 064 00000001 7FFFFFFF 00 = 00000001 10 3B 065 00000001 7FFFFFFF 01 = 00000001 10 3B 066 00000001 7FFFFFFF 10 = 00000001 10 3B 067 00000001 7FFFFFFF 11 = 00000001 10 3B 068 00000002 7FFFFFFF 00 = 00000002 10 3B 069 00000002 7FFFFFFF 01 = 00000002 10 3B 06A 00000002 7FFFFFFF 10 = 00000002 10 3B 06B 00000002 7FFFFFFF 11 = 00000002 10 3B 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 3B 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 3B 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 3B 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 3B 070 80000000 7FFFFFFF 00 = 80000000 01 3B 071 80000000 7FFFFFFF 01 = 80000000 01 3B 072 80000000 7FFFFFFF 10 = 80000000 01 3B 073 80000000 7FFFFFFF 11 = 80000000 01 3B 074 80000001 7FFFFFFF 00 = 80000001 10 3B 075 80000001 7FFFFFFF 01 = 80000001 10 3B 076 80000001 7FFFFFFF 10 = 80000001 10 3B 077 80000001 7FFFFFFF 11 = 80000001 10 3B 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 3B 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 3B 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 3B 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 3B 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 3B 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 3B 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 3B 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 3B 080 00000000 80000000 00 = 00000000 01 3B 081 00000000 80000000 01 = 00000000 01 3B 082 00000000 80000000 10 = 00000000 01 3B 083 00000000 80000000 11 = 00000000 01 3B 084 00000001 80000000 00 = 00000001 01 3B 085 00000001 80000000 01 = 00000001 01 3B 086 00000001 80000000 10 = 00000001 01 3B 087 00000001 80000000 11 = 00000001 01 3B 088 00000002 80000000 00 = 00000002 01 3B 089 00000002 80000000 01 = 00000002 01 3B 08A 00000002 80000000 10 = 00000002 01 3B 08B 00000002 80000000 11 = 00000002 01 3B 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 01 3B 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 01 3B 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 01 3B 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 01 3B 090 80000000 80000000 00 = 80000000 10 3B 091 80000000 80000000 01 = 80000000 10 3B 092 80000000 80000000 10 = 80000000 10 3B 093 80000000 80000000 11 = 80000000 10 3B 094 80000001 80000000 00 = 80000001 10 3B 095 80000001 80000000 01 = 80000001 10 3B 096 80000001 80000000 10 = 80000001 10 3B 097 80000001 80000000 11 = 80000001 10 3B 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 3B 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 3B 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 3B 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 3B 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 3B 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 3B 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 3B 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 3B 0A0 00000000 80000001 00 = 00000000 01 3B 0A1 00000000 80000001 01 = 00000000 01 3B 0A2 00000000 80000001 10 = 00000000 01 3B 0A3 00000000 80000001 11 = 00000000 01 3B 0A4 00000001 80000001 00 = 00000001 10 3B 0A5 00000001 80000001 01 = 00000001 10 3B 0A6 00000001 80000001 10 = 00000001 10 3B 0A7 00000001 80000001 11 = 00000001 10 3B 0A8 00000002 80000001 00 = 00000002 01 3B 0A9 00000002 80000001 01 = 00000002 01 3B 0AA 00000002 80000001 10 = 00000002 01 3B 0AB 00000002 80000001 11 = 00000002 01 3B 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 3B 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 10 3B 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 3B 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 10 3B 0B0 80000000 80000001 00 = 80000000 10 3B 0B1 80000000 80000001 01 = 80000000 10 3B 0B2 80000000 80000001 10 = 80000000 10 3B 0B3 80000000 80000001 11 = 80000000 10 3B 0B4 80000001 80000001 00 = 80000001 00 3B 0B5 80000001 80000001 01 = 80000001 00 3B 0B6 80000001 80000001 10 = 80000001 00 3B 0B7 80000001 80000001 11 = 80000001 00 3B 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 10 3B 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 10 3B 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 3B 0BB FFFFFFFE 80000001 11 = FFFFFFFE 10 3B 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 3B 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 3B 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 3B 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 3B 0C0 00000000 FFFFFFFE 00 = 00000000 01 3B 0C1 00000000 FFFFFFFE 01 = 00000000 01 3B 0C2 00000000 FFFFFFFE 10 = 00000000 01 3B 0C3 00000000 FFFFFFFE 11 = 00000000 01 3B 0C4 00000001 FFFFFFFE 00 = 00000001 01 3B 0C5 00000001 FFFFFFFE 01 = 00000001 01 3B 0C6 00000001 FFFFFFFE 10 = 00000001 01 3B 0C7 00000001 FFFFFFFE 11 = 00000001 01 3B 0C8 00000002 FFFFFFFE 00 = 00000002 10 3B 0C9 00000002 FFFFFFFE 01 = 00000002 10 3B 0CA 00000002 FFFFFFFE 10 = 00000002 10 3B 0CB 00000002 FFFFFFFE 11 = 00000002 10 3B 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 3B 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 3B 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 3B 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 3B 0D0 80000000 FFFFFFFE 00 = 80000000 10 3B 0D1 80000000 FFFFFFFE 01 = 80000000 10 3B 0D2 80000000 FFFFFFFE 10 = 80000000 10 3B 0D3 80000000 FFFFFFFE 11 = 80000000 10 3B 0D4 80000001 FFFFFFFE 00 = 80000001 10 3B 0D5 80000001 FFFFFFFE 01 = 80000001 10 3B 0D6 80000001 FFFFFFFE 10 = 80000001 10 3B 0D7 80000001 FFFFFFFE 11 = 80000001 10 3B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 3B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 3B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 3B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 3B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 3B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 3B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 3B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 3B 0E0 00000000 FFFFFFFF 00 = 00000000 01 3B 0E1 00000000 FFFFFFFF 01 = 00000000 01 3B 0E2 00000000 FFFFFFFF 10 = 00000000 01 3B 0E3 00000000 FFFFFFFF 11 = 00000000 01 3B 0E4 00000001 FFFFFFFF 00 = 00000001 10 3B 0E5 00000001 FFFFFFFF 01 = 00000001 10 3B 0E6 00000001 FFFFFFFF 10 = 00000001 10 3B 0E7 00000001 FFFFFFFF 11 = 00000001 10 3B 0E8 00000002 FFFFFFFF 00 = 00000002 10 3B 0E9 00000002 FFFFFFFF 01 = 00000002 10 3B 0EA 00000002 FFFFFFFF 10 = 00000002 10 3B 0EB 00000002 FFFFFFFF 11 = 00000002 10 3B 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 3B 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 10 3B 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 3B 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 3B 0F0 80000000 FFFFFFFF 00 = 80000000 10 3B 0F1 80000000 FFFFFFFF 01 = 80000000 10 3B 0F2 80000000 FFFFFFFF 10 = 80000000 10 3B 0F3 80000000 FFFFFFFF 11 = 80000000 10 3B 0F4 80000001 FFFFFFFF 00 = 80000001 00 3B 0F5 80000001 FFFFFFFF 01 = 80000001 00 3B 0F6 80000001 FFFFFFFF 10 = 80000001 00 3B 0F7 80000001 FFFFFFFF 11 = 80000001 00 3B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 3B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 3B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 3B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 3B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 3B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 3B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 3B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 anyb ---D---- ---S---- CZ = ---Q---- CZ 3C 000 00000000 00000000 00 = 00000000 01 3C 001 00000000 00000000 01 = 00000000 01 3C 002 00000000 00000000 10 = 00000000 01 3C 003 00000000 00000000 11 = 00000000 01 3C 004 00000001 00000000 00 = 00000001 10 3C 005 00000001 00000000 01 = 00000001 10 3C 006 00000001 00000000 10 = 00000001 10 3C 007 00000001 00000000 11 = 00000001 10 3C 008 00000002 00000000 00 = 00000002 10 3C 009 00000002 00000000 01 = 00000002 10 3C 00A 00000002 00000000 10 = 00000002 10 3C 00B 00000002 00000000 11 = 00000002 10 3C 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 3C 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 3C 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 3C 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 3C 010 80000000 00000000 00 = 80000000 10 3C 011 80000000 00000000 01 = 80000000 10 3C 012 80000000 00000000 10 = 80000000 10 3C 013 80000000 00000000 11 = 80000000 10 3C 014 80000001 00000000 00 = 80000001 00 3C 015 80000001 00000000 01 = 80000001 00 3C 016 80000001 00000000 10 = 80000001 00 3C 017 80000001 00000000 11 = 80000001 00 3C 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 3C 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 3C 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 3C 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 3C 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 3C 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 3C 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 3C 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 3C 020 00000000 00000001 00 = 00000000 10 3C 021 00000000 00000001 01 = 00000000 10 3C 022 00000000 00000001 10 = 00000000 10 3C 023 00000000 00000001 11 = 00000000 10 3C 024 00000001 00000001 00 = 00000001 10 3C 025 00000001 00000001 01 = 00000001 10 3C 026 00000001 00000001 10 = 00000001 10 3C 027 00000001 00000001 11 = 00000001 10 3C 028 00000002 00000001 00 = 00000002 00 3C 029 00000002 00000001 01 = 00000002 00 3C 02A 00000002 00000001 10 = 00000002 00 3C 02B 00000002 00000001 11 = 00000002 00 3C 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 3C 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 3C 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 3C 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 3C 030 80000000 00000001 00 = 80000000 00 3C 031 80000000 00000001 01 = 80000000 00 3C 032 80000000 00000001 10 = 80000000 00 3C 033 80000000 00000001 11 = 80000000 00 3C 034 80000001 00000001 00 = 80000001 00 3C 035 80000001 00000001 01 = 80000001 00 3C 036 80000001 00000001 10 = 80000001 00 3C 037 80000001 00000001 11 = 80000001 00 3C 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 3C 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 3C 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 3C 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 3C 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 3C 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 3C 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 3C 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 3C 040 00000000 00000002 00 = 00000000 10 3C 041 00000000 00000002 01 = 00000000 10 3C 042 00000000 00000002 10 = 00000000 10 3C 043 00000000 00000002 11 = 00000000 10 3C 044 00000001 00000002 00 = 00000001 00 3C 045 00000001 00000002 01 = 00000001 00 3C 046 00000001 00000002 10 = 00000001 00 3C 047 00000001 00000002 11 = 00000001 00 3C 048 00000002 00000002 00 = 00000002 10 3C 049 00000002 00000002 01 = 00000002 10 3C 04A 00000002 00000002 10 = 00000002 10 3C 04B 00000002 00000002 11 = 00000002 10 3C 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 3C 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 3C 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 3C 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 3C 050 80000000 00000002 00 = 80000000 00 3C 051 80000000 00000002 01 = 80000000 00 3C 052 80000000 00000002 10 = 80000000 00 3C 053 80000000 00000002 11 = 80000000 00 3C 054 80000001 00000002 00 = 80000001 10 3C 055 80000001 00000002 01 = 80000001 10 3C 056 80000001 00000002 10 = 80000001 10 3C 057 80000001 00000002 11 = 80000001 10 3C 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 3C 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 3C 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 3C 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 3C 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 3C 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 3C 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 3C 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 3C 060 00000000 7FFFFFFF 00 = 00000000 10 3C 061 00000000 7FFFFFFF 01 = 00000000 10 3C 062 00000000 7FFFFFFF 10 = 00000000 10 3C 063 00000000 7FFFFFFF 11 = 00000000 10 3C 064 00000001 7FFFFFFF 00 = 00000001 10 3C 065 00000001 7FFFFFFF 01 = 00000001 10 3C 066 00000001 7FFFFFFF 10 = 00000001 10 3C 067 00000001 7FFFFFFF 11 = 00000001 10 3C 068 00000002 7FFFFFFF 00 = 00000002 10 3C 069 00000002 7FFFFFFF 01 = 00000002 10 3C 06A 00000002 7FFFFFFF 10 = 00000002 10 3C 06B 00000002 7FFFFFFF 11 = 00000002 10 3C 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 3C 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 3C 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 3C 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 3C 070 80000000 7FFFFFFF 00 = 80000000 00 3C 071 80000000 7FFFFFFF 01 = 80000000 00 3C 072 80000000 7FFFFFFF 10 = 80000000 00 3C 073 80000000 7FFFFFFF 11 = 80000000 00 3C 074 80000001 7FFFFFFF 00 = 80000001 00 3C 075 80000001 7FFFFFFF 01 = 80000001 00 3C 076 80000001 7FFFFFFF 10 = 80000001 00 3C 077 80000001 7FFFFFFF 11 = 80000001 00 3C 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 3C 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 3C 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 3C 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 3C 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 3C 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 3C 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 3C 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 3C 080 00000000 80000000 00 = 00000000 10 3C 081 00000000 80000000 01 = 00000000 10 3C 082 00000000 80000000 10 = 00000000 10 3C 083 00000000 80000000 11 = 00000000 10 3C 084 00000001 80000000 00 = 00000001 00 3C 085 00000001 80000000 01 = 00000001 00 3C 086 00000001 80000000 10 = 00000001 00 3C 087 00000001 80000000 11 = 00000001 00 3C 088 00000002 80000000 00 = 00000002 00 3C 089 00000002 80000000 01 = 00000002 00 3C 08A 00000002 80000000 10 = 00000002 00 3C 08B 00000002 80000000 11 = 00000002 00 3C 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 3C 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 3C 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 3C 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 3C 090 80000000 80000000 00 = 80000000 10 3C 091 80000000 80000000 01 = 80000000 10 3C 092 80000000 80000000 10 = 80000000 10 3C 093 80000000 80000000 11 = 80000000 10 3C 094 80000001 80000000 00 = 80000001 00 3C 095 80000001 80000000 01 = 80000001 00 3C 096 80000001 80000000 10 = 80000001 00 3C 097 80000001 80000000 11 = 80000001 00 3C 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 3C 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 3C 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 3C 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 3C 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 3C 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 3C 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 3C 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 3C 0A0 00000000 80000001 00 = 00000000 00 3C 0A1 00000000 80000001 01 = 00000000 00 3C 0A2 00000000 80000001 10 = 00000000 00 3C 0A3 00000000 80000001 11 = 00000000 00 3C 0A4 00000001 80000001 00 = 00000001 00 3C 0A5 00000001 80000001 01 = 00000001 00 3C 0A6 00000001 80000001 10 = 00000001 00 3C 0A7 00000001 80000001 11 = 00000001 00 3C 0A8 00000002 80000001 00 = 00000002 10 3C 0A9 00000002 80000001 01 = 00000002 10 3C 0AA 00000002 80000001 10 = 00000002 10 3C 0AB 00000002 80000001 11 = 00000002 10 3C 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 3C 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 3C 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 3C 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 3C 0B0 80000000 80000001 00 = 80000000 00 3C 0B1 80000000 80000001 01 = 80000000 00 3C 0B2 80000000 80000001 10 = 80000000 00 3C 0B3 80000000 80000001 11 = 80000000 00 3C 0B4 80000001 80000001 00 = 80000001 00 3C 0B5 80000001 80000001 01 = 80000001 00 3C 0B6 80000001 80000001 10 = 80000001 00 3C 0B7 80000001 80000001 11 = 80000001 00 3C 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 3C 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 3C 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 3C 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 3C 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 3C 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 3C 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 3C 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 3C 0C0 00000000 FFFFFFFE 00 = 00000000 10 3C 0C1 00000000 FFFFFFFE 01 = 00000000 10 3C 0C2 00000000 FFFFFFFE 10 = 00000000 10 3C 0C3 00000000 FFFFFFFE 11 = 00000000 10 3C 0C4 00000001 FFFFFFFE 00 = 00000001 00 3C 0C5 00000001 FFFFFFFE 01 = 00000001 00 3C 0C6 00000001 FFFFFFFE 10 = 00000001 00 3C 0C7 00000001 FFFFFFFE 11 = 00000001 00 3C 0C8 00000002 FFFFFFFE 00 = 00000002 10 3C 0C9 00000002 FFFFFFFE 01 = 00000002 10 3C 0CA 00000002 FFFFFFFE 10 = 00000002 10 3C 0CB 00000002 FFFFFFFE 11 = 00000002 10 3C 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 3C 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 3C 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 3C 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 3C 0D0 80000000 FFFFFFFE 00 = 80000000 10 3C 0D1 80000000 FFFFFFFE 01 = 80000000 10 3C 0D2 80000000 FFFFFFFE 10 = 80000000 10 3C 0D3 80000000 FFFFFFFE 11 = 80000000 10 3C 0D4 80000001 FFFFFFFE 00 = 80000001 00 3C 0D5 80000001 FFFFFFFE 01 = 80000001 00 3C 0D6 80000001 FFFFFFFE 10 = 80000001 00 3C 0D7 80000001 FFFFFFFE 11 = 80000001 00 3C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 3C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 3C 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 3C 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 3C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 3C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 3C 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 3C 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 3C 0E0 00000000 FFFFFFFF 00 = 00000000 00 3C 0E1 00000000 FFFFFFFF 01 = 00000000 00 3C 0E2 00000000 FFFFFFFF 10 = 00000000 00 3C 0E3 00000000 FFFFFFFF 11 = 00000000 00 3C 0E4 00000001 FFFFFFFF 00 = 00000001 00 3C 0E5 00000001 FFFFFFFF 01 = 00000001 00 3C 0E6 00000001 FFFFFFFF 10 = 00000001 00 3C 0E7 00000001 FFFFFFFF 11 = 00000001 00 3C 0E8 00000002 FFFFFFFF 00 = 00000002 00 3C 0E9 00000002 FFFFFFFF 01 = 00000002 00 3C 0EA 00000002 FFFFFFFF 10 = 00000002 00 3C 0EB 00000002 FFFFFFFF 11 = 00000002 00 3C 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 3C 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 3C 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 3C 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 3C 0F0 80000000 FFFFFFFF 00 = 80000000 00 3C 0F1 80000000 FFFFFFFF 01 = 80000000 00 3C 0F2 80000000 FFFFFFFF 10 = 80000000 00 3C 0F3 80000000 FFFFFFFF 11 = 80000000 00 3C 0F4 80000001 FFFFFFFF 00 = 80000001 00 3C 0F5 80000001 FFFFFFFF 01 = 80000001 00 3C 0F6 80000001 FFFFFFFF 10 = 80000001 00 3C 0F7 80000001 FFFFFFFF 11 = 80000001 00 3C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 3C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 3C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 3C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 3C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 3C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 3C 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 3C 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 setnib ---D---- ---S---- CZ = ---Q---- CZ 3D 000 00000000 00000000 00 = 00000000 00 3D 001 00000000 00000000 01 = 00000000 01 3D 002 00000000 00000000 10 = 00000000 10 3D 003 00000000 00000000 11 = 00000000 11 3D 004 00000001 00000000 00 = 00000001 00 3D 005 00000001 00000000 01 = 00000001 01 3D 006 00000001 00000000 10 = 00000001 10 3D 007 00000001 00000000 11 = 00000001 11 3D 008 00000002 00000000 00 = 00000002 00 3D 009 00000002 00000000 01 = 00000002 01 3D 00A 00000002 00000000 10 = 00000002 10 3D 00B 00000002 00000000 11 = 00000002 11 3D 00C 7FFFFFFF 00000000 00 = 7FFF0FFF 00 3D 00D 7FFFFFFF 00000000 01 = 7FFF0FFF 01 3D 00E 7FFFFFFF 00000000 10 = 7FFF0FFF 10 3D 00F 7FFFFFFF 00000000 11 = 7FFF0FFF 11 3D 010 80000000 00000000 00 = 80000000 00 3D 011 80000000 00000000 01 = 80000000 01 3D 012 80000000 00000000 10 = 80000000 10 3D 013 80000000 00000000 11 = 80000000 11 3D 014 80000001 00000000 00 = 80000001 00 3D 015 80000001 00000000 01 = 80000001 01 3D 016 80000001 00000000 10 = 80000001 10 3D 017 80000001 00000000 11 = 80000001 11 3D 018 FFFFFFFE 00000000 00 = FFFF0FFE 00 3D 019 FFFFFFFE 00000000 01 = FFFF0FFE 01 3D 01A FFFFFFFE 00000000 10 = FFFF0FFE 10 3D 01B FFFFFFFE 00000000 11 = FFFF0FFE 11 3D 01C FFFFFFFF 00000000 00 = FFFF0FFF 00 3D 01D FFFFFFFF 00000000 01 = FFFF0FFF 01 3D 01E FFFFFFFF 00000000 10 = FFFF0FFF 10 3D 01F FFFFFFFF 00000000 11 = FFFF0FFF 11 3D 020 00000000 00000001 00 = 00001000 00 3D 021 00000000 00000001 01 = 00001000 01 3D 022 00000000 00000001 10 = 00001000 10 3D 023 00000000 00000001 11 = 00001000 11 3D 024 00000001 00000001 00 = 00001001 00 3D 025 00000001 00000001 01 = 00001001 01 3D 026 00000001 00000001 10 = 00001001 10 3D 027 00000001 00000001 11 = 00001001 11 3D 028 00000002 00000001 00 = 00001002 00 3D 029 00000002 00000001 01 = 00001002 01 3D 02A 00000002 00000001 10 = 00001002 10 3D 02B 00000002 00000001 11 = 00001002 11 3D 02C 7FFFFFFF 00000001 00 = 7FFF1FFF 00 3D 02D 7FFFFFFF 00000001 01 = 7FFF1FFF 01 3D 02E 7FFFFFFF 00000001 10 = 7FFF1FFF 10 3D 02F 7FFFFFFF 00000001 11 = 7FFF1FFF 11 3D 030 80000000 00000001 00 = 80001000 00 3D 031 80000000 00000001 01 = 80001000 01 3D 032 80000000 00000001 10 = 80001000 10 3D 033 80000000 00000001 11 = 80001000 11 3D 034 80000001 00000001 00 = 80001001 00 3D 035 80000001 00000001 01 = 80001001 01 3D 036 80000001 00000001 10 = 80001001 10 3D 037 80000001 00000001 11 = 80001001 11 3D 038 FFFFFFFE 00000001 00 = FFFF1FFE 00 3D 039 FFFFFFFE 00000001 01 = FFFF1FFE 01 3D 03A FFFFFFFE 00000001 10 = FFFF1FFE 10 3D 03B FFFFFFFE 00000001 11 = FFFF1FFE 11 3D 03C FFFFFFFF 00000001 00 = FFFF1FFF 00 3D 03D FFFFFFFF 00000001 01 = FFFF1FFF 01 3D 03E FFFFFFFF 00000001 10 = FFFF1FFF 10 3D 03F FFFFFFFF 00000001 11 = FFFF1FFF 11 3D 040 00000000 00000002 00 = 00002000 00 3D 041 00000000 00000002 01 = 00002000 01 3D 042 00000000 00000002 10 = 00002000 10 3D 043 00000000 00000002 11 = 00002000 11 3D 044 00000001 00000002 00 = 00002001 00 3D 045 00000001 00000002 01 = 00002001 01 3D 046 00000001 00000002 10 = 00002001 10 3D 047 00000001 00000002 11 = 00002001 11 3D 048 00000002 00000002 00 = 00002002 00 3D 049 00000002 00000002 01 = 00002002 01 3D 04A 00000002 00000002 10 = 00002002 10 3D 04B 00000002 00000002 11 = 00002002 11 3D 04C 7FFFFFFF 00000002 00 = 7FFF2FFF 00 3D 04D 7FFFFFFF 00000002 01 = 7FFF2FFF 01 3D 04E 7FFFFFFF 00000002 10 = 7FFF2FFF 10 3D 04F 7FFFFFFF 00000002 11 = 7FFF2FFF 11 3D 050 80000000 00000002 00 = 80002000 00 3D 051 80000000 00000002 01 = 80002000 01 3D 052 80000000 00000002 10 = 80002000 10 3D 053 80000000 00000002 11 = 80002000 11 3D 054 80000001 00000002 00 = 80002001 00 3D 055 80000001 00000002 01 = 80002001 01 3D 056 80000001 00000002 10 = 80002001 10 3D 057 80000001 00000002 11 = 80002001 11 3D 058 FFFFFFFE 00000002 00 = FFFF2FFE 00 3D 059 FFFFFFFE 00000002 01 = FFFF2FFE 01 3D 05A FFFFFFFE 00000002 10 = FFFF2FFE 10 3D 05B FFFFFFFE 00000002 11 = FFFF2FFE 11 3D 05C FFFFFFFF 00000002 00 = FFFF2FFF 00 3D 05D FFFFFFFF 00000002 01 = FFFF2FFF 01 3D 05E FFFFFFFF 00000002 10 = FFFF2FFF 10 3D 05F FFFFFFFF 00000002 11 = FFFF2FFF 11 3D 060 00000000 7FFFFFFF 00 = 0000F000 00 3D 061 00000000 7FFFFFFF 01 = 0000F000 01 3D 062 00000000 7FFFFFFF 10 = 0000F000 10 3D 063 00000000 7FFFFFFF 11 = 0000F000 11 3D 064 00000001 7FFFFFFF 00 = 0000F001 00 3D 065 00000001 7FFFFFFF 01 = 0000F001 01 3D 066 00000001 7FFFFFFF 10 = 0000F001 10 3D 067 00000001 7FFFFFFF 11 = 0000F001 11 3D 068 00000002 7FFFFFFF 00 = 0000F002 00 3D 069 00000002 7FFFFFFF 01 = 0000F002 01 3D 06A 00000002 7FFFFFFF 10 = 0000F002 10 3D 06B 00000002 7FFFFFFF 11 = 0000F002 11 3D 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 3D 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 3D 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 3D 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 3D 070 80000000 7FFFFFFF 00 = 8000F000 00 3D 071 80000000 7FFFFFFF 01 = 8000F000 01 3D 072 80000000 7FFFFFFF 10 = 8000F000 10 3D 073 80000000 7FFFFFFF 11 = 8000F000 11 3D 074 80000001 7FFFFFFF 00 = 8000F001 00 3D 075 80000001 7FFFFFFF 01 = 8000F001 01 3D 076 80000001 7FFFFFFF 10 = 8000F001 10 3D 077 80000001 7FFFFFFF 11 = 8000F001 11 3D 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 3D 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 3D 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 3D 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 3D 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 3D 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 3D 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 3D 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 3D 080 00000000 80000000 00 = 00000000 00 3D 081 00000000 80000000 01 = 00000000 01 3D 082 00000000 80000000 10 = 00000000 10 3D 083 00000000 80000000 11 = 00000000 11 3D 084 00000001 80000000 00 = 00000001 00 3D 085 00000001 80000000 01 = 00000001 01 3D 086 00000001 80000000 10 = 00000001 10 3D 087 00000001 80000000 11 = 00000001 11 3D 088 00000002 80000000 00 = 00000002 00 3D 089 00000002 80000000 01 = 00000002 01 3D 08A 00000002 80000000 10 = 00000002 10 3D 08B 00000002 80000000 11 = 00000002 11 3D 08C 7FFFFFFF 80000000 00 = 7FFF0FFF 00 3D 08D 7FFFFFFF 80000000 01 = 7FFF0FFF 01 3D 08E 7FFFFFFF 80000000 10 = 7FFF0FFF 10 3D 08F 7FFFFFFF 80000000 11 = 7FFF0FFF 11 3D 090 80000000 80000000 00 = 80000000 00 3D 091 80000000 80000000 01 = 80000000 01 3D 092 80000000 80000000 10 = 80000000 10 3D 093 80000000 80000000 11 = 80000000 11 3D 094 80000001 80000000 00 = 80000001 00 3D 095 80000001 80000000 01 = 80000001 01 3D 096 80000001 80000000 10 = 80000001 10 3D 097 80000001 80000000 11 = 80000001 11 3D 098 FFFFFFFE 80000000 00 = FFFF0FFE 00 3D 099 FFFFFFFE 80000000 01 = FFFF0FFE 01 3D 09A FFFFFFFE 80000000 10 = FFFF0FFE 10 3D 09B FFFFFFFE 80000000 11 = FFFF0FFE 11 3D 09C FFFFFFFF 80000000 00 = FFFF0FFF 00 3D 09D FFFFFFFF 80000000 01 = FFFF0FFF 01 3D 09E FFFFFFFF 80000000 10 = FFFF0FFF 10 3D 09F FFFFFFFF 80000000 11 = FFFF0FFF 11 3D 0A0 00000000 80000001 00 = 00001000 00 3D 0A1 00000000 80000001 01 = 00001000 01 3D 0A2 00000000 80000001 10 = 00001000 10 3D 0A3 00000000 80000001 11 = 00001000 11 3D 0A4 00000001 80000001 00 = 00001001 00 3D 0A5 00000001 80000001 01 = 00001001 01 3D 0A6 00000001 80000001 10 = 00001001 10 3D 0A7 00000001 80000001 11 = 00001001 11 3D 0A8 00000002 80000001 00 = 00001002 00 3D 0A9 00000002 80000001 01 = 00001002 01 3D 0AA 00000002 80000001 10 = 00001002 10 3D 0AB 00000002 80000001 11 = 00001002 11 3D 0AC 7FFFFFFF 80000001 00 = 7FFF1FFF 00 3D 0AD 7FFFFFFF 80000001 01 = 7FFF1FFF 01 3D 0AE 7FFFFFFF 80000001 10 = 7FFF1FFF 10 3D 0AF 7FFFFFFF 80000001 11 = 7FFF1FFF 11 3D 0B0 80000000 80000001 00 = 80001000 00 3D 0B1 80000000 80000001 01 = 80001000 01 3D 0B2 80000000 80000001 10 = 80001000 10 3D 0B3 80000000 80000001 11 = 80001000 11 3D 0B4 80000001 80000001 00 = 80001001 00 3D 0B5 80000001 80000001 01 = 80001001 01 3D 0B6 80000001 80000001 10 = 80001001 10 3D 0B7 80000001 80000001 11 = 80001001 11 3D 0B8 FFFFFFFE 80000001 00 = FFFF1FFE 00 3D 0B9 FFFFFFFE 80000001 01 = FFFF1FFE 01 3D 0BA FFFFFFFE 80000001 10 = FFFF1FFE 10 3D 0BB FFFFFFFE 80000001 11 = FFFF1FFE 11 3D 0BC FFFFFFFF 80000001 00 = FFFF1FFF 00 3D 0BD FFFFFFFF 80000001 01 = FFFF1FFF 01 3D 0BE FFFFFFFF 80000001 10 = FFFF1FFF 10 3D 0BF FFFFFFFF 80000001 11 = FFFF1FFF 11 3D 0C0 00000000 FFFFFFFE 00 = 0000E000 00 3D 0C1 00000000 FFFFFFFE 01 = 0000E000 01 3D 0C2 00000000 FFFFFFFE 10 = 0000E000 10 3D 0C3 00000000 FFFFFFFE 11 = 0000E000 11 3D 0C4 00000001 FFFFFFFE 00 = 0000E001 00 3D 0C5 00000001 FFFFFFFE 01 = 0000E001 01 3D 0C6 00000001 FFFFFFFE 10 = 0000E001 10 3D 0C7 00000001 FFFFFFFE 11 = 0000E001 11 3D 0C8 00000002 FFFFFFFE 00 = 0000E002 00 3D 0C9 00000002 FFFFFFFE 01 = 0000E002 01 3D 0CA 00000002 FFFFFFFE 10 = 0000E002 10 3D 0CB 00000002 FFFFFFFE 11 = 0000E002 11 3D 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFEFFF 00 3D 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFEFFF 01 3D 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFEFFF 10 3D 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFEFFF 11 3D 0D0 80000000 FFFFFFFE 00 = 8000E000 00 3D 0D1 80000000 FFFFFFFE 01 = 8000E000 01 3D 0D2 80000000 FFFFFFFE 10 = 8000E000 10 3D 0D3 80000000 FFFFFFFE 11 = 8000E000 11 3D 0D4 80000001 FFFFFFFE 00 = 8000E001 00 3D 0D5 80000001 FFFFFFFE 01 = 8000E001 01 3D 0D6 80000001 FFFFFFFE 10 = 8000E001 10 3D 0D7 80000001 FFFFFFFE 11 = 8000E001 11 3D 0D8 FFFFFFFE FFFFFFFE 00 = FFFFEFFE 00 3D 0D9 FFFFFFFE FFFFFFFE 01 = FFFFEFFE 01 3D 0DA FFFFFFFE FFFFFFFE 10 = FFFFEFFE 10 3D 0DB FFFFFFFE FFFFFFFE 11 = FFFFEFFE 11 3D 0DC FFFFFFFF FFFFFFFE 00 = FFFFEFFF 00 3D 0DD FFFFFFFF FFFFFFFE 01 = FFFFEFFF 01 3D 0DE FFFFFFFF FFFFFFFE 10 = FFFFEFFF 10 3D 0DF FFFFFFFF FFFFFFFE 11 = FFFFEFFF 11 3D 0E0 00000000 FFFFFFFF 00 = 0000F000 00 3D 0E1 00000000 FFFFFFFF 01 = 0000F000 01 3D 0E2 00000000 FFFFFFFF 10 = 0000F000 10 3D 0E3 00000000 FFFFFFFF 11 = 0000F000 11 3D 0E4 00000001 FFFFFFFF 00 = 0000F001 00 3D 0E5 00000001 FFFFFFFF 01 = 0000F001 01 3D 0E6 00000001 FFFFFFFF 10 = 0000F001 10 3D 0E7 00000001 FFFFFFFF 11 = 0000F001 11 3D 0E8 00000002 FFFFFFFF 00 = 0000F002 00 3D 0E9 00000002 FFFFFFFF 01 = 0000F002 01 3D 0EA 00000002 FFFFFFFF 10 = 0000F002 10 3D 0EB 00000002 FFFFFFFF 11 = 0000F002 11 3D 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 3D 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 3D 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 3D 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 3D 0F0 80000000 FFFFFFFF 00 = 8000F000 00 3D 0F1 80000000 FFFFFFFF 01 = 8000F000 01 3D 0F2 80000000 FFFFFFFF 10 = 8000F000 10 3D 0F3 80000000 FFFFFFFF 11 = 8000F000 11 3D 0F4 80000001 FFFFFFFF 00 = 8000F001 00 3D 0F5 80000001 FFFFFFFF 01 = 8000F001 01 3D 0F6 80000001 FFFFFFFF 10 = 8000F001 10 3D 0F7 80000001 FFFFFFFF 11 = 8000F001 11 3D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 3D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 3D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 3D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 3D 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 3D 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 3D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 3D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 getnib ---D---- ---S---- CZ = ---Q---- CZ 3E 000 00000000 00000000 00 = 00000000 00 3E 001 00000000 00000000 01 = 00000000 01 3E 002 00000000 00000000 10 = 00000000 10 3E 003 00000000 00000000 11 = 00000000 11 3E 004 00000001 00000000 00 = 00000000 00 3E 005 00000001 00000000 01 = 00000000 01 3E 006 00000001 00000000 10 = 00000000 10 3E 007 00000001 00000000 11 = 00000000 11 3E 008 00000002 00000000 00 = 00000000 00 3E 009 00000002 00000000 01 = 00000000 01 3E 00A 00000002 00000000 10 = 00000000 10 3E 00B 00000002 00000000 11 = 00000000 11 3E 00C 7FFFFFFF 00000000 00 = 00000000 00 3E 00D 7FFFFFFF 00000000 01 = 00000000 01 3E 00E 7FFFFFFF 00000000 10 = 00000000 10 3E 00F 7FFFFFFF 00000000 11 = 00000000 11 3E 010 80000000 00000000 00 = 00000000 00 3E 011 80000000 00000000 01 = 00000000 01 3E 012 80000000 00000000 10 = 00000000 10 3E 013 80000000 00000000 11 = 00000000 11 3E 014 80000001 00000000 00 = 00000000 00 3E 015 80000001 00000000 01 = 00000000 01 3E 016 80000001 00000000 10 = 00000000 10 3E 017 80000001 00000000 11 = 00000000 11 3E 018 FFFFFFFE 00000000 00 = 00000000 00 3E 019 FFFFFFFE 00000000 01 = 00000000 01 3E 01A FFFFFFFE 00000000 10 = 00000000 10 3E 01B FFFFFFFE 00000000 11 = 00000000 11 3E 01C FFFFFFFF 00000000 00 = 00000000 00 3E 01D FFFFFFFF 00000000 01 = 00000000 01 3E 01E FFFFFFFF 00000000 10 = 00000000 10 3E 01F FFFFFFFF 00000000 11 = 00000000 11 3E 020 00000000 00000001 00 = 00000000 00 3E 021 00000000 00000001 01 = 00000000 01 3E 022 00000000 00000001 10 = 00000000 10 3E 023 00000000 00000001 11 = 00000000 11 3E 024 00000001 00000001 00 = 00000000 00 3E 025 00000001 00000001 01 = 00000000 01 3E 026 00000001 00000001 10 = 00000000 10 3E 027 00000001 00000001 11 = 00000000 11 3E 028 00000002 00000001 00 = 00000000 00 3E 029 00000002 00000001 01 = 00000000 01 3E 02A 00000002 00000001 10 = 00000000 10 3E 02B 00000002 00000001 11 = 00000000 11 3E 02C 7FFFFFFF 00000001 00 = 00000000 00 3E 02D 7FFFFFFF 00000001 01 = 00000000 01 3E 02E 7FFFFFFF 00000001 10 = 00000000 10 3E 02F 7FFFFFFF 00000001 11 = 00000000 11 3E 030 80000000 00000001 00 = 00000000 00 3E 031 80000000 00000001 01 = 00000000 01 3E 032 80000000 00000001 10 = 00000000 10 3E 033 80000000 00000001 11 = 00000000 11 3E 034 80000001 00000001 00 = 00000000 00 3E 035 80000001 00000001 01 = 00000000 01 3E 036 80000001 00000001 10 = 00000000 10 3E 037 80000001 00000001 11 = 00000000 11 3E 038 FFFFFFFE 00000001 00 = 00000000 00 3E 039 FFFFFFFE 00000001 01 = 00000000 01 3E 03A FFFFFFFE 00000001 10 = 00000000 10 3E 03B FFFFFFFE 00000001 11 = 00000000 11 3E 03C FFFFFFFF 00000001 00 = 00000000 00 3E 03D FFFFFFFF 00000001 01 = 00000000 01 3E 03E FFFFFFFF 00000001 10 = 00000000 10 3E 03F FFFFFFFF 00000001 11 = 00000000 11 3E 040 00000000 00000002 00 = 00000000 00 3E 041 00000000 00000002 01 = 00000000 01 3E 042 00000000 00000002 10 = 00000000 10 3E 043 00000000 00000002 11 = 00000000 11 3E 044 00000001 00000002 00 = 00000000 00 3E 045 00000001 00000002 01 = 00000000 01 3E 046 00000001 00000002 10 = 00000000 10 3E 047 00000001 00000002 11 = 00000000 11 3E 048 00000002 00000002 00 = 00000000 00 3E 049 00000002 00000002 01 = 00000000 01 3E 04A 00000002 00000002 10 = 00000000 10 3E 04B 00000002 00000002 11 = 00000000 11 3E 04C 7FFFFFFF 00000002 00 = 00000000 00 3E 04D 7FFFFFFF 00000002 01 = 00000000 01 3E 04E 7FFFFFFF 00000002 10 = 00000000 10 3E 04F 7FFFFFFF 00000002 11 = 00000000 11 3E 050 80000000 00000002 00 = 00000000 00 3E 051 80000000 00000002 01 = 00000000 01 3E 052 80000000 00000002 10 = 00000000 10 3E 053 80000000 00000002 11 = 00000000 11 3E 054 80000001 00000002 00 = 00000000 00 3E 055 80000001 00000002 01 = 00000000 01 3E 056 80000001 00000002 10 = 00000000 10 3E 057 80000001 00000002 11 = 00000000 11 3E 058 FFFFFFFE 00000002 00 = 00000000 00 3E 059 FFFFFFFE 00000002 01 = 00000000 01 3E 05A FFFFFFFE 00000002 10 = 00000000 10 3E 05B FFFFFFFE 00000002 11 = 00000000 11 3E 05C FFFFFFFF 00000002 00 = 00000000 00 3E 05D FFFFFFFF 00000002 01 = 00000000 01 3E 05E FFFFFFFF 00000002 10 = 00000000 10 3E 05F FFFFFFFF 00000002 11 = 00000000 11 3E 060 00000000 7FFFFFFF 00 = 0000000F 00 3E 061 00000000 7FFFFFFF 01 = 0000000F 01 3E 062 00000000 7FFFFFFF 10 = 0000000F 10 3E 063 00000000 7FFFFFFF 11 = 0000000F 11 3E 064 00000001 7FFFFFFF 00 = 0000000F 00 3E 065 00000001 7FFFFFFF 01 = 0000000F 01 3E 066 00000001 7FFFFFFF 10 = 0000000F 10 3E 067 00000001 7FFFFFFF 11 = 0000000F 11 3E 068 00000002 7FFFFFFF 00 = 0000000F 00 3E 069 00000002 7FFFFFFF 01 = 0000000F 01 3E 06A 00000002 7FFFFFFF 10 = 0000000F 10 3E 06B 00000002 7FFFFFFF 11 = 0000000F 11 3E 06C 7FFFFFFF 7FFFFFFF 00 = 0000000F 00 3E 06D 7FFFFFFF 7FFFFFFF 01 = 0000000F 01 3E 06E 7FFFFFFF 7FFFFFFF 10 = 0000000F 10 3E 06F 7FFFFFFF 7FFFFFFF 11 = 0000000F 11 3E 070 80000000 7FFFFFFF 00 = 0000000F 00 3E 071 80000000 7FFFFFFF 01 = 0000000F 01 3E 072 80000000 7FFFFFFF 10 = 0000000F 10 3E 073 80000000 7FFFFFFF 11 = 0000000F 11 3E 074 80000001 7FFFFFFF 00 = 0000000F 00 3E 075 80000001 7FFFFFFF 01 = 0000000F 01 3E 076 80000001 7FFFFFFF 10 = 0000000F 10 3E 077 80000001 7FFFFFFF 11 = 0000000F 11 3E 078 FFFFFFFE 7FFFFFFF 00 = 0000000F 00 3E 079 FFFFFFFE 7FFFFFFF 01 = 0000000F 01 3E 07A FFFFFFFE 7FFFFFFF 10 = 0000000F 10 3E 07B FFFFFFFE 7FFFFFFF 11 = 0000000F 11 3E 07C FFFFFFFF 7FFFFFFF 00 = 0000000F 00 3E 07D FFFFFFFF 7FFFFFFF 01 = 0000000F 01 3E 07E FFFFFFFF 7FFFFFFF 10 = 0000000F 10 3E 07F FFFFFFFF 7FFFFFFF 11 = 0000000F 11 3E 080 00000000 80000000 00 = 00000000 00 3E 081 00000000 80000000 01 = 00000000 01 3E 082 00000000 80000000 10 = 00000000 10 3E 083 00000000 80000000 11 = 00000000 11 3E 084 00000001 80000000 00 = 00000000 00 3E 085 00000001 80000000 01 = 00000000 01 3E 086 00000001 80000000 10 = 00000000 10 3E 087 00000001 80000000 11 = 00000000 11 3E 088 00000002 80000000 00 = 00000000 00 3E 089 00000002 80000000 01 = 00000000 01 3E 08A 00000002 80000000 10 = 00000000 10 3E 08B 00000002 80000000 11 = 00000000 11 3E 08C 7FFFFFFF 80000000 00 = 00000000 00 3E 08D 7FFFFFFF 80000000 01 = 00000000 01 3E 08E 7FFFFFFF 80000000 10 = 00000000 10 3E 08F 7FFFFFFF 80000000 11 = 00000000 11 3E 090 80000000 80000000 00 = 00000000 00 3E 091 80000000 80000000 01 = 00000000 01 3E 092 80000000 80000000 10 = 00000000 10 3E 093 80000000 80000000 11 = 00000000 11 3E 094 80000001 80000000 00 = 00000000 00 3E 095 80000001 80000000 01 = 00000000 01 3E 096 80000001 80000000 10 = 00000000 10 3E 097 80000001 80000000 11 = 00000000 11 3E 098 FFFFFFFE 80000000 00 = 00000000 00 3E 099 FFFFFFFE 80000000 01 = 00000000 01 3E 09A FFFFFFFE 80000000 10 = 00000000 10 3E 09B FFFFFFFE 80000000 11 = 00000000 11 3E 09C FFFFFFFF 80000000 00 = 00000000 00 3E 09D FFFFFFFF 80000000 01 = 00000000 01 3E 09E FFFFFFFF 80000000 10 = 00000000 10 3E 09F FFFFFFFF 80000000 11 = 00000000 11 3E 0A0 00000000 80000001 00 = 00000000 00 3E 0A1 00000000 80000001 01 = 00000000 01 3E 0A2 00000000 80000001 10 = 00000000 10 3E 0A3 00000000 80000001 11 = 00000000 11 3E 0A4 00000001 80000001 00 = 00000000 00 3E 0A5 00000001 80000001 01 = 00000000 01 3E 0A6 00000001 80000001 10 = 00000000 10 3E 0A7 00000001 80000001 11 = 00000000 11 3E 0A8 00000002 80000001 00 = 00000000 00 3E 0A9 00000002 80000001 01 = 00000000 01 3E 0AA 00000002 80000001 10 = 00000000 10 3E 0AB 00000002 80000001 11 = 00000000 11 3E 0AC 7FFFFFFF 80000001 00 = 00000000 00 3E 0AD 7FFFFFFF 80000001 01 = 00000000 01 3E 0AE 7FFFFFFF 80000001 10 = 00000000 10 3E 0AF 7FFFFFFF 80000001 11 = 00000000 11 3E 0B0 80000000 80000001 00 = 00000000 00 3E 0B1 80000000 80000001 01 = 00000000 01 3E 0B2 80000000 80000001 10 = 00000000 10 3E 0B3 80000000 80000001 11 = 00000000 11 3E 0B4 80000001 80000001 00 = 00000000 00 3E 0B5 80000001 80000001 01 = 00000000 01 3E 0B6 80000001 80000001 10 = 00000000 10 3E 0B7 80000001 80000001 11 = 00000000 11 3E 0B8 FFFFFFFE 80000001 00 = 00000000 00 3E 0B9 FFFFFFFE 80000001 01 = 00000000 01 3E 0BA FFFFFFFE 80000001 10 = 00000000 10 3E 0BB FFFFFFFE 80000001 11 = 00000000 11 3E 0BC FFFFFFFF 80000001 00 = 00000000 00 3E 0BD FFFFFFFF 80000001 01 = 00000000 01 3E 0BE FFFFFFFF 80000001 10 = 00000000 10 3E 0BF FFFFFFFF 80000001 11 = 00000000 11 3E 0C0 00000000 FFFFFFFE 00 = 0000000F 00 3E 0C1 00000000 FFFFFFFE 01 = 0000000F 01 3E 0C2 00000000 FFFFFFFE 10 = 0000000F 10 3E 0C3 00000000 FFFFFFFE 11 = 0000000F 11 3E 0C4 00000001 FFFFFFFE 00 = 0000000F 00 3E 0C5 00000001 FFFFFFFE 01 = 0000000F 01 3E 0C6 00000001 FFFFFFFE 10 = 0000000F 10 3E 0C7 00000001 FFFFFFFE 11 = 0000000F 11 3E 0C8 00000002 FFFFFFFE 00 = 0000000F 00 3E 0C9 00000002 FFFFFFFE 01 = 0000000F 01 3E 0CA 00000002 FFFFFFFE 10 = 0000000F 10 3E 0CB 00000002 FFFFFFFE 11 = 0000000F 11 3E 0CC 7FFFFFFF FFFFFFFE 00 = 0000000F 00 3E 0CD 7FFFFFFF FFFFFFFE 01 = 0000000F 01 3E 0CE 7FFFFFFF FFFFFFFE 10 = 0000000F 10 3E 0CF 7FFFFFFF FFFFFFFE 11 = 0000000F 11 3E 0D0 80000000 FFFFFFFE 00 = 0000000F 00 3E 0D1 80000000 FFFFFFFE 01 = 0000000F 01 3E 0D2 80000000 FFFFFFFE 10 = 0000000F 10 3E 0D3 80000000 FFFFFFFE 11 = 0000000F 11 3E 0D4 80000001 FFFFFFFE 00 = 0000000F 00 3E 0D5 80000001 FFFFFFFE 01 = 0000000F 01 3E 0D6 80000001 FFFFFFFE 10 = 0000000F 10 3E 0D7 80000001 FFFFFFFE 11 = 0000000F 11 3E 0D8 FFFFFFFE FFFFFFFE 00 = 0000000F 00 3E 0D9 FFFFFFFE FFFFFFFE 01 = 0000000F 01 3E 0DA FFFFFFFE FFFFFFFE 10 = 0000000F 10 3E 0DB FFFFFFFE FFFFFFFE 11 = 0000000F 11 3E 0DC FFFFFFFF FFFFFFFE 00 = 0000000F 00 3E 0DD FFFFFFFF FFFFFFFE 01 = 0000000F 01 3E 0DE FFFFFFFF FFFFFFFE 10 = 0000000F 10 3E 0DF FFFFFFFF FFFFFFFE 11 = 0000000F 11 3E 0E0 00000000 FFFFFFFF 00 = 0000000F 00 3E 0E1 00000000 FFFFFFFF 01 = 0000000F 01 3E 0E2 00000000 FFFFFFFF 10 = 0000000F 10 3E 0E3 00000000 FFFFFFFF 11 = 0000000F 11 3E 0E4 00000001 FFFFFFFF 00 = 0000000F 00 3E 0E5 00000001 FFFFFFFF 01 = 0000000F 01 3E 0E6 00000001 FFFFFFFF 10 = 0000000F 10 3E 0E7 00000001 FFFFFFFF 11 = 0000000F 11 3E 0E8 00000002 FFFFFFFF 00 = 0000000F 00 3E 0E9 00000002 FFFFFFFF 01 = 0000000F 01 3E 0EA 00000002 FFFFFFFF 10 = 0000000F 10 3E 0EB 00000002 FFFFFFFF 11 = 0000000F 11 3E 0EC 7FFFFFFF FFFFFFFF 00 = 0000000F 00 3E 0ED 7FFFFFFF FFFFFFFF 01 = 0000000F 01 3E 0EE 7FFFFFFF FFFFFFFF 10 = 0000000F 10 3E 0EF 7FFFFFFF FFFFFFFF 11 = 0000000F 11 3E 0F0 80000000 FFFFFFFF 00 = 0000000F 00 3E 0F1 80000000 FFFFFFFF 01 = 0000000F 01 3E 0F2 80000000 FFFFFFFF 10 = 0000000F 10 3E 0F3 80000000 FFFFFFFF 11 = 0000000F 11 3E 0F4 80000001 FFFFFFFF 00 = 0000000F 00 3E 0F5 80000001 FFFFFFFF 01 = 0000000F 01 3E 0F6 80000001 FFFFFFFF 10 = 0000000F 10 3E 0F7 80000001 FFFFFFFF 11 = 0000000F 11 3E 0F8 FFFFFFFE FFFFFFFF 00 = 0000000F 00 3E 0F9 FFFFFFFE FFFFFFFF 01 = 0000000F 01 3E 0FA FFFFFFFE FFFFFFFF 10 = 0000000F 10 3E 0FB FFFFFFFE FFFFFFFF 11 = 0000000F 11 3E 0FC FFFFFFFF FFFFFFFF 00 = 0000000F 00 3E 0FD FFFFFFFF FFFFFFFF 01 = 0000000F 01 3E 0FE FFFFFFFF FFFFFFFF 10 = 0000000F 10 3E 0FF FFFFFFFF FFFFFFFF 11 = 0000000F 11 rolnib ---D---- ---S---- CZ = ---Q---- CZ 3F 000 00000000 00000000 00 = 00000000 00 3F 001 00000000 00000000 01 = 00000000 01 3F 002 00000000 00000000 10 = 00000000 10 3F 003 00000000 00000000 11 = 00000000 11 3F 004 00000001 00000000 00 = 00000010 00 3F 005 00000001 00000000 01 = 00000010 01 3F 006 00000001 00000000 10 = 00000010 10 3F 007 00000001 00000000 11 = 00000010 11 3F 008 00000002 00000000 00 = 00000020 00 3F 009 00000002 00000000 01 = 00000020 01 3F 00A 00000002 00000000 10 = 00000020 10 3F 00B 00000002 00000000 11 = 00000020 11 3F 00C 7FFFFFFF 00000000 00 = FFFFFFF0 00 3F 00D 7FFFFFFF 00000000 01 = FFFFFFF0 01 3F 00E 7FFFFFFF 00000000 10 = FFFFFFF0 10 3F 00F 7FFFFFFF 00000000 11 = FFFFFFF0 11 3F 010 80000000 00000000 00 = 00000000 00 3F 011 80000000 00000000 01 = 00000000 01 3F 012 80000000 00000000 10 = 00000000 10 3F 013 80000000 00000000 11 = 00000000 11 3F 014 80000001 00000000 00 = 00000010 00 3F 015 80000001 00000000 01 = 00000010 01 3F 016 80000001 00000000 10 = 00000010 10 3F 017 80000001 00000000 11 = 00000010 11 3F 018 FFFFFFFE 00000000 00 = FFFFFFE0 00 3F 019 FFFFFFFE 00000000 01 = FFFFFFE0 01 3F 01A FFFFFFFE 00000000 10 = FFFFFFE0 10 3F 01B FFFFFFFE 00000000 11 = FFFFFFE0 11 3F 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 3F 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 3F 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 3F 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 3F 020 00000000 00000001 00 = 00000000 00 3F 021 00000000 00000001 01 = 00000000 01 3F 022 00000000 00000001 10 = 00000000 10 3F 023 00000000 00000001 11 = 00000000 11 3F 024 00000001 00000001 00 = 00000010 00 3F 025 00000001 00000001 01 = 00000010 01 3F 026 00000001 00000001 10 = 00000010 10 3F 027 00000001 00000001 11 = 00000010 11 3F 028 00000002 00000001 00 = 00000020 00 3F 029 00000002 00000001 01 = 00000020 01 3F 02A 00000002 00000001 10 = 00000020 10 3F 02B 00000002 00000001 11 = 00000020 11 3F 02C 7FFFFFFF 00000001 00 = FFFFFFF0 00 3F 02D 7FFFFFFF 00000001 01 = FFFFFFF0 01 3F 02E 7FFFFFFF 00000001 10 = FFFFFFF0 10 3F 02F 7FFFFFFF 00000001 11 = FFFFFFF0 11 3F 030 80000000 00000001 00 = 00000000 00 3F 031 80000000 00000001 01 = 00000000 01 3F 032 80000000 00000001 10 = 00000000 10 3F 033 80000000 00000001 11 = 00000000 11 3F 034 80000001 00000001 00 = 00000010 00 3F 035 80000001 00000001 01 = 00000010 01 3F 036 80000001 00000001 10 = 00000010 10 3F 037 80000001 00000001 11 = 00000010 11 3F 038 FFFFFFFE 00000001 00 = FFFFFFE0 00 3F 039 FFFFFFFE 00000001 01 = FFFFFFE0 01 3F 03A FFFFFFFE 00000001 10 = FFFFFFE0 10 3F 03B FFFFFFFE 00000001 11 = FFFFFFE0 11 3F 03C FFFFFFFF 00000001 00 = FFFFFFF0 00 3F 03D FFFFFFFF 00000001 01 = FFFFFFF0 01 3F 03E FFFFFFFF 00000001 10 = FFFFFFF0 10 3F 03F FFFFFFFF 00000001 11 = FFFFFFF0 11 3F 040 00000000 00000002 00 = 00000000 00 3F 041 00000000 00000002 01 = 00000000 01 3F 042 00000000 00000002 10 = 00000000 10 3F 043 00000000 00000002 11 = 00000000 11 3F 044 00000001 00000002 00 = 00000010 00 3F 045 00000001 00000002 01 = 00000010 01 3F 046 00000001 00000002 10 = 00000010 10 3F 047 00000001 00000002 11 = 00000010 11 3F 048 00000002 00000002 00 = 00000020 00 3F 049 00000002 00000002 01 = 00000020 01 3F 04A 00000002 00000002 10 = 00000020 10 3F 04B 00000002 00000002 11 = 00000020 11 3F 04C 7FFFFFFF 00000002 00 = FFFFFFF0 00 3F 04D 7FFFFFFF 00000002 01 = FFFFFFF0 01 3F 04E 7FFFFFFF 00000002 10 = FFFFFFF0 10 3F 04F 7FFFFFFF 00000002 11 = FFFFFFF0 11 3F 050 80000000 00000002 00 = 00000000 00 3F 051 80000000 00000002 01 = 00000000 01 3F 052 80000000 00000002 10 = 00000000 10 3F 053 80000000 00000002 11 = 00000000 11 3F 054 80000001 00000002 00 = 00000010 00 3F 055 80000001 00000002 01 = 00000010 01 3F 056 80000001 00000002 10 = 00000010 10 3F 057 80000001 00000002 11 = 00000010 11 3F 058 FFFFFFFE 00000002 00 = FFFFFFE0 00 3F 059 FFFFFFFE 00000002 01 = FFFFFFE0 01 3F 05A FFFFFFFE 00000002 10 = FFFFFFE0 10 3F 05B FFFFFFFE 00000002 11 = FFFFFFE0 11 3F 05C FFFFFFFF 00000002 00 = FFFFFFF0 00 3F 05D FFFFFFFF 00000002 01 = FFFFFFF0 01 3F 05E FFFFFFFF 00000002 10 = FFFFFFF0 10 3F 05F FFFFFFFF 00000002 11 = FFFFFFF0 11 3F 060 00000000 7FFFFFFF 00 = 0000000F 00 3F 061 00000000 7FFFFFFF 01 = 0000000F 01 3F 062 00000000 7FFFFFFF 10 = 0000000F 10 3F 063 00000000 7FFFFFFF 11 = 0000000F 11 3F 064 00000001 7FFFFFFF 00 = 0000001F 00 3F 065 00000001 7FFFFFFF 01 = 0000001F 01 3F 066 00000001 7FFFFFFF 10 = 0000001F 10 3F 067 00000001 7FFFFFFF 11 = 0000001F 11 3F 068 00000002 7FFFFFFF 00 = 0000002F 00 3F 069 00000002 7FFFFFFF 01 = 0000002F 01 3F 06A 00000002 7FFFFFFF 10 = 0000002F 10 3F 06B 00000002 7FFFFFFF 11 = 0000002F 11 3F 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 3F 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 3F 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 3F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 3F 070 80000000 7FFFFFFF 00 = 0000000F 00 3F 071 80000000 7FFFFFFF 01 = 0000000F 01 3F 072 80000000 7FFFFFFF 10 = 0000000F 10 3F 073 80000000 7FFFFFFF 11 = 0000000F 11 3F 074 80000001 7FFFFFFF 00 = 0000001F 00 3F 075 80000001 7FFFFFFF 01 = 0000001F 01 3F 076 80000001 7FFFFFFF 10 = 0000001F 10 3F 077 80000001 7FFFFFFF 11 = 0000001F 11 3F 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFEF 00 3F 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFEF 01 3F 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFEF 10 3F 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFEF 11 3F 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 3F 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 3F 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 3F 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 3F 080 00000000 80000000 00 = 00000000 00 3F 081 00000000 80000000 01 = 00000000 01 3F 082 00000000 80000000 10 = 00000000 10 3F 083 00000000 80000000 11 = 00000000 11 3F 084 00000001 80000000 00 = 00000010 00 3F 085 00000001 80000000 01 = 00000010 01 3F 086 00000001 80000000 10 = 00000010 10 3F 087 00000001 80000000 11 = 00000010 11 3F 088 00000002 80000000 00 = 00000020 00 3F 089 00000002 80000000 01 = 00000020 01 3F 08A 00000002 80000000 10 = 00000020 10 3F 08B 00000002 80000000 11 = 00000020 11 3F 08C 7FFFFFFF 80000000 00 = FFFFFFF0 00 3F 08D 7FFFFFFF 80000000 01 = FFFFFFF0 01 3F 08E 7FFFFFFF 80000000 10 = FFFFFFF0 10 3F 08F 7FFFFFFF 80000000 11 = FFFFFFF0 11 3F 090 80000000 80000000 00 = 00000000 00 3F 091 80000000 80000000 01 = 00000000 01 3F 092 80000000 80000000 10 = 00000000 10 3F 093 80000000 80000000 11 = 00000000 11 3F 094 80000001 80000000 00 = 00000010 00 3F 095 80000001 80000000 01 = 00000010 01 3F 096 80000001 80000000 10 = 00000010 10 3F 097 80000001 80000000 11 = 00000010 11 3F 098 FFFFFFFE 80000000 00 = FFFFFFE0 00 3F 099 FFFFFFFE 80000000 01 = FFFFFFE0 01 3F 09A FFFFFFFE 80000000 10 = FFFFFFE0 10 3F 09B FFFFFFFE 80000000 11 = FFFFFFE0 11 3F 09C FFFFFFFF 80000000 00 = FFFFFFF0 00 3F 09D FFFFFFFF 80000000 01 = FFFFFFF0 01 3F 09E FFFFFFFF 80000000 10 = FFFFFFF0 10 3F 09F FFFFFFFF 80000000 11 = FFFFFFF0 11 3F 0A0 00000000 80000001 00 = 00000000 00 3F 0A1 00000000 80000001 01 = 00000000 01 3F 0A2 00000000 80000001 10 = 00000000 10 3F 0A3 00000000 80000001 11 = 00000000 11 3F 0A4 00000001 80000001 00 = 00000010 00 3F 0A5 00000001 80000001 01 = 00000010 01 3F 0A6 00000001 80000001 10 = 00000010 10 3F 0A7 00000001 80000001 11 = 00000010 11 3F 0A8 00000002 80000001 00 = 00000020 00 3F 0A9 00000002 80000001 01 = 00000020 01 3F 0AA 00000002 80000001 10 = 00000020 10 3F 0AB 00000002 80000001 11 = 00000020 11 3F 0AC 7FFFFFFF 80000001 00 = FFFFFFF0 00 3F 0AD 7FFFFFFF 80000001 01 = FFFFFFF0 01 3F 0AE 7FFFFFFF 80000001 10 = FFFFFFF0 10 3F 0AF 7FFFFFFF 80000001 11 = FFFFFFF0 11 3F 0B0 80000000 80000001 00 = 00000000 00 3F 0B1 80000000 80000001 01 = 00000000 01 3F 0B2 80000000 80000001 10 = 00000000 10 3F 0B3 80000000 80000001 11 = 00000000 11 3F 0B4 80000001 80000001 00 = 00000010 00 3F 0B5 80000001 80000001 01 = 00000010 01 3F 0B6 80000001 80000001 10 = 00000010 10 3F 0B7 80000001 80000001 11 = 00000010 11 3F 0B8 FFFFFFFE 80000001 00 = FFFFFFE0 00 3F 0B9 FFFFFFFE 80000001 01 = FFFFFFE0 01 3F 0BA FFFFFFFE 80000001 10 = FFFFFFE0 10 3F 0BB FFFFFFFE 80000001 11 = FFFFFFE0 11 3F 0BC FFFFFFFF 80000001 00 = FFFFFFF0 00 3F 0BD FFFFFFFF 80000001 01 = FFFFFFF0 01 3F 0BE FFFFFFFF 80000001 10 = FFFFFFF0 10 3F 0BF FFFFFFFF 80000001 11 = FFFFFFF0 11 3F 0C0 00000000 FFFFFFFE 00 = 0000000F 00 3F 0C1 00000000 FFFFFFFE 01 = 0000000F 01 3F 0C2 00000000 FFFFFFFE 10 = 0000000F 10 3F 0C3 00000000 FFFFFFFE 11 = 0000000F 11 3F 0C4 00000001 FFFFFFFE 00 = 0000001F 00 3F 0C5 00000001 FFFFFFFE 01 = 0000001F 01 3F 0C6 00000001 FFFFFFFE 10 = 0000001F 10 3F 0C7 00000001 FFFFFFFE 11 = 0000001F 11 3F 0C8 00000002 FFFFFFFE 00 = 0000002F 00 3F 0C9 00000002 FFFFFFFE 01 = 0000002F 01 3F 0CA 00000002 FFFFFFFE 10 = 0000002F 10 3F 0CB 00000002 FFFFFFFE 11 = 0000002F 11 3F 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 3F 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 3F 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 3F 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 3F 0D0 80000000 FFFFFFFE 00 = 0000000F 00 3F 0D1 80000000 FFFFFFFE 01 = 0000000F 01 3F 0D2 80000000 FFFFFFFE 10 = 0000000F 10 3F 0D3 80000000 FFFFFFFE 11 = 0000000F 11 3F 0D4 80000001 FFFFFFFE 00 = 0000001F 00 3F 0D5 80000001 FFFFFFFE 01 = 0000001F 01 3F 0D6 80000001 FFFFFFFE 10 = 0000001F 10 3F 0D7 80000001 FFFFFFFE 11 = 0000001F 11 3F 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEF 00 3F 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEF 01 3F 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEF 10 3F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEF 11 3F 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 3F 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 3F 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 3F 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 3F 0E0 00000000 FFFFFFFF 00 = 0000000F 00 3F 0E1 00000000 FFFFFFFF 01 = 0000000F 01 3F 0E2 00000000 FFFFFFFF 10 = 0000000F 10 3F 0E3 00000000 FFFFFFFF 11 = 0000000F 11 3F 0E4 00000001 FFFFFFFF 00 = 0000001F 00 3F 0E5 00000001 FFFFFFFF 01 = 0000001F 01 3F 0E6 00000001 FFFFFFFF 10 = 0000001F 10 3F 0E7 00000001 FFFFFFFF 11 = 0000001F 11 3F 0E8 00000002 FFFFFFFF 00 = 0000002F 00 3F 0E9 00000002 FFFFFFFF 01 = 0000002F 01 3F 0EA 00000002 FFFFFFFF 10 = 0000002F 10 3F 0EB 00000002 FFFFFFFF 11 = 0000002F 11 3F 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 3F 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 3F 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 3F 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 3F 0F0 80000000 FFFFFFFF 00 = 0000000F 00 3F 0F1 80000000 FFFFFFFF 01 = 0000000F 01 3F 0F2 80000000 FFFFFFFF 10 = 0000000F 10 3F 0F3 80000000 FFFFFFFF 11 = 0000000F 11 3F 0F4 80000001 FFFFFFFF 00 = 0000001F 00 3F 0F5 80000001 FFFFFFFF 01 = 0000001F 01 3F 0F6 80000001 FFFFFFFF 10 = 0000001F 10 3F 0F7 80000001 FFFFFFFF 11 = 0000001F 11 3F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFEF 00 3F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFEF 01 3F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFEF 10 3F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFEF 11 3F 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 3F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 3F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 3F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 setbyte ---D---- ---S---- CZ = ---Q---- CZ 40 000 00000000 00000000 00 = 00000000 00 40 001 00000000 00000000 01 = 00000000 01 40 002 00000000 00000000 10 = 00000000 10 40 003 00000000 00000000 11 = 00000000 11 40 004 00000001 00000000 00 = 00000001 00 40 005 00000001 00000000 01 = 00000001 01 40 006 00000001 00000000 10 = 00000001 10 40 007 00000001 00000000 11 = 00000001 11 40 008 00000002 00000000 00 = 00000002 00 40 009 00000002 00000000 01 = 00000002 01 40 00A 00000002 00000000 10 = 00000002 10 40 00B 00000002 00000000 11 = 00000002 11 40 00C 7FFFFFFF 00000000 00 = 00FFFFFF 00 40 00D 7FFFFFFF 00000000 01 = 00FFFFFF 01 40 00E 7FFFFFFF 00000000 10 = 00FFFFFF 10 40 00F 7FFFFFFF 00000000 11 = 00FFFFFF 11 40 010 80000000 00000000 00 = 00000000 00 40 011 80000000 00000000 01 = 00000000 01 40 012 80000000 00000000 10 = 00000000 10 40 013 80000000 00000000 11 = 00000000 11 40 014 80000001 00000000 00 = 00000001 00 40 015 80000001 00000000 01 = 00000001 01 40 016 80000001 00000000 10 = 00000001 10 40 017 80000001 00000000 11 = 00000001 11 40 018 FFFFFFFE 00000000 00 = 00FFFFFE 00 40 019 FFFFFFFE 00000000 01 = 00FFFFFE 01 40 01A FFFFFFFE 00000000 10 = 00FFFFFE 10 40 01B FFFFFFFE 00000000 11 = 00FFFFFE 11 40 01C FFFFFFFF 00000000 00 = 00FFFFFF 00 40 01D FFFFFFFF 00000000 01 = 00FFFFFF 01 40 01E FFFFFFFF 00000000 10 = 00FFFFFF 10 40 01F FFFFFFFF 00000000 11 = 00FFFFFF 11 40 020 00000000 00000001 00 = 01000000 00 40 021 00000000 00000001 01 = 01000000 01 40 022 00000000 00000001 10 = 01000000 10 40 023 00000000 00000001 11 = 01000000 11 40 024 00000001 00000001 00 = 01000001 00 40 025 00000001 00000001 01 = 01000001 01 40 026 00000001 00000001 10 = 01000001 10 40 027 00000001 00000001 11 = 01000001 11 40 028 00000002 00000001 00 = 01000002 00 40 029 00000002 00000001 01 = 01000002 01 40 02A 00000002 00000001 10 = 01000002 10 40 02B 00000002 00000001 11 = 01000002 11 40 02C 7FFFFFFF 00000001 00 = 01FFFFFF 00 40 02D 7FFFFFFF 00000001 01 = 01FFFFFF 01 40 02E 7FFFFFFF 00000001 10 = 01FFFFFF 10 40 02F 7FFFFFFF 00000001 11 = 01FFFFFF 11 40 030 80000000 00000001 00 = 01000000 00 40 031 80000000 00000001 01 = 01000000 01 40 032 80000000 00000001 10 = 01000000 10 40 033 80000000 00000001 11 = 01000000 11 40 034 80000001 00000001 00 = 01000001 00 40 035 80000001 00000001 01 = 01000001 01 40 036 80000001 00000001 10 = 01000001 10 40 037 80000001 00000001 11 = 01000001 11 40 038 FFFFFFFE 00000001 00 = 01FFFFFE 00 40 039 FFFFFFFE 00000001 01 = 01FFFFFE 01 40 03A FFFFFFFE 00000001 10 = 01FFFFFE 10 40 03B FFFFFFFE 00000001 11 = 01FFFFFE 11 40 03C FFFFFFFF 00000001 00 = 01FFFFFF 00 40 03D FFFFFFFF 00000001 01 = 01FFFFFF 01 40 03E FFFFFFFF 00000001 10 = 01FFFFFF 10 40 03F FFFFFFFF 00000001 11 = 01FFFFFF 11 40 040 00000000 00000002 00 = 02000000 00 40 041 00000000 00000002 01 = 02000000 01 40 042 00000000 00000002 10 = 02000000 10 40 043 00000000 00000002 11 = 02000000 11 40 044 00000001 00000002 00 = 02000001 00 40 045 00000001 00000002 01 = 02000001 01 40 046 00000001 00000002 10 = 02000001 10 40 047 00000001 00000002 11 = 02000001 11 40 048 00000002 00000002 00 = 02000002 00 40 049 00000002 00000002 01 = 02000002 01 40 04A 00000002 00000002 10 = 02000002 10 40 04B 00000002 00000002 11 = 02000002 11 40 04C 7FFFFFFF 00000002 00 = 02FFFFFF 00 40 04D 7FFFFFFF 00000002 01 = 02FFFFFF 01 40 04E 7FFFFFFF 00000002 10 = 02FFFFFF 10 40 04F 7FFFFFFF 00000002 11 = 02FFFFFF 11 40 050 80000000 00000002 00 = 02000000 00 40 051 80000000 00000002 01 = 02000000 01 40 052 80000000 00000002 10 = 02000000 10 40 053 80000000 00000002 11 = 02000000 11 40 054 80000001 00000002 00 = 02000001 00 40 055 80000001 00000002 01 = 02000001 01 40 056 80000001 00000002 10 = 02000001 10 40 057 80000001 00000002 11 = 02000001 11 40 058 FFFFFFFE 00000002 00 = 02FFFFFE 00 40 059 FFFFFFFE 00000002 01 = 02FFFFFE 01 40 05A FFFFFFFE 00000002 10 = 02FFFFFE 10 40 05B FFFFFFFE 00000002 11 = 02FFFFFE 11 40 05C FFFFFFFF 00000002 00 = 02FFFFFF 00 40 05D FFFFFFFF 00000002 01 = 02FFFFFF 01 40 05E FFFFFFFF 00000002 10 = 02FFFFFF 10 40 05F FFFFFFFF 00000002 11 = 02FFFFFF 11 40 060 00000000 7FFFFFFF 00 = FF000000 00 40 061 00000000 7FFFFFFF 01 = FF000000 01 40 062 00000000 7FFFFFFF 10 = FF000000 10 40 063 00000000 7FFFFFFF 11 = FF000000 11 40 064 00000001 7FFFFFFF 00 = FF000001 00 40 065 00000001 7FFFFFFF 01 = FF000001 01 40 066 00000001 7FFFFFFF 10 = FF000001 10 40 067 00000001 7FFFFFFF 11 = FF000001 11 40 068 00000002 7FFFFFFF 00 = FF000002 00 40 069 00000002 7FFFFFFF 01 = FF000002 01 40 06A 00000002 7FFFFFFF 10 = FF000002 10 40 06B 00000002 7FFFFFFF 11 = FF000002 11 40 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 40 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 40 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 40 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 40 070 80000000 7FFFFFFF 00 = FF000000 00 40 071 80000000 7FFFFFFF 01 = FF000000 01 40 072 80000000 7FFFFFFF 10 = FF000000 10 40 073 80000000 7FFFFFFF 11 = FF000000 11 40 074 80000001 7FFFFFFF 00 = FF000001 00 40 075 80000001 7FFFFFFF 01 = FF000001 01 40 076 80000001 7FFFFFFF 10 = FF000001 10 40 077 80000001 7FFFFFFF 11 = FF000001 11 40 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 40 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 40 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 40 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 40 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 40 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 40 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 40 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 40 080 00000000 80000000 00 = 00000000 00 40 081 00000000 80000000 01 = 00000000 01 40 082 00000000 80000000 10 = 00000000 10 40 083 00000000 80000000 11 = 00000000 11 40 084 00000001 80000000 00 = 00000001 00 40 085 00000001 80000000 01 = 00000001 01 40 086 00000001 80000000 10 = 00000001 10 40 087 00000001 80000000 11 = 00000001 11 40 088 00000002 80000000 00 = 00000002 00 40 089 00000002 80000000 01 = 00000002 01 40 08A 00000002 80000000 10 = 00000002 10 40 08B 00000002 80000000 11 = 00000002 11 40 08C 7FFFFFFF 80000000 00 = 00FFFFFF 00 40 08D 7FFFFFFF 80000000 01 = 00FFFFFF 01 40 08E 7FFFFFFF 80000000 10 = 00FFFFFF 10 40 08F 7FFFFFFF 80000000 11 = 00FFFFFF 11 40 090 80000000 80000000 00 = 00000000 00 40 091 80000000 80000000 01 = 00000000 01 40 092 80000000 80000000 10 = 00000000 10 40 093 80000000 80000000 11 = 00000000 11 40 094 80000001 80000000 00 = 00000001 00 40 095 80000001 80000000 01 = 00000001 01 40 096 80000001 80000000 10 = 00000001 10 40 097 80000001 80000000 11 = 00000001 11 40 098 FFFFFFFE 80000000 00 = 00FFFFFE 00 40 099 FFFFFFFE 80000000 01 = 00FFFFFE 01 40 09A FFFFFFFE 80000000 10 = 00FFFFFE 10 40 09B FFFFFFFE 80000000 11 = 00FFFFFE 11 40 09C FFFFFFFF 80000000 00 = 00FFFFFF 00 40 09D FFFFFFFF 80000000 01 = 00FFFFFF 01 40 09E FFFFFFFF 80000000 10 = 00FFFFFF 10 40 09F FFFFFFFF 80000000 11 = 00FFFFFF 11 40 0A0 00000000 80000001 00 = 01000000 00 40 0A1 00000000 80000001 01 = 01000000 01 40 0A2 00000000 80000001 10 = 01000000 10 40 0A3 00000000 80000001 11 = 01000000 11 40 0A4 00000001 80000001 00 = 01000001 00 40 0A5 00000001 80000001 01 = 01000001 01 40 0A6 00000001 80000001 10 = 01000001 10 40 0A7 00000001 80000001 11 = 01000001 11 40 0A8 00000002 80000001 00 = 01000002 00 40 0A9 00000002 80000001 01 = 01000002 01 40 0AA 00000002 80000001 10 = 01000002 10 40 0AB 00000002 80000001 11 = 01000002 11 40 0AC 7FFFFFFF 80000001 00 = 01FFFFFF 00 40 0AD 7FFFFFFF 80000001 01 = 01FFFFFF 01 40 0AE 7FFFFFFF 80000001 10 = 01FFFFFF 10 40 0AF 7FFFFFFF 80000001 11 = 01FFFFFF 11 40 0B0 80000000 80000001 00 = 01000000 00 40 0B1 80000000 80000001 01 = 01000000 01 40 0B2 80000000 80000001 10 = 01000000 10 40 0B3 80000000 80000001 11 = 01000000 11 40 0B4 80000001 80000001 00 = 01000001 00 40 0B5 80000001 80000001 01 = 01000001 01 40 0B6 80000001 80000001 10 = 01000001 10 40 0B7 80000001 80000001 11 = 01000001 11 40 0B8 FFFFFFFE 80000001 00 = 01FFFFFE 00 40 0B9 FFFFFFFE 80000001 01 = 01FFFFFE 01 40 0BA FFFFFFFE 80000001 10 = 01FFFFFE 10 40 0BB FFFFFFFE 80000001 11 = 01FFFFFE 11 40 0BC FFFFFFFF 80000001 00 = 01FFFFFF 00 40 0BD FFFFFFFF 80000001 01 = 01FFFFFF 01 40 0BE FFFFFFFF 80000001 10 = 01FFFFFF 10 40 0BF FFFFFFFF 80000001 11 = 01FFFFFF 11 40 0C0 00000000 FFFFFFFE 00 = FE000000 00 40 0C1 00000000 FFFFFFFE 01 = FE000000 01 40 0C2 00000000 FFFFFFFE 10 = FE000000 10 40 0C3 00000000 FFFFFFFE 11 = FE000000 11 40 0C4 00000001 FFFFFFFE 00 = FE000001 00 40 0C5 00000001 FFFFFFFE 01 = FE000001 01 40 0C6 00000001 FFFFFFFE 10 = FE000001 10 40 0C7 00000001 FFFFFFFE 11 = FE000001 11 40 0C8 00000002 FFFFFFFE 00 = FE000002 00 40 0C9 00000002 FFFFFFFE 01 = FE000002 01 40 0CA 00000002 FFFFFFFE 10 = FE000002 10 40 0CB 00000002 FFFFFFFE 11 = FE000002 11 40 0CC 7FFFFFFF FFFFFFFE 00 = FEFFFFFF 00 40 0CD 7FFFFFFF FFFFFFFE 01 = FEFFFFFF 01 40 0CE 7FFFFFFF FFFFFFFE 10 = FEFFFFFF 10 40 0CF 7FFFFFFF FFFFFFFE 11 = FEFFFFFF 11 40 0D0 80000000 FFFFFFFE 00 = FE000000 00 40 0D1 80000000 FFFFFFFE 01 = FE000000 01 40 0D2 80000000 FFFFFFFE 10 = FE000000 10 40 0D3 80000000 FFFFFFFE 11 = FE000000 11 40 0D4 80000001 FFFFFFFE 00 = FE000001 00 40 0D5 80000001 FFFFFFFE 01 = FE000001 01 40 0D6 80000001 FFFFFFFE 10 = FE000001 10 40 0D7 80000001 FFFFFFFE 11 = FE000001 11 40 0D8 FFFFFFFE FFFFFFFE 00 = FEFFFFFE 00 40 0D9 FFFFFFFE FFFFFFFE 01 = FEFFFFFE 01 40 0DA FFFFFFFE FFFFFFFE 10 = FEFFFFFE 10 40 0DB FFFFFFFE FFFFFFFE 11 = FEFFFFFE 11 40 0DC FFFFFFFF FFFFFFFE 00 = FEFFFFFF 00 40 0DD FFFFFFFF FFFFFFFE 01 = FEFFFFFF 01 40 0DE FFFFFFFF FFFFFFFE 10 = FEFFFFFF 10 40 0DF FFFFFFFF FFFFFFFE 11 = FEFFFFFF 11 40 0E0 00000000 FFFFFFFF 00 = FF000000 00 40 0E1 00000000 FFFFFFFF 01 = FF000000 01 40 0E2 00000000 FFFFFFFF 10 = FF000000 10 40 0E3 00000000 FFFFFFFF 11 = FF000000 11 40 0E4 00000001 FFFFFFFF 00 = FF000001 00 40 0E5 00000001 FFFFFFFF 01 = FF000001 01 40 0E6 00000001 FFFFFFFF 10 = FF000001 10 40 0E7 00000001 FFFFFFFF 11 = FF000001 11 40 0E8 00000002 FFFFFFFF 00 = FF000002 00 40 0E9 00000002 FFFFFFFF 01 = FF000002 01 40 0EA 00000002 FFFFFFFF 10 = FF000002 10 40 0EB 00000002 FFFFFFFF 11 = FF000002 11 40 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 40 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 40 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 40 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 40 0F0 80000000 FFFFFFFF 00 = FF000000 00 40 0F1 80000000 FFFFFFFF 01 = FF000000 01 40 0F2 80000000 FFFFFFFF 10 = FF000000 10 40 0F3 80000000 FFFFFFFF 11 = FF000000 11 40 0F4 80000001 FFFFFFFF 00 = FF000001 00 40 0F5 80000001 FFFFFFFF 01 = FF000001 01 40 0F6 80000001 FFFFFFFF 10 = FF000001 10 40 0F7 80000001 FFFFFFFF 11 = FF000001 11 40 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 40 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 40 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 40 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 40 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 40 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 40 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 40 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 getbyte ---D---- ---S---- CZ = ---Q---- CZ 41 000 00000000 00000000 00 = 00000000 00 41 001 00000000 00000000 01 = 00000000 01 41 002 00000000 00000000 10 = 00000000 10 41 003 00000000 00000000 11 = 00000000 11 41 004 00000001 00000000 00 = 00000000 00 41 005 00000001 00000000 01 = 00000000 01 41 006 00000001 00000000 10 = 00000000 10 41 007 00000001 00000000 11 = 00000000 11 41 008 00000002 00000000 00 = 00000000 00 41 009 00000002 00000000 01 = 00000000 01 41 00A 00000002 00000000 10 = 00000000 10 41 00B 00000002 00000000 11 = 00000000 11 41 00C 7FFFFFFF 00000000 00 = 00000000 00 41 00D 7FFFFFFF 00000000 01 = 00000000 01 41 00E 7FFFFFFF 00000000 10 = 00000000 10 41 00F 7FFFFFFF 00000000 11 = 00000000 11 41 010 80000000 00000000 00 = 00000000 00 41 011 80000000 00000000 01 = 00000000 01 41 012 80000000 00000000 10 = 00000000 10 41 013 80000000 00000000 11 = 00000000 11 41 014 80000001 00000000 00 = 00000000 00 41 015 80000001 00000000 01 = 00000000 01 41 016 80000001 00000000 10 = 00000000 10 41 017 80000001 00000000 11 = 00000000 11 41 018 FFFFFFFE 00000000 00 = 00000000 00 41 019 FFFFFFFE 00000000 01 = 00000000 01 41 01A FFFFFFFE 00000000 10 = 00000000 10 41 01B FFFFFFFE 00000000 11 = 00000000 11 41 01C FFFFFFFF 00000000 00 = 00000000 00 41 01D FFFFFFFF 00000000 01 = 00000000 01 41 01E FFFFFFFF 00000000 10 = 00000000 10 41 01F FFFFFFFF 00000000 11 = 00000000 11 41 020 00000000 00000001 00 = 00000000 00 41 021 00000000 00000001 01 = 00000000 01 41 022 00000000 00000001 10 = 00000000 10 41 023 00000000 00000001 11 = 00000000 11 41 024 00000001 00000001 00 = 00000000 00 41 025 00000001 00000001 01 = 00000000 01 41 026 00000001 00000001 10 = 00000000 10 41 027 00000001 00000001 11 = 00000000 11 41 028 00000002 00000001 00 = 00000000 00 41 029 00000002 00000001 01 = 00000000 01 41 02A 00000002 00000001 10 = 00000000 10 41 02B 00000002 00000001 11 = 00000000 11 41 02C 7FFFFFFF 00000001 00 = 00000000 00 41 02D 7FFFFFFF 00000001 01 = 00000000 01 41 02E 7FFFFFFF 00000001 10 = 00000000 10 41 02F 7FFFFFFF 00000001 11 = 00000000 11 41 030 80000000 00000001 00 = 00000000 00 41 031 80000000 00000001 01 = 00000000 01 41 032 80000000 00000001 10 = 00000000 10 41 033 80000000 00000001 11 = 00000000 11 41 034 80000001 00000001 00 = 00000000 00 41 035 80000001 00000001 01 = 00000000 01 41 036 80000001 00000001 10 = 00000000 10 41 037 80000001 00000001 11 = 00000000 11 41 038 FFFFFFFE 00000001 00 = 00000000 00 41 039 FFFFFFFE 00000001 01 = 00000000 01 41 03A FFFFFFFE 00000001 10 = 00000000 10 41 03B FFFFFFFE 00000001 11 = 00000000 11 41 03C FFFFFFFF 00000001 00 = 00000000 00 41 03D FFFFFFFF 00000001 01 = 00000000 01 41 03E FFFFFFFF 00000001 10 = 00000000 10 41 03F FFFFFFFF 00000001 11 = 00000000 11 41 040 00000000 00000002 00 = 00000000 00 41 041 00000000 00000002 01 = 00000000 01 41 042 00000000 00000002 10 = 00000000 10 41 043 00000000 00000002 11 = 00000000 11 41 044 00000001 00000002 00 = 00000000 00 41 045 00000001 00000002 01 = 00000000 01 41 046 00000001 00000002 10 = 00000000 10 41 047 00000001 00000002 11 = 00000000 11 41 048 00000002 00000002 00 = 00000000 00 41 049 00000002 00000002 01 = 00000000 01 41 04A 00000002 00000002 10 = 00000000 10 41 04B 00000002 00000002 11 = 00000000 11 41 04C 7FFFFFFF 00000002 00 = 00000000 00 41 04D 7FFFFFFF 00000002 01 = 00000000 01 41 04E 7FFFFFFF 00000002 10 = 00000000 10 41 04F 7FFFFFFF 00000002 11 = 00000000 11 41 050 80000000 00000002 00 = 00000000 00 41 051 80000000 00000002 01 = 00000000 01 41 052 80000000 00000002 10 = 00000000 10 41 053 80000000 00000002 11 = 00000000 11 41 054 80000001 00000002 00 = 00000000 00 41 055 80000001 00000002 01 = 00000000 01 41 056 80000001 00000002 10 = 00000000 10 41 057 80000001 00000002 11 = 00000000 11 41 058 FFFFFFFE 00000002 00 = 00000000 00 41 059 FFFFFFFE 00000002 01 = 00000000 01 41 05A FFFFFFFE 00000002 10 = 00000000 10 41 05B FFFFFFFE 00000002 11 = 00000000 11 41 05C FFFFFFFF 00000002 00 = 00000000 00 41 05D FFFFFFFF 00000002 01 = 00000000 01 41 05E FFFFFFFF 00000002 10 = 00000000 10 41 05F FFFFFFFF 00000002 11 = 00000000 11 41 060 00000000 7FFFFFFF 00 = 0000007F 00 41 061 00000000 7FFFFFFF 01 = 0000007F 01 41 062 00000000 7FFFFFFF 10 = 0000007F 10 41 063 00000000 7FFFFFFF 11 = 0000007F 11 41 064 00000001 7FFFFFFF 00 = 0000007F 00 41 065 00000001 7FFFFFFF 01 = 0000007F 01 41 066 00000001 7FFFFFFF 10 = 0000007F 10 41 067 00000001 7FFFFFFF 11 = 0000007F 11 41 068 00000002 7FFFFFFF 00 = 0000007F 00 41 069 00000002 7FFFFFFF 01 = 0000007F 01 41 06A 00000002 7FFFFFFF 10 = 0000007F 10 41 06B 00000002 7FFFFFFF 11 = 0000007F 11 41 06C 7FFFFFFF 7FFFFFFF 00 = 0000007F 00 41 06D 7FFFFFFF 7FFFFFFF 01 = 0000007F 01 41 06E 7FFFFFFF 7FFFFFFF 10 = 0000007F 10 41 06F 7FFFFFFF 7FFFFFFF 11 = 0000007F 11 41 070 80000000 7FFFFFFF 00 = 0000007F 00 41 071 80000000 7FFFFFFF 01 = 0000007F 01 41 072 80000000 7FFFFFFF 10 = 0000007F 10 41 073 80000000 7FFFFFFF 11 = 0000007F 11 41 074 80000001 7FFFFFFF 00 = 0000007F 00 41 075 80000001 7FFFFFFF 01 = 0000007F 01 41 076 80000001 7FFFFFFF 10 = 0000007F 10 41 077 80000001 7FFFFFFF 11 = 0000007F 11 41 078 FFFFFFFE 7FFFFFFF 00 = 0000007F 00 41 079 FFFFFFFE 7FFFFFFF 01 = 0000007F 01 41 07A FFFFFFFE 7FFFFFFF 10 = 0000007F 10 41 07B FFFFFFFE 7FFFFFFF 11 = 0000007F 11 41 07C FFFFFFFF 7FFFFFFF 00 = 0000007F 00 41 07D FFFFFFFF 7FFFFFFF 01 = 0000007F 01 41 07E FFFFFFFF 7FFFFFFF 10 = 0000007F 10 41 07F FFFFFFFF 7FFFFFFF 11 = 0000007F 11 41 080 00000000 80000000 00 = 00000080 00 41 081 00000000 80000000 01 = 00000080 01 41 082 00000000 80000000 10 = 00000080 10 41 083 00000000 80000000 11 = 00000080 11 41 084 00000001 80000000 00 = 00000080 00 41 085 00000001 80000000 01 = 00000080 01 41 086 00000001 80000000 10 = 00000080 10 41 087 00000001 80000000 11 = 00000080 11 41 088 00000002 80000000 00 = 00000080 00 41 089 00000002 80000000 01 = 00000080 01 41 08A 00000002 80000000 10 = 00000080 10 41 08B 00000002 80000000 11 = 00000080 11 41 08C 7FFFFFFF 80000000 00 = 00000080 00 41 08D 7FFFFFFF 80000000 01 = 00000080 01 41 08E 7FFFFFFF 80000000 10 = 00000080 10 41 08F 7FFFFFFF 80000000 11 = 00000080 11 41 090 80000000 80000000 00 = 00000080 00 41 091 80000000 80000000 01 = 00000080 01 41 092 80000000 80000000 10 = 00000080 10 41 093 80000000 80000000 11 = 00000080 11 41 094 80000001 80000000 00 = 00000080 00 41 095 80000001 80000000 01 = 00000080 01 41 096 80000001 80000000 10 = 00000080 10 41 097 80000001 80000000 11 = 00000080 11 41 098 FFFFFFFE 80000000 00 = 00000080 00 41 099 FFFFFFFE 80000000 01 = 00000080 01 41 09A FFFFFFFE 80000000 10 = 00000080 10 41 09B FFFFFFFE 80000000 11 = 00000080 11 41 09C FFFFFFFF 80000000 00 = 00000080 00 41 09D FFFFFFFF 80000000 01 = 00000080 01 41 09E FFFFFFFF 80000000 10 = 00000080 10 41 09F FFFFFFFF 80000000 11 = 00000080 11 41 0A0 00000000 80000001 00 = 00000080 00 41 0A1 00000000 80000001 01 = 00000080 01 41 0A2 00000000 80000001 10 = 00000080 10 41 0A3 00000000 80000001 11 = 00000080 11 41 0A4 00000001 80000001 00 = 00000080 00 41 0A5 00000001 80000001 01 = 00000080 01 41 0A6 00000001 80000001 10 = 00000080 10 41 0A7 00000001 80000001 11 = 00000080 11 41 0A8 00000002 80000001 00 = 00000080 00 41 0A9 00000002 80000001 01 = 00000080 01 41 0AA 00000002 80000001 10 = 00000080 10 41 0AB 00000002 80000001 11 = 00000080 11 41 0AC 7FFFFFFF 80000001 00 = 00000080 00 41 0AD 7FFFFFFF 80000001 01 = 00000080 01 41 0AE 7FFFFFFF 80000001 10 = 00000080 10 41 0AF 7FFFFFFF 80000001 11 = 00000080 11 41 0B0 80000000 80000001 00 = 00000080 00 41 0B1 80000000 80000001 01 = 00000080 01 41 0B2 80000000 80000001 10 = 00000080 10 41 0B3 80000000 80000001 11 = 00000080 11 41 0B4 80000001 80000001 00 = 00000080 00 41 0B5 80000001 80000001 01 = 00000080 01 41 0B6 80000001 80000001 10 = 00000080 10 41 0B7 80000001 80000001 11 = 00000080 11 41 0B8 FFFFFFFE 80000001 00 = 00000080 00 41 0B9 FFFFFFFE 80000001 01 = 00000080 01 41 0BA FFFFFFFE 80000001 10 = 00000080 10 41 0BB FFFFFFFE 80000001 11 = 00000080 11 41 0BC FFFFFFFF 80000001 00 = 00000080 00 41 0BD FFFFFFFF 80000001 01 = 00000080 01 41 0BE FFFFFFFF 80000001 10 = 00000080 10 41 0BF FFFFFFFF 80000001 11 = 00000080 11 41 0C0 00000000 FFFFFFFE 00 = 000000FF 00 41 0C1 00000000 FFFFFFFE 01 = 000000FF 01 41 0C2 00000000 FFFFFFFE 10 = 000000FF 10 41 0C3 00000000 FFFFFFFE 11 = 000000FF 11 41 0C4 00000001 FFFFFFFE 00 = 000000FF 00 41 0C5 00000001 FFFFFFFE 01 = 000000FF 01 41 0C6 00000001 FFFFFFFE 10 = 000000FF 10 41 0C7 00000001 FFFFFFFE 11 = 000000FF 11 41 0C8 00000002 FFFFFFFE 00 = 000000FF 00 41 0C9 00000002 FFFFFFFE 01 = 000000FF 01 41 0CA 00000002 FFFFFFFE 10 = 000000FF 10 41 0CB 00000002 FFFFFFFE 11 = 000000FF 11 41 0CC 7FFFFFFF FFFFFFFE 00 = 000000FF 00 41 0CD 7FFFFFFF FFFFFFFE 01 = 000000FF 01 41 0CE 7FFFFFFF FFFFFFFE 10 = 000000FF 10 41 0CF 7FFFFFFF FFFFFFFE 11 = 000000FF 11 41 0D0 80000000 FFFFFFFE 00 = 000000FF 00 41 0D1 80000000 FFFFFFFE 01 = 000000FF 01 41 0D2 80000000 FFFFFFFE 10 = 000000FF 10 41 0D3 80000000 FFFFFFFE 11 = 000000FF 11 41 0D4 80000001 FFFFFFFE 00 = 000000FF 00 41 0D5 80000001 FFFFFFFE 01 = 000000FF 01 41 0D6 80000001 FFFFFFFE 10 = 000000FF 10 41 0D7 80000001 FFFFFFFE 11 = 000000FF 11 41 0D8 FFFFFFFE FFFFFFFE 00 = 000000FF 00 41 0D9 FFFFFFFE FFFFFFFE 01 = 000000FF 01 41 0DA FFFFFFFE FFFFFFFE 10 = 000000FF 10 41 0DB FFFFFFFE FFFFFFFE 11 = 000000FF 11 41 0DC FFFFFFFF FFFFFFFE 00 = 000000FF 00 41 0DD FFFFFFFF FFFFFFFE 01 = 000000FF 01 41 0DE FFFFFFFF FFFFFFFE 10 = 000000FF 10 41 0DF FFFFFFFF FFFFFFFE 11 = 000000FF 11 41 0E0 00000000 FFFFFFFF 00 = 000000FF 00 41 0E1 00000000 FFFFFFFF 01 = 000000FF 01 41 0E2 00000000 FFFFFFFF 10 = 000000FF 10 41 0E3 00000000 FFFFFFFF 11 = 000000FF 11 41 0E4 00000001 FFFFFFFF 00 = 000000FF 00 41 0E5 00000001 FFFFFFFF 01 = 000000FF 01 41 0E6 00000001 FFFFFFFF 10 = 000000FF 10 41 0E7 00000001 FFFFFFFF 11 = 000000FF 11 41 0E8 00000002 FFFFFFFF 00 = 000000FF 00 41 0E9 00000002 FFFFFFFF 01 = 000000FF 01 41 0EA 00000002 FFFFFFFF 10 = 000000FF 10 41 0EB 00000002 FFFFFFFF 11 = 000000FF 11 41 0EC 7FFFFFFF FFFFFFFF 00 = 000000FF 00 41 0ED 7FFFFFFF FFFFFFFF 01 = 000000FF 01 41 0EE 7FFFFFFF FFFFFFFF 10 = 000000FF 10 41 0EF 7FFFFFFF FFFFFFFF 11 = 000000FF 11 41 0F0 80000000 FFFFFFFF 00 = 000000FF 00 41 0F1 80000000 FFFFFFFF 01 = 000000FF 01 41 0F2 80000000 FFFFFFFF 10 = 000000FF 10 41 0F3 80000000 FFFFFFFF 11 = 000000FF 11 41 0F4 80000001 FFFFFFFF 00 = 000000FF 00 41 0F5 80000001 FFFFFFFF 01 = 000000FF 01 41 0F6 80000001 FFFFFFFF 10 = 000000FF 10 41 0F7 80000001 FFFFFFFF 11 = 000000FF 11 41 0F8 FFFFFFFE FFFFFFFF 00 = 000000FF 00 41 0F9 FFFFFFFE FFFFFFFF 01 = 000000FF 01 41 0FA FFFFFFFE FFFFFFFF 10 = 000000FF 10 41 0FB FFFFFFFE FFFFFFFF 11 = 000000FF 11 41 0FC FFFFFFFF FFFFFFFF 00 = 000000FF 00 41 0FD FFFFFFFF FFFFFFFF 01 = 000000FF 01 41 0FE FFFFFFFF FFFFFFFF 10 = 000000FF 10 41 0FF FFFFFFFF FFFFFFFF 11 = 000000FF 11 rolbyte ---D---- ---S---- CZ = ---Q---- CZ 42 000 00000000 00000000 00 = 00000000 00 42 001 00000000 00000000 01 = 00000000 01 42 002 00000000 00000000 10 = 00000000 10 42 003 00000000 00000000 11 = 00000000 11 42 004 00000001 00000000 00 = 00000100 00 42 005 00000001 00000000 01 = 00000100 01 42 006 00000001 00000000 10 = 00000100 10 42 007 00000001 00000000 11 = 00000100 11 42 008 00000002 00000000 00 = 00000200 00 42 009 00000002 00000000 01 = 00000200 01 42 00A 00000002 00000000 10 = 00000200 10 42 00B 00000002 00000000 11 = 00000200 11 42 00C 7FFFFFFF 00000000 00 = FFFFFF00 00 42 00D 7FFFFFFF 00000000 01 = FFFFFF00 01 42 00E 7FFFFFFF 00000000 10 = FFFFFF00 10 42 00F 7FFFFFFF 00000000 11 = FFFFFF00 11 42 010 80000000 00000000 00 = 00000000 00 42 011 80000000 00000000 01 = 00000000 01 42 012 80000000 00000000 10 = 00000000 10 42 013 80000000 00000000 11 = 00000000 11 42 014 80000001 00000000 00 = 00000100 00 42 015 80000001 00000000 01 = 00000100 01 42 016 80000001 00000000 10 = 00000100 10 42 017 80000001 00000000 11 = 00000100 11 42 018 FFFFFFFE 00000000 00 = FFFFFE00 00 42 019 FFFFFFFE 00000000 01 = FFFFFE00 01 42 01A FFFFFFFE 00000000 10 = FFFFFE00 10 42 01B FFFFFFFE 00000000 11 = FFFFFE00 11 42 01C FFFFFFFF 00000000 00 = FFFFFF00 00 42 01D FFFFFFFF 00000000 01 = FFFFFF00 01 42 01E FFFFFFFF 00000000 10 = FFFFFF00 10 42 01F FFFFFFFF 00000000 11 = FFFFFF00 11 42 020 00000000 00000001 00 = 00000000 00 42 021 00000000 00000001 01 = 00000000 01 42 022 00000000 00000001 10 = 00000000 10 42 023 00000000 00000001 11 = 00000000 11 42 024 00000001 00000001 00 = 00000100 00 42 025 00000001 00000001 01 = 00000100 01 42 026 00000001 00000001 10 = 00000100 10 42 027 00000001 00000001 11 = 00000100 11 42 028 00000002 00000001 00 = 00000200 00 42 029 00000002 00000001 01 = 00000200 01 42 02A 00000002 00000001 10 = 00000200 10 42 02B 00000002 00000001 11 = 00000200 11 42 02C 7FFFFFFF 00000001 00 = FFFFFF00 00 42 02D 7FFFFFFF 00000001 01 = FFFFFF00 01 42 02E 7FFFFFFF 00000001 10 = FFFFFF00 10 42 02F 7FFFFFFF 00000001 11 = FFFFFF00 11 42 030 80000000 00000001 00 = 00000000 00 42 031 80000000 00000001 01 = 00000000 01 42 032 80000000 00000001 10 = 00000000 10 42 033 80000000 00000001 11 = 00000000 11 42 034 80000001 00000001 00 = 00000100 00 42 035 80000001 00000001 01 = 00000100 01 42 036 80000001 00000001 10 = 00000100 10 42 037 80000001 00000001 11 = 00000100 11 42 038 FFFFFFFE 00000001 00 = FFFFFE00 00 42 039 FFFFFFFE 00000001 01 = FFFFFE00 01 42 03A FFFFFFFE 00000001 10 = FFFFFE00 10 42 03B FFFFFFFE 00000001 11 = FFFFFE00 11 42 03C FFFFFFFF 00000001 00 = FFFFFF00 00 42 03D FFFFFFFF 00000001 01 = FFFFFF00 01 42 03E FFFFFFFF 00000001 10 = FFFFFF00 10 42 03F FFFFFFFF 00000001 11 = FFFFFF00 11 42 040 00000000 00000002 00 = 00000000 00 42 041 00000000 00000002 01 = 00000000 01 42 042 00000000 00000002 10 = 00000000 10 42 043 00000000 00000002 11 = 00000000 11 42 044 00000001 00000002 00 = 00000100 00 42 045 00000001 00000002 01 = 00000100 01 42 046 00000001 00000002 10 = 00000100 10 42 047 00000001 00000002 11 = 00000100 11 42 048 00000002 00000002 00 = 00000200 00 42 049 00000002 00000002 01 = 00000200 01 42 04A 00000002 00000002 10 = 00000200 10 42 04B 00000002 00000002 11 = 00000200 11 42 04C 7FFFFFFF 00000002 00 = FFFFFF00 00 42 04D 7FFFFFFF 00000002 01 = FFFFFF00 01 42 04E 7FFFFFFF 00000002 10 = FFFFFF00 10 42 04F 7FFFFFFF 00000002 11 = FFFFFF00 11 42 050 80000000 00000002 00 = 00000000 00 42 051 80000000 00000002 01 = 00000000 01 42 052 80000000 00000002 10 = 00000000 10 42 053 80000000 00000002 11 = 00000000 11 42 054 80000001 00000002 00 = 00000100 00 42 055 80000001 00000002 01 = 00000100 01 42 056 80000001 00000002 10 = 00000100 10 42 057 80000001 00000002 11 = 00000100 11 42 058 FFFFFFFE 00000002 00 = FFFFFE00 00 42 059 FFFFFFFE 00000002 01 = FFFFFE00 01 42 05A FFFFFFFE 00000002 10 = FFFFFE00 10 42 05B FFFFFFFE 00000002 11 = FFFFFE00 11 42 05C FFFFFFFF 00000002 00 = FFFFFF00 00 42 05D FFFFFFFF 00000002 01 = FFFFFF00 01 42 05E FFFFFFFF 00000002 10 = FFFFFF00 10 42 05F FFFFFFFF 00000002 11 = FFFFFF00 11 42 060 00000000 7FFFFFFF 00 = 0000007F 00 42 061 00000000 7FFFFFFF 01 = 0000007F 01 42 062 00000000 7FFFFFFF 10 = 0000007F 10 42 063 00000000 7FFFFFFF 11 = 0000007F 11 42 064 00000001 7FFFFFFF 00 = 0000017F 00 42 065 00000001 7FFFFFFF 01 = 0000017F 01 42 066 00000001 7FFFFFFF 10 = 0000017F 10 42 067 00000001 7FFFFFFF 11 = 0000017F 11 42 068 00000002 7FFFFFFF 00 = 0000027F 00 42 069 00000002 7FFFFFFF 01 = 0000027F 01 42 06A 00000002 7FFFFFFF 10 = 0000027F 10 42 06B 00000002 7FFFFFFF 11 = 0000027F 11 42 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFF7F 00 42 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFF7F 01 42 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFF7F 10 42 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFF7F 11 42 070 80000000 7FFFFFFF 00 = 0000007F 00 42 071 80000000 7FFFFFFF 01 = 0000007F 01 42 072 80000000 7FFFFFFF 10 = 0000007F 10 42 073 80000000 7FFFFFFF 11 = 0000007F 11 42 074 80000001 7FFFFFFF 00 = 0000017F 00 42 075 80000001 7FFFFFFF 01 = 0000017F 01 42 076 80000001 7FFFFFFF 10 = 0000017F 10 42 077 80000001 7FFFFFFF 11 = 0000017F 11 42 078 FFFFFFFE 7FFFFFFF 00 = FFFFFE7F 00 42 079 FFFFFFFE 7FFFFFFF 01 = FFFFFE7F 01 42 07A FFFFFFFE 7FFFFFFF 10 = FFFFFE7F 10 42 07B FFFFFFFE 7FFFFFFF 11 = FFFFFE7F 11 42 07C FFFFFFFF 7FFFFFFF 00 = FFFFFF7F 00 42 07D FFFFFFFF 7FFFFFFF 01 = FFFFFF7F 01 42 07E FFFFFFFF 7FFFFFFF 10 = FFFFFF7F 10 42 07F FFFFFFFF 7FFFFFFF 11 = FFFFFF7F 11 42 080 00000000 80000000 00 = 00000080 00 42 081 00000000 80000000 01 = 00000080 01 42 082 00000000 80000000 10 = 00000080 10 42 083 00000000 80000000 11 = 00000080 11 42 084 00000001 80000000 00 = 00000180 00 42 085 00000001 80000000 01 = 00000180 01 42 086 00000001 80000000 10 = 00000180 10 42 087 00000001 80000000 11 = 00000180 11 42 088 00000002 80000000 00 = 00000280 00 42 089 00000002 80000000 01 = 00000280 01 42 08A 00000002 80000000 10 = 00000280 10 42 08B 00000002 80000000 11 = 00000280 11 42 08C 7FFFFFFF 80000000 00 = FFFFFF80 00 42 08D 7FFFFFFF 80000000 01 = FFFFFF80 01 42 08E 7FFFFFFF 80000000 10 = FFFFFF80 10 42 08F 7FFFFFFF 80000000 11 = FFFFFF80 11 42 090 80000000 80000000 00 = 00000080 00 42 091 80000000 80000000 01 = 00000080 01 42 092 80000000 80000000 10 = 00000080 10 42 093 80000000 80000000 11 = 00000080 11 42 094 80000001 80000000 00 = 00000180 00 42 095 80000001 80000000 01 = 00000180 01 42 096 80000001 80000000 10 = 00000180 10 42 097 80000001 80000000 11 = 00000180 11 42 098 FFFFFFFE 80000000 00 = FFFFFE80 00 42 099 FFFFFFFE 80000000 01 = FFFFFE80 01 42 09A FFFFFFFE 80000000 10 = FFFFFE80 10 42 09B FFFFFFFE 80000000 11 = FFFFFE80 11 42 09C FFFFFFFF 80000000 00 = FFFFFF80 00 42 09D FFFFFFFF 80000000 01 = FFFFFF80 01 42 09E FFFFFFFF 80000000 10 = FFFFFF80 10 42 09F FFFFFFFF 80000000 11 = FFFFFF80 11 42 0A0 00000000 80000001 00 = 00000080 00 42 0A1 00000000 80000001 01 = 00000080 01 42 0A2 00000000 80000001 10 = 00000080 10 42 0A3 00000000 80000001 11 = 00000080 11 42 0A4 00000001 80000001 00 = 00000180 00 42 0A5 00000001 80000001 01 = 00000180 01 42 0A6 00000001 80000001 10 = 00000180 10 42 0A7 00000001 80000001 11 = 00000180 11 42 0A8 00000002 80000001 00 = 00000280 00 42 0A9 00000002 80000001 01 = 00000280 01 42 0AA 00000002 80000001 10 = 00000280 10 42 0AB 00000002 80000001 11 = 00000280 11 42 0AC 7FFFFFFF 80000001 00 = FFFFFF80 00 42 0AD 7FFFFFFF 80000001 01 = FFFFFF80 01 42 0AE 7FFFFFFF 80000001 10 = FFFFFF80 10 42 0AF 7FFFFFFF 80000001 11 = FFFFFF80 11 42 0B0 80000000 80000001 00 = 00000080 00 42 0B1 80000000 80000001 01 = 00000080 01 42 0B2 80000000 80000001 10 = 00000080 10 42 0B3 80000000 80000001 11 = 00000080 11 42 0B4 80000001 80000001 00 = 00000180 00 42 0B5 80000001 80000001 01 = 00000180 01 42 0B6 80000001 80000001 10 = 00000180 10 42 0B7 80000001 80000001 11 = 00000180 11 42 0B8 FFFFFFFE 80000001 00 = FFFFFE80 00 42 0B9 FFFFFFFE 80000001 01 = FFFFFE80 01 42 0BA FFFFFFFE 80000001 10 = FFFFFE80 10 42 0BB FFFFFFFE 80000001 11 = FFFFFE80 11 42 0BC FFFFFFFF 80000001 00 = FFFFFF80 00 42 0BD FFFFFFFF 80000001 01 = FFFFFF80 01 42 0BE FFFFFFFF 80000001 10 = FFFFFF80 10 42 0BF FFFFFFFF 80000001 11 = FFFFFF80 11 42 0C0 00000000 FFFFFFFE 00 = 000000FF 00 42 0C1 00000000 FFFFFFFE 01 = 000000FF 01 42 0C2 00000000 FFFFFFFE 10 = 000000FF 10 42 0C3 00000000 FFFFFFFE 11 = 000000FF 11 42 0C4 00000001 FFFFFFFE 00 = 000001FF 00 42 0C5 00000001 FFFFFFFE 01 = 000001FF 01 42 0C6 00000001 FFFFFFFE 10 = 000001FF 10 42 0C7 00000001 FFFFFFFE 11 = 000001FF 11 42 0C8 00000002 FFFFFFFE 00 = 000002FF 00 42 0C9 00000002 FFFFFFFE 01 = 000002FF 01 42 0CA 00000002 FFFFFFFE 10 = 000002FF 10 42 0CB 00000002 FFFFFFFE 11 = 000002FF 11 42 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 42 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 42 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 42 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 42 0D0 80000000 FFFFFFFE 00 = 000000FF 00 42 0D1 80000000 FFFFFFFE 01 = 000000FF 01 42 0D2 80000000 FFFFFFFE 10 = 000000FF 10 42 0D3 80000000 FFFFFFFE 11 = 000000FF 11 42 0D4 80000001 FFFFFFFE 00 = 000001FF 00 42 0D5 80000001 FFFFFFFE 01 = 000001FF 01 42 0D6 80000001 FFFFFFFE 10 = 000001FF 10 42 0D7 80000001 FFFFFFFE 11 = 000001FF 11 42 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFEFF 00 42 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFEFF 01 42 0DA FFFFFFFE FFFFFFFE 10 = FFFFFEFF 10 42 0DB FFFFFFFE FFFFFFFE 11 = FFFFFEFF 11 42 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 42 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 42 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 42 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 42 0E0 00000000 FFFFFFFF 00 = 000000FF 00 42 0E1 00000000 FFFFFFFF 01 = 000000FF 01 42 0E2 00000000 FFFFFFFF 10 = 000000FF 10 42 0E3 00000000 FFFFFFFF 11 = 000000FF 11 42 0E4 00000001 FFFFFFFF 00 = 000001FF 00 42 0E5 00000001 FFFFFFFF 01 = 000001FF 01 42 0E6 00000001 FFFFFFFF 10 = 000001FF 10 42 0E7 00000001 FFFFFFFF 11 = 000001FF 11 42 0E8 00000002 FFFFFFFF 00 = 000002FF 00 42 0E9 00000002 FFFFFFFF 01 = 000002FF 01 42 0EA 00000002 FFFFFFFF 10 = 000002FF 10 42 0EB 00000002 FFFFFFFF 11 = 000002FF 11 42 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 42 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 42 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 42 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 42 0F0 80000000 FFFFFFFF 00 = 000000FF 00 42 0F1 80000000 FFFFFFFF 01 = 000000FF 01 42 0F2 80000000 FFFFFFFF 10 = 000000FF 10 42 0F3 80000000 FFFFFFFF 11 = 000000FF 11 42 0F4 80000001 FFFFFFFF 00 = 000001FF 00 42 0F5 80000001 FFFFFFFF 01 = 000001FF 01 42 0F6 80000001 FFFFFFFF 10 = 000001FF 10 42 0F7 80000001 FFFFFFFF 11 = 000001FF 11 42 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFEFF 00 42 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFEFF 01 42 0FA FFFFFFFE FFFFFFFF 10 = FFFFFEFF 10 42 0FB FFFFFFFE FFFFFFFF 11 = FFFFFEFF 11 42 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 42 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 42 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 42 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 getword ---D---- ---S---- CZ = ---Q---- CZ 43 000 00000000 00000000 00 = 00000000 00 43 001 00000000 00000000 01 = 00000000 01 43 002 00000000 00000000 10 = 00000000 10 43 003 00000000 00000000 11 = 00000000 11 43 004 00000001 00000000 00 = 00000000 00 43 005 00000001 00000000 01 = 00000000 01 43 006 00000001 00000000 10 = 00000000 10 43 007 00000001 00000000 11 = 00000000 11 43 008 00000002 00000000 00 = 00000000 00 43 009 00000002 00000000 01 = 00000000 01 43 00A 00000002 00000000 10 = 00000000 10 43 00B 00000002 00000000 11 = 00000000 11 43 00C 7FFFFFFF 00000000 00 = 00000000 00 43 00D 7FFFFFFF 00000000 01 = 00000000 01 43 00E 7FFFFFFF 00000000 10 = 00000000 10 43 00F 7FFFFFFF 00000000 11 = 00000000 11 43 010 80000000 00000000 00 = 00000000 00 43 011 80000000 00000000 01 = 00000000 01 43 012 80000000 00000000 10 = 00000000 10 43 013 80000000 00000000 11 = 00000000 11 43 014 80000001 00000000 00 = 00000000 00 43 015 80000001 00000000 01 = 00000000 01 43 016 80000001 00000000 10 = 00000000 10 43 017 80000001 00000000 11 = 00000000 11 43 018 FFFFFFFE 00000000 00 = 00000000 00 43 019 FFFFFFFE 00000000 01 = 00000000 01 43 01A FFFFFFFE 00000000 10 = 00000000 10 43 01B FFFFFFFE 00000000 11 = 00000000 11 43 01C FFFFFFFF 00000000 00 = 00000000 00 43 01D FFFFFFFF 00000000 01 = 00000000 01 43 01E FFFFFFFF 00000000 10 = 00000000 10 43 01F FFFFFFFF 00000000 11 = 00000000 11 43 020 00000000 00000001 00 = 00000000 00 43 021 00000000 00000001 01 = 00000000 01 43 022 00000000 00000001 10 = 00000000 10 43 023 00000000 00000001 11 = 00000000 11 43 024 00000001 00000001 00 = 00000000 00 43 025 00000001 00000001 01 = 00000000 01 43 026 00000001 00000001 10 = 00000000 10 43 027 00000001 00000001 11 = 00000000 11 43 028 00000002 00000001 00 = 00000000 00 43 029 00000002 00000001 01 = 00000000 01 43 02A 00000002 00000001 10 = 00000000 10 43 02B 00000002 00000001 11 = 00000000 11 43 02C 7FFFFFFF 00000001 00 = 00000000 00 43 02D 7FFFFFFF 00000001 01 = 00000000 01 43 02E 7FFFFFFF 00000001 10 = 00000000 10 43 02F 7FFFFFFF 00000001 11 = 00000000 11 43 030 80000000 00000001 00 = 00000000 00 43 031 80000000 00000001 01 = 00000000 01 43 032 80000000 00000001 10 = 00000000 10 43 033 80000000 00000001 11 = 00000000 11 43 034 80000001 00000001 00 = 00000000 00 43 035 80000001 00000001 01 = 00000000 01 43 036 80000001 00000001 10 = 00000000 10 43 037 80000001 00000001 11 = 00000000 11 43 038 FFFFFFFE 00000001 00 = 00000000 00 43 039 FFFFFFFE 00000001 01 = 00000000 01 43 03A FFFFFFFE 00000001 10 = 00000000 10 43 03B FFFFFFFE 00000001 11 = 00000000 11 43 03C FFFFFFFF 00000001 00 = 00000000 00 43 03D FFFFFFFF 00000001 01 = 00000000 01 43 03E FFFFFFFF 00000001 10 = 00000000 10 43 03F FFFFFFFF 00000001 11 = 00000000 11 43 040 00000000 00000002 00 = 00000000 00 43 041 00000000 00000002 01 = 00000000 01 43 042 00000000 00000002 10 = 00000000 10 43 043 00000000 00000002 11 = 00000000 11 43 044 00000001 00000002 00 = 00000000 00 43 045 00000001 00000002 01 = 00000000 01 43 046 00000001 00000002 10 = 00000000 10 43 047 00000001 00000002 11 = 00000000 11 43 048 00000002 00000002 00 = 00000000 00 43 049 00000002 00000002 01 = 00000000 01 43 04A 00000002 00000002 10 = 00000000 10 43 04B 00000002 00000002 11 = 00000000 11 43 04C 7FFFFFFF 00000002 00 = 00000000 00 43 04D 7FFFFFFF 00000002 01 = 00000000 01 43 04E 7FFFFFFF 00000002 10 = 00000000 10 43 04F 7FFFFFFF 00000002 11 = 00000000 11 43 050 80000000 00000002 00 = 00000000 00 43 051 80000000 00000002 01 = 00000000 01 43 052 80000000 00000002 10 = 00000000 10 43 053 80000000 00000002 11 = 00000000 11 43 054 80000001 00000002 00 = 00000000 00 43 055 80000001 00000002 01 = 00000000 01 43 056 80000001 00000002 10 = 00000000 10 43 057 80000001 00000002 11 = 00000000 11 43 058 FFFFFFFE 00000002 00 = 00000000 00 43 059 FFFFFFFE 00000002 01 = 00000000 01 43 05A FFFFFFFE 00000002 10 = 00000000 10 43 05B FFFFFFFE 00000002 11 = 00000000 11 43 05C FFFFFFFF 00000002 00 = 00000000 00 43 05D FFFFFFFF 00000002 01 = 00000000 01 43 05E FFFFFFFF 00000002 10 = 00000000 10 43 05F FFFFFFFF 00000002 11 = 00000000 11 43 060 00000000 7FFFFFFF 00 = 00007FFF 00 43 061 00000000 7FFFFFFF 01 = 00007FFF 01 43 062 00000000 7FFFFFFF 10 = 00007FFF 10 43 063 00000000 7FFFFFFF 11 = 00007FFF 11 43 064 00000001 7FFFFFFF 00 = 00007FFF 00 43 065 00000001 7FFFFFFF 01 = 00007FFF 01 43 066 00000001 7FFFFFFF 10 = 00007FFF 10 43 067 00000001 7FFFFFFF 11 = 00007FFF 11 43 068 00000002 7FFFFFFF 00 = 00007FFF 00 43 069 00000002 7FFFFFFF 01 = 00007FFF 01 43 06A 00000002 7FFFFFFF 10 = 00007FFF 10 43 06B 00000002 7FFFFFFF 11 = 00007FFF 11 43 06C 7FFFFFFF 7FFFFFFF 00 = 00007FFF 00 43 06D 7FFFFFFF 7FFFFFFF 01 = 00007FFF 01 43 06E 7FFFFFFF 7FFFFFFF 10 = 00007FFF 10 43 06F 7FFFFFFF 7FFFFFFF 11 = 00007FFF 11 43 070 80000000 7FFFFFFF 00 = 00007FFF 00 43 071 80000000 7FFFFFFF 01 = 00007FFF 01 43 072 80000000 7FFFFFFF 10 = 00007FFF 10 43 073 80000000 7FFFFFFF 11 = 00007FFF 11 43 074 80000001 7FFFFFFF 00 = 00007FFF 00 43 075 80000001 7FFFFFFF 01 = 00007FFF 01 43 076 80000001 7FFFFFFF 10 = 00007FFF 10 43 077 80000001 7FFFFFFF 11 = 00007FFF 11 43 078 FFFFFFFE 7FFFFFFF 00 = 00007FFF 00 43 079 FFFFFFFE 7FFFFFFF 01 = 00007FFF 01 43 07A FFFFFFFE 7FFFFFFF 10 = 00007FFF 10 43 07B FFFFFFFE 7FFFFFFF 11 = 00007FFF 11 43 07C FFFFFFFF 7FFFFFFF 00 = 00007FFF 00 43 07D FFFFFFFF 7FFFFFFF 01 = 00007FFF 01 43 07E FFFFFFFF 7FFFFFFF 10 = 00007FFF 10 43 07F FFFFFFFF 7FFFFFFF 11 = 00007FFF 11 43 080 00000000 80000000 00 = 00008000 00 43 081 00000000 80000000 01 = 00008000 01 43 082 00000000 80000000 10 = 00008000 10 43 083 00000000 80000000 11 = 00008000 11 43 084 00000001 80000000 00 = 00008000 00 43 085 00000001 80000000 01 = 00008000 01 43 086 00000001 80000000 10 = 00008000 10 43 087 00000001 80000000 11 = 00008000 11 43 088 00000002 80000000 00 = 00008000 00 43 089 00000002 80000000 01 = 00008000 01 43 08A 00000002 80000000 10 = 00008000 10 43 08B 00000002 80000000 11 = 00008000 11 43 08C 7FFFFFFF 80000000 00 = 00008000 00 43 08D 7FFFFFFF 80000000 01 = 00008000 01 43 08E 7FFFFFFF 80000000 10 = 00008000 10 43 08F 7FFFFFFF 80000000 11 = 00008000 11 43 090 80000000 80000000 00 = 00008000 00 43 091 80000000 80000000 01 = 00008000 01 43 092 80000000 80000000 10 = 00008000 10 43 093 80000000 80000000 11 = 00008000 11 43 094 80000001 80000000 00 = 00008000 00 43 095 80000001 80000000 01 = 00008000 01 43 096 80000001 80000000 10 = 00008000 10 43 097 80000001 80000000 11 = 00008000 11 43 098 FFFFFFFE 80000000 00 = 00008000 00 43 099 FFFFFFFE 80000000 01 = 00008000 01 43 09A FFFFFFFE 80000000 10 = 00008000 10 43 09B FFFFFFFE 80000000 11 = 00008000 11 43 09C FFFFFFFF 80000000 00 = 00008000 00 43 09D FFFFFFFF 80000000 01 = 00008000 01 43 09E FFFFFFFF 80000000 10 = 00008000 10 43 09F FFFFFFFF 80000000 11 = 00008000 11 43 0A0 00000000 80000001 00 = 00008000 00 43 0A1 00000000 80000001 01 = 00008000 01 43 0A2 00000000 80000001 10 = 00008000 10 43 0A3 00000000 80000001 11 = 00008000 11 43 0A4 00000001 80000001 00 = 00008000 00 43 0A5 00000001 80000001 01 = 00008000 01 43 0A6 00000001 80000001 10 = 00008000 10 43 0A7 00000001 80000001 11 = 00008000 11 43 0A8 00000002 80000001 00 = 00008000 00 43 0A9 00000002 80000001 01 = 00008000 01 43 0AA 00000002 80000001 10 = 00008000 10 43 0AB 00000002 80000001 11 = 00008000 11 43 0AC 7FFFFFFF 80000001 00 = 00008000 00 43 0AD 7FFFFFFF 80000001 01 = 00008000 01 43 0AE 7FFFFFFF 80000001 10 = 00008000 10 43 0AF 7FFFFFFF 80000001 11 = 00008000 11 43 0B0 80000000 80000001 00 = 00008000 00 43 0B1 80000000 80000001 01 = 00008000 01 43 0B2 80000000 80000001 10 = 00008000 10 43 0B3 80000000 80000001 11 = 00008000 11 43 0B4 80000001 80000001 00 = 00008000 00 43 0B5 80000001 80000001 01 = 00008000 01 43 0B6 80000001 80000001 10 = 00008000 10 43 0B7 80000001 80000001 11 = 00008000 11 43 0B8 FFFFFFFE 80000001 00 = 00008000 00 43 0B9 FFFFFFFE 80000001 01 = 00008000 01 43 0BA FFFFFFFE 80000001 10 = 00008000 10 43 0BB FFFFFFFE 80000001 11 = 00008000 11 43 0BC FFFFFFFF 80000001 00 = 00008000 00 43 0BD FFFFFFFF 80000001 01 = 00008000 01 43 0BE FFFFFFFF 80000001 10 = 00008000 10 43 0BF FFFFFFFF 80000001 11 = 00008000 11 43 0C0 00000000 FFFFFFFE 00 = 0000FFFF 00 43 0C1 00000000 FFFFFFFE 01 = 0000FFFF 01 43 0C2 00000000 FFFFFFFE 10 = 0000FFFF 10 43 0C3 00000000 FFFFFFFE 11 = 0000FFFF 11 43 0C4 00000001 FFFFFFFE 00 = 0000FFFF 00 43 0C5 00000001 FFFFFFFE 01 = 0000FFFF 01 43 0C6 00000001 FFFFFFFE 10 = 0000FFFF 10 43 0C7 00000001 FFFFFFFE 11 = 0000FFFF 11 43 0C8 00000002 FFFFFFFE 00 = 0000FFFF 00 43 0C9 00000002 FFFFFFFE 01 = 0000FFFF 01 43 0CA 00000002 FFFFFFFE 10 = 0000FFFF 10 43 0CB 00000002 FFFFFFFE 11 = 0000FFFF 11 43 0CC 7FFFFFFF FFFFFFFE 00 = 0000FFFF 00 43 0CD 7FFFFFFF FFFFFFFE 01 = 0000FFFF 01 43 0CE 7FFFFFFF FFFFFFFE 10 = 0000FFFF 10 43 0CF 7FFFFFFF FFFFFFFE 11 = 0000FFFF 11 43 0D0 80000000 FFFFFFFE 00 = 0000FFFF 00 43 0D1 80000000 FFFFFFFE 01 = 0000FFFF 01 43 0D2 80000000 FFFFFFFE 10 = 0000FFFF 10 43 0D3 80000000 FFFFFFFE 11 = 0000FFFF 11 43 0D4 80000001 FFFFFFFE 00 = 0000FFFF 00 43 0D5 80000001 FFFFFFFE 01 = 0000FFFF 01 43 0D6 80000001 FFFFFFFE 10 = 0000FFFF 10 43 0D7 80000001 FFFFFFFE 11 = 0000FFFF 11 43 0D8 FFFFFFFE FFFFFFFE 00 = 0000FFFF 00 43 0D9 FFFFFFFE FFFFFFFE 01 = 0000FFFF 01 43 0DA FFFFFFFE FFFFFFFE 10 = 0000FFFF 10 43 0DB FFFFFFFE FFFFFFFE 11 = 0000FFFF 11 43 0DC FFFFFFFF FFFFFFFE 00 = 0000FFFF 00 43 0DD FFFFFFFF FFFFFFFE 01 = 0000FFFF 01 43 0DE FFFFFFFF FFFFFFFE 10 = 0000FFFF 10 43 0DF FFFFFFFF FFFFFFFE 11 = 0000FFFF 11 43 0E0 00000000 FFFFFFFF 00 = 0000FFFF 00 43 0E1 00000000 FFFFFFFF 01 = 0000FFFF 01 43 0E2 00000000 FFFFFFFF 10 = 0000FFFF 10 43 0E3 00000000 FFFFFFFF 11 = 0000FFFF 11 43 0E4 00000001 FFFFFFFF 00 = 0000FFFF 00 43 0E5 00000001 FFFFFFFF 01 = 0000FFFF 01 43 0E6 00000001 FFFFFFFF 10 = 0000FFFF 10 43 0E7 00000001 FFFFFFFF 11 = 0000FFFF 11 43 0E8 00000002 FFFFFFFF 00 = 0000FFFF 00 43 0E9 00000002 FFFFFFFF 01 = 0000FFFF 01 43 0EA 00000002 FFFFFFFF 10 = 0000FFFF 10 43 0EB 00000002 FFFFFFFF 11 = 0000FFFF 11 43 0EC 7FFFFFFF FFFFFFFF 00 = 0000FFFF 00 43 0ED 7FFFFFFF FFFFFFFF 01 = 0000FFFF 01 43 0EE 7FFFFFFF FFFFFFFF 10 = 0000FFFF 10 43 0EF 7FFFFFFF FFFFFFFF 11 = 0000FFFF 11 43 0F0 80000000 FFFFFFFF 00 = 0000FFFF 00 43 0F1 80000000 FFFFFFFF 01 = 0000FFFF 01 43 0F2 80000000 FFFFFFFF 10 = 0000FFFF 10 43 0F3 80000000 FFFFFFFF 11 = 0000FFFF 11 43 0F4 80000001 FFFFFFFF 00 = 0000FFFF 00 43 0F5 80000001 FFFFFFFF 01 = 0000FFFF 01 43 0F6 80000001 FFFFFFFF 10 = 0000FFFF 10 43 0F7 80000001 FFFFFFFF 11 = 0000FFFF 11 43 0F8 FFFFFFFE FFFFFFFF 00 = 0000FFFF 00 43 0F9 FFFFFFFE FFFFFFFF 01 = 0000FFFF 01 43 0FA FFFFFFFE FFFFFFFF 10 = 0000FFFF 10 43 0FB FFFFFFFE FFFFFFFF 11 = 0000FFFF 11 43 0FC FFFFFFFF FFFFFFFF 00 = 0000FFFF 00 43 0FD FFFFFFFF FFFFFFFF 01 = 0000FFFF 01 43 0FE FFFFFFFF FFFFFFFF 10 = 0000FFFF 10 43 0FF FFFFFFFF FFFFFFFF 11 = 0000FFFF 11 sets ---D---- ---S---- CZ = ---Q---- CZ 44 000 00000000 00000000 00 = 00000000 00 44 001 00000000 00000000 01 = 00000000 01 44 002 00000000 00000000 10 = 00000000 10 44 003 00000000 00000000 11 = 00000000 11 44 004 00000001 00000000 00 = 00000000 00 44 005 00000001 00000000 01 = 00000000 01 44 006 00000001 00000000 10 = 00000000 10 44 007 00000001 00000000 11 = 00000000 11 44 008 00000002 00000000 00 = 00000000 00 44 009 00000002 00000000 01 = 00000000 01 44 00A 00000002 00000000 10 = 00000000 10 44 00B 00000002 00000000 11 = 00000000 11 44 00C 7FFFFFFF 00000000 00 = 7FFFFE00 00 44 00D 7FFFFFFF 00000000 01 = 7FFFFE00 01 44 00E 7FFFFFFF 00000000 10 = 7FFFFE00 10 44 00F 7FFFFFFF 00000000 11 = 7FFFFE00 11 44 010 80000000 00000000 00 = 80000000 00 44 011 80000000 00000000 01 = 80000000 01 44 012 80000000 00000000 10 = 80000000 10 44 013 80000000 00000000 11 = 80000000 11 44 014 80000001 00000000 00 = 80000000 00 44 015 80000001 00000000 01 = 80000000 01 44 016 80000001 00000000 10 = 80000000 10 44 017 80000001 00000000 11 = 80000000 11 44 018 FFFFFFFE 00000000 00 = FFFFFE00 00 44 019 FFFFFFFE 00000000 01 = FFFFFE00 01 44 01A FFFFFFFE 00000000 10 = FFFFFE00 10 44 01B FFFFFFFE 00000000 11 = FFFFFE00 11 44 01C FFFFFFFF 00000000 00 = FFFFFE00 00 44 01D FFFFFFFF 00000000 01 = FFFFFE00 01 44 01E FFFFFFFF 00000000 10 = FFFFFE00 10 44 01F FFFFFFFF 00000000 11 = FFFFFE00 11 44 020 00000000 00000001 00 = 00000001 00 44 021 00000000 00000001 01 = 00000001 01 44 022 00000000 00000001 10 = 00000001 10 44 023 00000000 00000001 11 = 00000001 11 44 024 00000001 00000001 00 = 00000001 00 44 025 00000001 00000001 01 = 00000001 01 44 026 00000001 00000001 10 = 00000001 10 44 027 00000001 00000001 11 = 00000001 11 44 028 00000002 00000001 00 = 00000001 00 44 029 00000002 00000001 01 = 00000001 01 44 02A 00000002 00000001 10 = 00000001 10 44 02B 00000002 00000001 11 = 00000001 11 44 02C 7FFFFFFF 00000001 00 = 7FFFFE01 00 44 02D 7FFFFFFF 00000001 01 = 7FFFFE01 01 44 02E 7FFFFFFF 00000001 10 = 7FFFFE01 10 44 02F 7FFFFFFF 00000001 11 = 7FFFFE01 11 44 030 80000000 00000001 00 = 80000001 00 44 031 80000000 00000001 01 = 80000001 01 44 032 80000000 00000001 10 = 80000001 10 44 033 80000000 00000001 11 = 80000001 11 44 034 80000001 00000001 00 = 80000001 00 44 035 80000001 00000001 01 = 80000001 01 44 036 80000001 00000001 10 = 80000001 10 44 037 80000001 00000001 11 = 80000001 11 44 038 FFFFFFFE 00000001 00 = FFFFFE01 00 44 039 FFFFFFFE 00000001 01 = FFFFFE01 01 44 03A FFFFFFFE 00000001 10 = FFFFFE01 10 44 03B FFFFFFFE 00000001 11 = FFFFFE01 11 44 03C FFFFFFFF 00000001 00 = FFFFFE01 00 44 03D FFFFFFFF 00000001 01 = FFFFFE01 01 44 03E FFFFFFFF 00000001 10 = FFFFFE01 10 44 03F FFFFFFFF 00000001 11 = FFFFFE01 11 44 040 00000000 00000002 00 = 00000002 00 44 041 00000000 00000002 01 = 00000002 01 44 042 00000000 00000002 10 = 00000002 10 44 043 00000000 00000002 11 = 00000002 11 44 044 00000001 00000002 00 = 00000002 00 44 045 00000001 00000002 01 = 00000002 01 44 046 00000001 00000002 10 = 00000002 10 44 047 00000001 00000002 11 = 00000002 11 44 048 00000002 00000002 00 = 00000002 00 44 049 00000002 00000002 01 = 00000002 01 44 04A 00000002 00000002 10 = 00000002 10 44 04B 00000002 00000002 11 = 00000002 11 44 04C 7FFFFFFF 00000002 00 = 7FFFFE02 00 44 04D 7FFFFFFF 00000002 01 = 7FFFFE02 01 44 04E 7FFFFFFF 00000002 10 = 7FFFFE02 10 44 04F 7FFFFFFF 00000002 11 = 7FFFFE02 11 44 050 80000000 00000002 00 = 80000002 00 44 051 80000000 00000002 01 = 80000002 01 44 052 80000000 00000002 10 = 80000002 10 44 053 80000000 00000002 11 = 80000002 11 44 054 80000001 00000002 00 = 80000002 00 44 055 80000001 00000002 01 = 80000002 01 44 056 80000001 00000002 10 = 80000002 10 44 057 80000001 00000002 11 = 80000002 11 44 058 FFFFFFFE 00000002 00 = FFFFFE02 00 44 059 FFFFFFFE 00000002 01 = FFFFFE02 01 44 05A FFFFFFFE 00000002 10 = FFFFFE02 10 44 05B FFFFFFFE 00000002 11 = FFFFFE02 11 44 05C FFFFFFFF 00000002 00 = FFFFFE02 00 44 05D FFFFFFFF 00000002 01 = FFFFFE02 01 44 05E FFFFFFFF 00000002 10 = FFFFFE02 10 44 05F FFFFFFFF 00000002 11 = FFFFFE02 11 44 060 00000000 7FFFFFFF 00 = 000001FF 00 44 061 00000000 7FFFFFFF 01 = 000001FF 01 44 062 00000000 7FFFFFFF 10 = 000001FF 10 44 063 00000000 7FFFFFFF 11 = 000001FF 11 44 064 00000001 7FFFFFFF 00 = 000001FF 00 44 065 00000001 7FFFFFFF 01 = 000001FF 01 44 066 00000001 7FFFFFFF 10 = 000001FF 10 44 067 00000001 7FFFFFFF 11 = 000001FF 11 44 068 00000002 7FFFFFFF 00 = 000001FF 00 44 069 00000002 7FFFFFFF 01 = 000001FF 01 44 06A 00000002 7FFFFFFF 10 = 000001FF 10 44 06B 00000002 7FFFFFFF 11 = 000001FF 11 44 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 44 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 44 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 44 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 44 070 80000000 7FFFFFFF 00 = 800001FF 00 44 071 80000000 7FFFFFFF 01 = 800001FF 01 44 072 80000000 7FFFFFFF 10 = 800001FF 10 44 073 80000000 7FFFFFFF 11 = 800001FF 11 44 074 80000001 7FFFFFFF 00 = 800001FF 00 44 075 80000001 7FFFFFFF 01 = 800001FF 01 44 076 80000001 7FFFFFFF 10 = 800001FF 10 44 077 80000001 7FFFFFFF 11 = 800001FF 11 44 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 44 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 44 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 44 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 44 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 44 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 44 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 44 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 44 080 00000000 80000000 00 = 00000000 00 44 081 00000000 80000000 01 = 00000000 01 44 082 00000000 80000000 10 = 00000000 10 44 083 00000000 80000000 11 = 00000000 11 44 084 00000001 80000000 00 = 00000000 00 44 085 00000001 80000000 01 = 00000000 01 44 086 00000001 80000000 10 = 00000000 10 44 087 00000001 80000000 11 = 00000000 11 44 088 00000002 80000000 00 = 00000000 00 44 089 00000002 80000000 01 = 00000000 01 44 08A 00000002 80000000 10 = 00000000 10 44 08B 00000002 80000000 11 = 00000000 11 44 08C 7FFFFFFF 80000000 00 = 7FFFFE00 00 44 08D 7FFFFFFF 80000000 01 = 7FFFFE00 01 44 08E 7FFFFFFF 80000000 10 = 7FFFFE00 10 44 08F 7FFFFFFF 80000000 11 = 7FFFFE00 11 44 090 80000000 80000000 00 = 80000000 00 44 091 80000000 80000000 01 = 80000000 01 44 092 80000000 80000000 10 = 80000000 10 44 093 80000000 80000000 11 = 80000000 11 44 094 80000001 80000000 00 = 80000000 00 44 095 80000001 80000000 01 = 80000000 01 44 096 80000001 80000000 10 = 80000000 10 44 097 80000001 80000000 11 = 80000000 11 44 098 FFFFFFFE 80000000 00 = FFFFFE00 00 44 099 FFFFFFFE 80000000 01 = FFFFFE00 01 44 09A FFFFFFFE 80000000 10 = FFFFFE00 10 44 09B FFFFFFFE 80000000 11 = FFFFFE00 11 44 09C FFFFFFFF 80000000 00 = FFFFFE00 00 44 09D FFFFFFFF 80000000 01 = FFFFFE00 01 44 09E FFFFFFFF 80000000 10 = FFFFFE00 10 44 09F FFFFFFFF 80000000 11 = FFFFFE00 11 44 0A0 00000000 80000001 00 = 00000001 00 44 0A1 00000000 80000001 01 = 00000001 01 44 0A2 00000000 80000001 10 = 00000001 10 44 0A3 00000000 80000001 11 = 00000001 11 44 0A4 00000001 80000001 00 = 00000001 00 44 0A5 00000001 80000001 01 = 00000001 01 44 0A6 00000001 80000001 10 = 00000001 10 44 0A7 00000001 80000001 11 = 00000001 11 44 0A8 00000002 80000001 00 = 00000001 00 44 0A9 00000002 80000001 01 = 00000001 01 44 0AA 00000002 80000001 10 = 00000001 10 44 0AB 00000002 80000001 11 = 00000001 11 44 0AC 7FFFFFFF 80000001 00 = 7FFFFE01 00 44 0AD 7FFFFFFF 80000001 01 = 7FFFFE01 01 44 0AE 7FFFFFFF 80000001 10 = 7FFFFE01 10 44 0AF 7FFFFFFF 80000001 11 = 7FFFFE01 11 44 0B0 80000000 80000001 00 = 80000001 00 44 0B1 80000000 80000001 01 = 80000001 01 44 0B2 80000000 80000001 10 = 80000001 10 44 0B3 80000000 80000001 11 = 80000001 11 44 0B4 80000001 80000001 00 = 80000001 00 44 0B5 80000001 80000001 01 = 80000001 01 44 0B6 80000001 80000001 10 = 80000001 10 44 0B7 80000001 80000001 11 = 80000001 11 44 0B8 FFFFFFFE 80000001 00 = FFFFFE01 00 44 0B9 FFFFFFFE 80000001 01 = FFFFFE01 01 44 0BA FFFFFFFE 80000001 10 = FFFFFE01 10 44 0BB FFFFFFFE 80000001 11 = FFFFFE01 11 44 0BC FFFFFFFF 80000001 00 = FFFFFE01 00 44 0BD FFFFFFFF 80000001 01 = FFFFFE01 01 44 0BE FFFFFFFF 80000001 10 = FFFFFE01 10 44 0BF FFFFFFFF 80000001 11 = FFFFFE01 11 44 0C0 00000000 FFFFFFFE 00 = 000001FE 00 44 0C1 00000000 FFFFFFFE 01 = 000001FE 01 44 0C2 00000000 FFFFFFFE 10 = 000001FE 10 44 0C3 00000000 FFFFFFFE 11 = 000001FE 11 44 0C4 00000001 FFFFFFFE 00 = 000001FE 00 44 0C5 00000001 FFFFFFFE 01 = 000001FE 01 44 0C6 00000001 FFFFFFFE 10 = 000001FE 10 44 0C7 00000001 FFFFFFFE 11 = 000001FE 11 44 0C8 00000002 FFFFFFFE 00 = 000001FE 00 44 0C9 00000002 FFFFFFFE 01 = 000001FE 01 44 0CA 00000002 FFFFFFFE 10 = 000001FE 10 44 0CB 00000002 FFFFFFFE 11 = 000001FE 11 44 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 44 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 01 44 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 10 44 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 11 44 0D0 80000000 FFFFFFFE 00 = 800001FE 00 44 0D1 80000000 FFFFFFFE 01 = 800001FE 01 44 0D2 80000000 FFFFFFFE 10 = 800001FE 10 44 0D3 80000000 FFFFFFFE 11 = 800001FE 11 44 0D4 80000001 FFFFFFFE 00 = 800001FE 00 44 0D5 80000001 FFFFFFFE 01 = 800001FE 01 44 0D6 80000001 FFFFFFFE 10 = 800001FE 10 44 0D7 80000001 FFFFFFFE 11 = 800001FE 11 44 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 44 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 44 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 44 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 44 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 44 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 44 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 44 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 44 0E0 00000000 FFFFFFFF 00 = 000001FF 00 44 0E1 00000000 FFFFFFFF 01 = 000001FF 01 44 0E2 00000000 FFFFFFFF 10 = 000001FF 10 44 0E3 00000000 FFFFFFFF 11 = 000001FF 11 44 0E4 00000001 FFFFFFFF 00 = 000001FF 00 44 0E5 00000001 FFFFFFFF 01 = 000001FF 01 44 0E6 00000001 FFFFFFFF 10 = 000001FF 10 44 0E7 00000001 FFFFFFFF 11 = 000001FF 11 44 0E8 00000002 FFFFFFFF 00 = 000001FF 00 44 0E9 00000002 FFFFFFFF 01 = 000001FF 01 44 0EA 00000002 FFFFFFFF 10 = 000001FF 10 44 0EB 00000002 FFFFFFFF 11 = 000001FF 11 44 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 44 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 44 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 44 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 44 0F0 80000000 FFFFFFFF 00 = 800001FF 00 44 0F1 80000000 FFFFFFFF 01 = 800001FF 01 44 0F2 80000000 FFFFFFFF 10 = 800001FF 10 44 0F3 80000000 FFFFFFFF 11 = 800001FF 11 44 0F4 80000001 FFFFFFFF 00 = 800001FF 00 44 0F5 80000001 FFFFFFFF 01 = 800001FF 01 44 0F6 80000001 FFFFFFFF 10 = 800001FF 10 44 0F7 80000001 FFFFFFFF 11 = 800001FF 11 44 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 44 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 44 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 44 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 44 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 44 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 44 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 44 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 signx ---D---- ---S---- CZ = ---Q---- CZ 45 000 00000000 00000000 00 = 00000000 00 45 001 00000000 00000000 01 = 00000000 01 45 002 00000000 00000000 10 = 00000000 10 45 003 00000000 00000000 11 = 00000000 11 45 004 00000001 00000000 00 = FFFFFFFF 00 45 005 00000001 00000000 01 = FFFFFFFF 01 45 006 00000001 00000000 10 = FFFFFFFF 10 45 007 00000001 00000000 11 = FFFFFFFF 11 45 008 00000002 00000000 00 = 00000000 00 45 009 00000002 00000000 01 = 00000000 01 45 00A 00000002 00000000 10 = 00000000 10 45 00B 00000002 00000000 11 = 00000000 11 45 00C 7FFFFFFF 00000000 00 = FFFFFFFF 00 45 00D 7FFFFFFF 00000000 01 = FFFFFFFF 01 45 00E 7FFFFFFF 00000000 10 = FFFFFFFF 10 45 00F 7FFFFFFF 00000000 11 = FFFFFFFF 11 45 010 80000000 00000000 00 = 00000000 00 45 011 80000000 00000000 01 = 00000000 01 45 012 80000000 00000000 10 = 00000000 10 45 013 80000000 00000000 11 = 00000000 11 45 014 80000001 00000000 00 = FFFFFFFF 00 45 015 80000001 00000000 01 = FFFFFFFF 01 45 016 80000001 00000000 10 = FFFFFFFF 10 45 017 80000001 00000000 11 = FFFFFFFF 11 45 018 FFFFFFFE 00000000 00 = 00000000 00 45 019 FFFFFFFE 00000000 01 = 00000000 01 45 01A FFFFFFFE 00000000 10 = 00000000 10 45 01B FFFFFFFE 00000000 11 = 00000000 11 45 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 45 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 45 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 45 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 45 020 00000000 00000001 00 = 00000000 00 45 021 00000000 00000001 01 = 00000000 01 45 022 00000000 00000001 10 = 00000000 10 45 023 00000000 00000001 11 = 00000000 11 45 024 00000001 00000001 00 = 00000001 00 45 025 00000001 00000001 01 = 00000001 01 45 026 00000001 00000001 10 = 00000001 10 45 027 00000001 00000001 11 = 00000001 11 45 028 00000002 00000001 00 = FFFFFFFE 00 45 029 00000002 00000001 01 = FFFFFFFE 01 45 02A 00000002 00000001 10 = FFFFFFFE 10 45 02B 00000002 00000001 11 = FFFFFFFE 11 45 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 45 02D 7FFFFFFF 00000001 01 = FFFFFFFF 01 45 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 45 02F 7FFFFFFF 00000001 11 = FFFFFFFF 11 45 030 80000000 00000001 00 = 00000000 00 45 031 80000000 00000001 01 = 00000000 01 45 032 80000000 00000001 10 = 00000000 10 45 033 80000000 00000001 11 = 00000000 11 45 034 80000001 00000001 00 = 00000001 00 45 035 80000001 00000001 01 = 00000001 01 45 036 80000001 00000001 10 = 00000001 10 45 037 80000001 00000001 11 = 00000001 11 45 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 45 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 45 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 45 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 45 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 45 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 45 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 45 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 45 040 00000000 00000002 00 = 00000000 00 45 041 00000000 00000002 01 = 00000000 01 45 042 00000000 00000002 10 = 00000000 10 45 043 00000000 00000002 11 = 00000000 11 45 044 00000001 00000002 00 = 00000001 00 45 045 00000001 00000002 01 = 00000001 01 45 046 00000001 00000002 10 = 00000001 10 45 047 00000001 00000002 11 = 00000001 11 45 048 00000002 00000002 00 = 00000002 00 45 049 00000002 00000002 01 = 00000002 01 45 04A 00000002 00000002 10 = 00000002 10 45 04B 00000002 00000002 11 = 00000002 11 45 04C 7FFFFFFF 00000002 00 = FFFFFFFF 00 45 04D 7FFFFFFF 00000002 01 = FFFFFFFF 01 45 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 45 04F 7FFFFFFF 00000002 11 = FFFFFFFF 11 45 050 80000000 00000002 00 = 00000000 00 45 051 80000000 00000002 01 = 00000000 01 45 052 80000000 00000002 10 = 00000000 10 45 053 80000000 00000002 11 = 00000000 11 45 054 80000001 00000002 00 = 00000001 00 45 055 80000001 00000002 01 = 00000001 01 45 056 80000001 00000002 10 = 00000001 10 45 057 80000001 00000002 11 = 00000001 11 45 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 45 059 FFFFFFFE 00000002 01 = FFFFFFFE 01 45 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 45 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 45 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 45 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 45 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 45 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 45 060 00000000 7FFFFFFF 00 = 00000000 00 45 061 00000000 7FFFFFFF 01 = 00000000 01 45 062 00000000 7FFFFFFF 10 = 00000000 10 45 063 00000000 7FFFFFFF 11 = 00000000 11 45 064 00000001 7FFFFFFF 00 = 00000001 00 45 065 00000001 7FFFFFFF 01 = 00000001 01 45 066 00000001 7FFFFFFF 10 = 00000001 10 45 067 00000001 7FFFFFFF 11 = 00000001 11 45 068 00000002 7FFFFFFF 00 = 00000002 00 45 069 00000002 7FFFFFFF 01 = 00000002 01 45 06A 00000002 7FFFFFFF 10 = 00000002 10 45 06B 00000002 7FFFFFFF 11 = 00000002 11 45 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 45 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 45 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 45 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 45 070 80000000 7FFFFFFF 00 = 80000000 00 45 071 80000000 7FFFFFFF 01 = 80000000 01 45 072 80000000 7FFFFFFF 10 = 80000000 10 45 073 80000000 7FFFFFFF 11 = 80000000 11 45 074 80000001 7FFFFFFF 00 = 80000001 00 45 075 80000001 7FFFFFFF 01 = 80000001 01 45 076 80000001 7FFFFFFF 10 = 80000001 10 45 077 80000001 7FFFFFFF 11 = 80000001 11 45 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 45 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 45 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 45 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 45 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 45 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 45 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 45 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 45 080 00000000 80000000 00 = 00000000 00 45 081 00000000 80000000 01 = 00000000 01 45 082 00000000 80000000 10 = 00000000 10 45 083 00000000 80000000 11 = 00000000 11 45 084 00000001 80000000 00 = FFFFFFFF 00 45 085 00000001 80000000 01 = FFFFFFFF 01 45 086 00000001 80000000 10 = FFFFFFFF 10 45 087 00000001 80000000 11 = FFFFFFFF 11 45 088 00000002 80000000 00 = 00000000 00 45 089 00000002 80000000 01 = 00000000 01 45 08A 00000002 80000000 10 = 00000000 10 45 08B 00000002 80000000 11 = 00000000 11 45 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 45 08D 7FFFFFFF 80000000 01 = FFFFFFFF 01 45 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 45 08F 7FFFFFFF 80000000 11 = FFFFFFFF 11 45 090 80000000 80000000 00 = 00000000 00 45 091 80000000 80000000 01 = 00000000 01 45 092 80000000 80000000 10 = 00000000 10 45 093 80000000 80000000 11 = 00000000 11 45 094 80000001 80000000 00 = FFFFFFFF 00 45 095 80000001 80000000 01 = FFFFFFFF 01 45 096 80000001 80000000 10 = FFFFFFFF 10 45 097 80000001 80000000 11 = FFFFFFFF 11 45 098 FFFFFFFE 80000000 00 = 00000000 00 45 099 FFFFFFFE 80000000 01 = 00000000 01 45 09A FFFFFFFE 80000000 10 = 00000000 10 45 09B FFFFFFFE 80000000 11 = 00000000 11 45 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 45 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 45 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 45 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 45 0A0 00000000 80000001 00 = 00000000 00 45 0A1 00000000 80000001 01 = 00000000 01 45 0A2 00000000 80000001 10 = 00000000 10 45 0A3 00000000 80000001 11 = 00000000 11 45 0A4 00000001 80000001 00 = 00000001 00 45 0A5 00000001 80000001 01 = 00000001 01 45 0A6 00000001 80000001 10 = 00000001 10 45 0A7 00000001 80000001 11 = 00000001 11 45 0A8 00000002 80000001 00 = FFFFFFFE 00 45 0A9 00000002 80000001 01 = FFFFFFFE 01 45 0AA 00000002 80000001 10 = FFFFFFFE 10 45 0AB 00000002 80000001 11 = FFFFFFFE 11 45 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 45 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 01 45 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 45 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 11 45 0B0 80000000 80000001 00 = 00000000 00 45 0B1 80000000 80000001 01 = 00000000 01 45 0B2 80000000 80000001 10 = 00000000 10 45 0B3 80000000 80000001 11 = 00000000 11 45 0B4 80000001 80000001 00 = 00000001 00 45 0B5 80000001 80000001 01 = 00000001 01 45 0B6 80000001 80000001 10 = 00000001 10 45 0B7 80000001 80000001 11 = 00000001 11 45 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 45 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 01 45 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 45 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 45 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 45 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 45 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 45 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 45 0C0 00000000 FFFFFFFE 00 = 00000000 00 45 0C1 00000000 FFFFFFFE 01 = 00000000 01 45 0C2 00000000 FFFFFFFE 10 = 00000000 10 45 0C3 00000000 FFFFFFFE 11 = 00000000 11 45 0C4 00000001 FFFFFFFE 00 = 00000001 00 45 0C5 00000001 FFFFFFFE 01 = 00000001 01 45 0C6 00000001 FFFFFFFE 10 = 00000001 10 45 0C7 00000001 FFFFFFFE 11 = 00000001 11 45 0C8 00000002 FFFFFFFE 00 = 00000002 00 45 0C9 00000002 FFFFFFFE 01 = 00000002 01 45 0CA 00000002 FFFFFFFE 10 = 00000002 10 45 0CB 00000002 FFFFFFFE 11 = 00000002 11 45 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 45 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 45 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 45 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 45 0D0 80000000 FFFFFFFE 00 = 00000000 00 45 0D1 80000000 FFFFFFFE 01 = 00000000 01 45 0D2 80000000 FFFFFFFE 10 = 00000000 10 45 0D3 80000000 FFFFFFFE 11 = 00000000 11 45 0D4 80000001 FFFFFFFE 00 = 00000001 00 45 0D5 80000001 FFFFFFFE 01 = 00000001 01 45 0D6 80000001 FFFFFFFE 10 = 00000001 10 45 0D7 80000001 FFFFFFFE 11 = 00000001 11 45 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 45 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 45 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 45 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 45 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 45 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 45 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 45 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 45 0E0 00000000 FFFFFFFF 00 = 00000000 00 45 0E1 00000000 FFFFFFFF 01 = 00000000 01 45 0E2 00000000 FFFFFFFF 10 = 00000000 10 45 0E3 00000000 FFFFFFFF 11 = 00000000 11 45 0E4 00000001 FFFFFFFF 00 = 00000001 00 45 0E5 00000001 FFFFFFFF 01 = 00000001 01 45 0E6 00000001 FFFFFFFF 10 = 00000001 10 45 0E7 00000001 FFFFFFFF 11 = 00000001 11 45 0E8 00000002 FFFFFFFF 00 = 00000002 00 45 0E9 00000002 FFFFFFFF 01 = 00000002 01 45 0EA 00000002 FFFFFFFF 10 = 00000002 10 45 0EB 00000002 FFFFFFFF 11 = 00000002 11 45 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 45 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 45 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 45 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 45 0F0 80000000 FFFFFFFF 00 = 80000000 00 45 0F1 80000000 FFFFFFFF 01 = 80000000 01 45 0F2 80000000 FFFFFFFF 10 = 80000000 10 45 0F3 80000000 FFFFFFFF 11 = 80000000 11 45 0F4 80000001 FFFFFFFF 00 = 80000001 00 45 0F5 80000001 FFFFFFFF 01 = 80000001 01 45 0F6 80000001 FFFFFFFF 10 = 80000001 10 45 0F7 80000001 FFFFFFFF 11 = 80000001 11 45 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 45 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 45 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 45 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 45 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 45 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 45 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 45 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 movbyts ---D---- ---S---- CZ = ---Q---- CZ 46 000 00000000 00000000 00 = 00000000 00 46 001 00000000 00000000 01 = 00000000 01 46 002 00000000 00000000 10 = 00000000 10 46 003 00000000 00000000 11 = 00000000 11 46 004 00000001 00000000 00 = 01010101 00 46 005 00000001 00000000 01 = 01010101 01 46 006 00000001 00000000 10 = 01010101 10 46 007 00000001 00000000 11 = 01010101 11 46 008 00000002 00000000 00 = 02020202 00 46 009 00000002 00000000 01 = 02020202 01 46 00A 00000002 00000000 10 = 02020202 10 46 00B 00000002 00000000 11 = 02020202 11 46 00C 7FFFFFFF 00000000 00 = FFFFFFFF 00 46 00D 7FFFFFFF 00000000 01 = FFFFFFFF 01 46 00E 7FFFFFFF 00000000 10 = FFFFFFFF 10 46 00F 7FFFFFFF 00000000 11 = FFFFFFFF 11 46 010 80000000 00000000 00 = 00000000 00 46 011 80000000 00000000 01 = 00000000 01 46 012 80000000 00000000 10 = 00000000 10 46 013 80000000 00000000 11 = 00000000 11 46 014 80000001 00000000 00 = 01010101 00 46 015 80000001 00000000 01 = 01010101 01 46 016 80000001 00000000 10 = 01010101 10 46 017 80000001 00000000 11 = 01010101 11 46 018 FFFFFFFE 00000000 00 = FEFEFEFE 00 46 019 FFFFFFFE 00000000 01 = FEFEFEFE 01 46 01A FFFFFFFE 00000000 10 = FEFEFEFE 10 46 01B FFFFFFFE 00000000 11 = FEFEFEFE 11 46 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 46 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 46 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 46 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 46 020 00000000 00000001 00 = 00000000 00 46 021 00000000 00000001 01 = 00000000 01 46 022 00000000 00000001 10 = 00000000 10 46 023 00000000 00000001 11 = 00000000 11 46 024 00000001 00000001 00 = 01010100 00 46 025 00000001 00000001 01 = 01010100 01 46 026 00000001 00000001 10 = 01010100 10 46 027 00000001 00000001 11 = 01010100 11 46 028 00000002 00000001 00 = 02020200 00 46 029 00000002 00000001 01 = 02020200 01 46 02A 00000002 00000001 10 = 02020200 10 46 02B 00000002 00000001 11 = 02020200 11 46 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 46 02D 7FFFFFFF 00000001 01 = FFFFFFFF 01 46 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 46 02F 7FFFFFFF 00000001 11 = FFFFFFFF 11 46 030 80000000 00000001 00 = 00000000 00 46 031 80000000 00000001 01 = 00000000 01 46 032 80000000 00000001 10 = 00000000 10 46 033 80000000 00000001 11 = 00000000 11 46 034 80000001 00000001 00 = 01010100 00 46 035 80000001 00000001 01 = 01010100 01 46 036 80000001 00000001 10 = 01010100 10 46 037 80000001 00000001 11 = 01010100 11 46 038 FFFFFFFE 00000001 00 = FEFEFEFF 00 46 039 FFFFFFFE 00000001 01 = FEFEFEFF 01 46 03A FFFFFFFE 00000001 10 = FEFEFEFF 10 46 03B FFFFFFFE 00000001 11 = FEFEFEFF 11 46 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 46 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 46 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 46 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 46 040 00000000 00000002 00 = 00000000 00 46 041 00000000 00000002 01 = 00000000 01 46 042 00000000 00000002 10 = 00000000 10 46 043 00000000 00000002 11 = 00000000 11 46 044 00000001 00000002 00 = 01010100 00 46 045 00000001 00000002 01 = 01010100 01 46 046 00000001 00000002 10 = 01010100 10 46 047 00000001 00000002 11 = 01010100 11 46 048 00000002 00000002 00 = 02020200 00 46 049 00000002 00000002 01 = 02020200 01 46 04A 00000002 00000002 10 = 02020200 10 46 04B 00000002 00000002 11 = 02020200 11 46 04C 7FFFFFFF 00000002 00 = FFFFFFFF 00 46 04D 7FFFFFFF 00000002 01 = FFFFFFFF 01 46 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 46 04F 7FFFFFFF 00000002 11 = FFFFFFFF 11 46 050 80000000 00000002 00 = 00000000 00 46 051 80000000 00000002 01 = 00000000 01 46 052 80000000 00000002 10 = 00000000 10 46 053 80000000 00000002 11 = 00000000 11 46 054 80000001 00000002 00 = 01010100 00 46 055 80000001 00000002 01 = 01010100 01 46 056 80000001 00000002 10 = 01010100 10 46 057 80000001 00000002 11 = 01010100 11 46 058 FFFFFFFE 00000002 00 = FEFEFEFF 00 46 059 FFFFFFFE 00000002 01 = FEFEFEFF 01 46 05A FFFFFFFE 00000002 10 = FEFEFEFF 10 46 05B FFFFFFFE 00000002 11 = FEFEFEFF 11 46 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 46 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 46 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 46 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 46 060 00000000 7FFFFFFF 00 = 00000000 00 46 061 00000000 7FFFFFFF 01 = 00000000 01 46 062 00000000 7FFFFFFF 10 = 00000000 10 46 063 00000000 7FFFFFFF 11 = 00000000 11 46 064 00000001 7FFFFFFF 00 = 00000000 00 46 065 00000001 7FFFFFFF 01 = 00000000 01 46 066 00000001 7FFFFFFF 10 = 00000000 10 46 067 00000001 7FFFFFFF 11 = 00000000 11 46 068 00000002 7FFFFFFF 00 = 00000000 00 46 069 00000002 7FFFFFFF 01 = 00000000 01 46 06A 00000002 7FFFFFFF 10 = 00000000 10 46 06B 00000002 7FFFFFFF 11 = 00000000 11 46 06C 7FFFFFFF 7FFFFFFF 00 = 7F7F7F7F 00 46 06D 7FFFFFFF 7FFFFFFF 01 = 7F7F7F7F 01 46 06E 7FFFFFFF 7FFFFFFF 10 = 7F7F7F7F 10 46 06F 7FFFFFFF 7FFFFFFF 11 = 7F7F7F7F 11 46 070 80000000 7FFFFFFF 00 = 80808080 00 46 071 80000000 7FFFFFFF 01 = 80808080 01 46 072 80000000 7FFFFFFF 10 = 80808080 10 46 073 80000000 7FFFFFFF 11 = 80808080 11 46 074 80000001 7FFFFFFF 00 = 80808080 00 46 075 80000001 7FFFFFFF 01 = 80808080 01 46 076 80000001 7FFFFFFF 10 = 80808080 10 46 077 80000001 7FFFFFFF 11 = 80808080 11 46 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 46 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 46 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 46 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 46 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 46 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 46 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 46 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 46 080 00000000 80000000 00 = 00000000 00 46 081 00000000 80000000 01 = 00000000 01 46 082 00000000 80000000 10 = 00000000 10 46 083 00000000 80000000 11 = 00000000 11 46 084 00000001 80000000 00 = 01010101 00 46 085 00000001 80000000 01 = 01010101 01 46 086 00000001 80000000 10 = 01010101 10 46 087 00000001 80000000 11 = 01010101 11 46 088 00000002 80000000 00 = 02020202 00 46 089 00000002 80000000 01 = 02020202 01 46 08A 00000002 80000000 10 = 02020202 10 46 08B 00000002 80000000 11 = 02020202 11 46 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 46 08D 7FFFFFFF 80000000 01 = FFFFFFFF 01 46 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 46 08F 7FFFFFFF 80000000 11 = FFFFFFFF 11 46 090 80000000 80000000 00 = 00000000 00 46 091 80000000 80000000 01 = 00000000 01 46 092 80000000 80000000 10 = 00000000 10 46 093 80000000 80000000 11 = 00000000 11 46 094 80000001 80000000 00 = 01010101 00 46 095 80000001 80000000 01 = 01010101 01 46 096 80000001 80000000 10 = 01010101 10 46 097 80000001 80000000 11 = 01010101 11 46 098 FFFFFFFE 80000000 00 = FEFEFEFE 00 46 099 FFFFFFFE 80000000 01 = FEFEFEFE 01 46 09A FFFFFFFE 80000000 10 = FEFEFEFE 10 46 09B FFFFFFFE 80000000 11 = FEFEFEFE 11 46 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 46 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 46 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 46 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 46 0A0 00000000 80000001 00 = 00000000 00 46 0A1 00000000 80000001 01 = 00000000 01 46 0A2 00000000 80000001 10 = 00000000 10 46 0A3 00000000 80000001 11 = 00000000 11 46 0A4 00000001 80000001 00 = 01010100 00 46 0A5 00000001 80000001 01 = 01010100 01 46 0A6 00000001 80000001 10 = 01010100 10 46 0A7 00000001 80000001 11 = 01010100 11 46 0A8 00000002 80000001 00 = 02020200 00 46 0A9 00000002 80000001 01 = 02020200 01 46 0AA 00000002 80000001 10 = 02020200 10 46 0AB 00000002 80000001 11 = 02020200 11 46 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 46 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 01 46 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 46 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 11 46 0B0 80000000 80000001 00 = 00000000 00 46 0B1 80000000 80000001 01 = 00000000 01 46 0B2 80000000 80000001 10 = 00000000 10 46 0B3 80000000 80000001 11 = 00000000 11 46 0B4 80000001 80000001 00 = 01010100 00 46 0B5 80000001 80000001 01 = 01010100 01 46 0B6 80000001 80000001 10 = 01010100 10 46 0B7 80000001 80000001 11 = 01010100 11 46 0B8 FFFFFFFE 80000001 00 = FEFEFEFF 00 46 0B9 FFFFFFFE 80000001 01 = FEFEFEFF 01 46 0BA FFFFFFFE 80000001 10 = FEFEFEFF 10 46 0BB FFFFFFFE 80000001 11 = FEFEFEFF 11 46 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 46 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 46 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 46 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 46 0C0 00000000 FFFFFFFE 00 = 00000000 00 46 0C1 00000000 FFFFFFFE 01 = 00000000 01 46 0C2 00000000 FFFFFFFE 10 = 00000000 10 46 0C3 00000000 FFFFFFFE 11 = 00000000 11 46 0C4 00000001 FFFFFFFE 00 = 00000000 00 46 0C5 00000001 FFFFFFFE 01 = 00000000 01 46 0C6 00000001 FFFFFFFE 10 = 00000000 10 46 0C7 00000001 FFFFFFFE 11 = 00000000 11 46 0C8 00000002 FFFFFFFE 00 = 00000000 00 46 0C9 00000002 FFFFFFFE 01 = 00000000 01 46 0CA 00000002 FFFFFFFE 10 = 00000000 10 46 0CB 00000002 FFFFFFFE 11 = 00000000 11 46 0CC 7FFFFFFF FFFFFFFE 00 = 7F7F7FFF 00 46 0CD 7FFFFFFF FFFFFFFE 01 = 7F7F7FFF 01 46 0CE 7FFFFFFF FFFFFFFE 10 = 7F7F7FFF 10 46 0CF 7FFFFFFF FFFFFFFE 11 = 7F7F7FFF 11 46 0D0 80000000 FFFFFFFE 00 = 80808000 00 46 0D1 80000000 FFFFFFFE 01 = 80808000 01 46 0D2 80000000 FFFFFFFE 10 = 80808000 10 46 0D3 80000000 FFFFFFFE 11 = 80808000 11 46 0D4 80000001 FFFFFFFE 00 = 80808000 00 46 0D5 80000001 FFFFFFFE 01 = 80808000 01 46 0D6 80000001 FFFFFFFE 10 = 80808000 10 46 0D7 80000001 FFFFFFFE 11 = 80808000 11 46 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFF 00 46 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFF 01 46 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 46 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 11 46 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 46 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 46 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 46 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 46 0E0 00000000 FFFFFFFF 00 = 00000000 00 46 0E1 00000000 FFFFFFFF 01 = 00000000 01 46 0E2 00000000 FFFFFFFF 10 = 00000000 10 46 0E3 00000000 FFFFFFFF 11 = 00000000 11 46 0E4 00000001 FFFFFFFF 00 = 00000000 00 46 0E5 00000001 FFFFFFFF 01 = 00000000 01 46 0E6 00000001 FFFFFFFF 10 = 00000000 10 46 0E7 00000001 FFFFFFFF 11 = 00000000 11 46 0E8 00000002 FFFFFFFF 00 = 00000000 00 46 0E9 00000002 FFFFFFFF 01 = 00000000 01 46 0EA 00000002 FFFFFFFF 10 = 00000000 10 46 0EB 00000002 FFFFFFFF 11 = 00000000 11 46 0EC 7FFFFFFF FFFFFFFF 00 = 7F7F7F7F 00 46 0ED 7FFFFFFF FFFFFFFF 01 = 7F7F7F7F 01 46 0EE 7FFFFFFF FFFFFFFF 10 = 7F7F7F7F 10 46 0EF 7FFFFFFF FFFFFFFF 11 = 7F7F7F7F 11 46 0F0 80000000 FFFFFFFF 00 = 80808080 00 46 0F1 80000000 FFFFFFFF 01 = 80808080 01 46 0F2 80000000 FFFFFFFF 10 = 80808080 10 46 0F3 80000000 FFFFFFFF 11 = 80808080 11 46 0F4 80000001 FFFFFFFF 00 = 80808080 00 46 0F5 80000001 FFFFFFFF 01 = 80808080 01 46 0F6 80000001 FFFFFFFF 10 = 80808080 10 46 0F7 80000001 FFFFFFFF 11 = 80808080 11 46 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 46 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 46 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 46 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 46 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 46 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 46 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 46 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 muls ---D---- ---S---- CZ = ---Q---- CZ 47 000 00000000 00000000 00 = 00000000 01 47 001 00000000 00000000 01 = 00000000 01 47 002 00000000 00000000 10 = 00000000 11 47 003 00000000 00000000 11 = 00000000 11 47 004 00000001 00000000 00 = 00000000 01 47 005 00000001 00000000 01 = 00000000 01 47 006 00000001 00000000 10 = 00000000 11 47 007 00000001 00000000 11 = 00000000 11 47 008 00000002 00000000 00 = 00000000 01 47 009 00000002 00000000 01 = 00000000 01 47 00A 00000002 00000000 10 = 00000000 11 47 00B 00000002 00000000 11 = 00000000 11 47 00C 7FFFFFFF 00000000 00 = 00000000 01 47 00D 7FFFFFFF 00000000 01 = 00000000 01 47 00E 7FFFFFFF 00000000 10 = 00000000 11 47 00F 7FFFFFFF 00000000 11 = 00000000 11 47 010 80000000 00000000 00 = 00000000 01 47 011 80000000 00000000 01 = 00000000 01 47 012 80000000 00000000 10 = 00000000 11 47 013 80000000 00000000 11 = 00000000 11 47 014 80000001 00000000 00 = 00000000 01 47 015 80000001 00000000 01 = 00000000 01 47 016 80000001 00000000 10 = 00000000 11 47 017 80000001 00000000 11 = 00000000 11 47 018 FFFFFFFE 00000000 00 = 00000000 01 47 019 FFFFFFFE 00000000 01 = 00000000 01 47 01A FFFFFFFE 00000000 10 = 00000000 11 47 01B FFFFFFFE 00000000 11 = 00000000 11 47 01C FFFFFFFF 00000000 00 = 00000000 01 47 01D FFFFFFFF 00000000 01 = 00000000 01 47 01E FFFFFFFF 00000000 10 = 00000000 11 47 01F FFFFFFFF 00000000 11 = 00000000 11 47 020 00000000 00000001 00 = 00000000 01 47 021 00000000 00000001 01 = 00000000 01 47 022 00000000 00000001 10 = 00000000 11 47 023 00000000 00000001 11 = 00000000 11 47 024 00000001 00000001 00 = 00000001 00 47 025 00000001 00000001 01 = 00000001 00 47 026 00000001 00000001 10 = 00000001 10 47 027 00000001 00000001 11 = 00000001 10 47 028 00000002 00000001 00 = 00000002 00 47 029 00000002 00000001 01 = 00000002 00 47 02A 00000002 00000001 10 = 00000002 10 47 02B 00000002 00000001 11 = 00000002 10 47 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 47 02D 7FFFFFFF 00000001 01 = FFFFFFFF 00 47 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 47 02F 7FFFFFFF 00000001 11 = FFFFFFFF 10 47 030 80000000 00000001 00 = 00000000 01 47 031 80000000 00000001 01 = 00000000 01 47 032 80000000 00000001 10 = 00000000 11 47 033 80000000 00000001 11 = 00000000 11 47 034 80000001 00000001 00 = 00000001 00 47 035 80000001 00000001 01 = 00000001 00 47 036 80000001 00000001 10 = 00000001 10 47 037 80000001 00000001 11 = 00000001 10 47 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 47 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 47 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 47 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 47 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 47 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 47 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 47 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 47 040 00000000 00000002 00 = 00000000 01 47 041 00000000 00000002 01 = 00000000 01 47 042 00000000 00000002 10 = 00000000 11 47 043 00000000 00000002 11 = 00000000 11 47 044 00000001 00000002 00 = 00000002 00 47 045 00000001 00000002 01 = 00000002 00 47 046 00000001 00000002 10 = 00000002 10 47 047 00000001 00000002 11 = 00000002 10 47 048 00000002 00000002 00 = 00000004 00 47 049 00000002 00000002 01 = 00000004 00 47 04A 00000002 00000002 10 = 00000004 10 47 04B 00000002 00000002 11 = 00000004 10 47 04C 7FFFFFFF 00000002 00 = FFFFFFFE 00 47 04D 7FFFFFFF 00000002 01 = FFFFFFFE 00 47 04E 7FFFFFFF 00000002 10 = FFFFFFFE 10 47 04F 7FFFFFFF 00000002 11 = FFFFFFFE 10 47 050 80000000 00000002 00 = 00000000 01 47 051 80000000 00000002 01 = 00000000 01 47 052 80000000 00000002 10 = 00000000 11 47 053 80000000 00000002 11 = 00000000 11 47 054 80000001 00000002 00 = 00000002 00 47 055 80000001 00000002 01 = 00000002 00 47 056 80000001 00000002 10 = 00000002 10 47 057 80000001 00000002 11 = 00000002 10 47 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 47 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 47 05A FFFFFFFE 00000002 10 = FFFFFFFC 10 47 05B FFFFFFFE 00000002 11 = FFFFFFFC 10 47 05C FFFFFFFF 00000002 00 = FFFFFFFE 00 47 05D FFFFFFFF 00000002 01 = FFFFFFFE 00 47 05E FFFFFFFF 00000002 10 = FFFFFFFE 10 47 05F FFFFFFFF 00000002 11 = FFFFFFFE 10 47 060 00000000 7FFFFFFF 00 = 00000000 01 47 061 00000000 7FFFFFFF 01 = 00000000 01 47 062 00000000 7FFFFFFF 10 = 00000000 11 47 063 00000000 7FFFFFFF 11 = 00000000 11 47 064 00000001 7FFFFFFF 00 = FFFFFFFF 00 47 065 00000001 7FFFFFFF 01 = FFFFFFFF 00 47 066 00000001 7FFFFFFF 10 = FFFFFFFF 10 47 067 00000001 7FFFFFFF 11 = FFFFFFFF 10 47 068 00000002 7FFFFFFF 00 = FFFFFFFE 00 47 069 00000002 7FFFFFFF 01 = FFFFFFFE 00 47 06A 00000002 7FFFFFFF 10 = FFFFFFFE 10 47 06B 00000002 7FFFFFFF 11 = FFFFFFFE 10 47 06C 7FFFFFFF 7FFFFFFF 00 = 00000001 00 47 06D 7FFFFFFF 7FFFFFFF 01 = 00000001 00 47 06E 7FFFFFFF 7FFFFFFF 10 = 00000001 10 47 06F 7FFFFFFF 7FFFFFFF 11 = 00000001 10 47 070 80000000 7FFFFFFF 00 = 00000000 01 47 071 80000000 7FFFFFFF 01 = 00000000 01 47 072 80000000 7FFFFFFF 10 = 00000000 11 47 073 80000000 7FFFFFFF 11 = 00000000 11 47 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 47 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 47 076 80000001 7FFFFFFF 10 = FFFFFFFF 10 47 077 80000001 7FFFFFFF 11 = FFFFFFFF 10 47 078 FFFFFFFE 7FFFFFFF 00 = 00000002 00 47 079 FFFFFFFE 7FFFFFFF 01 = 00000002 00 47 07A FFFFFFFE 7FFFFFFF 10 = 00000002 10 47 07B FFFFFFFE 7FFFFFFF 11 = 00000002 10 47 07C FFFFFFFF 7FFFFFFF 00 = 00000001 00 47 07D FFFFFFFF 7FFFFFFF 01 = 00000001 00 47 07E FFFFFFFF 7FFFFFFF 10 = 00000001 10 47 07F FFFFFFFF 7FFFFFFF 11 = 00000001 10 47 080 00000000 80000000 00 = 00000000 01 47 081 00000000 80000000 01 = 00000000 01 47 082 00000000 80000000 10 = 00000000 11 47 083 00000000 80000000 11 = 00000000 11 47 084 00000001 80000000 00 = 00000000 01 47 085 00000001 80000000 01 = 00000000 01 47 086 00000001 80000000 10 = 00000000 11 47 087 00000001 80000000 11 = 00000000 11 47 088 00000002 80000000 00 = 00000000 01 47 089 00000002 80000000 01 = 00000000 01 47 08A 00000002 80000000 10 = 00000000 11 47 08B 00000002 80000000 11 = 00000000 11 47 08C 7FFFFFFF 80000000 00 = 00000000 01 47 08D 7FFFFFFF 80000000 01 = 00000000 01 47 08E 7FFFFFFF 80000000 10 = 00000000 11 47 08F 7FFFFFFF 80000000 11 = 00000000 11 47 090 80000000 80000000 00 = 00000000 01 47 091 80000000 80000000 01 = 00000000 01 47 092 80000000 80000000 10 = 00000000 11 47 093 80000000 80000000 11 = 00000000 11 47 094 80000001 80000000 00 = 00000000 01 47 095 80000001 80000000 01 = 00000000 01 47 096 80000001 80000000 10 = 00000000 11 47 097 80000001 80000000 11 = 00000000 11 47 098 FFFFFFFE 80000000 00 = 00000000 01 47 099 FFFFFFFE 80000000 01 = 00000000 01 47 09A FFFFFFFE 80000000 10 = 00000000 11 47 09B FFFFFFFE 80000000 11 = 00000000 11 47 09C FFFFFFFF 80000000 00 = 00000000 01 47 09D FFFFFFFF 80000000 01 = 00000000 01 47 09E FFFFFFFF 80000000 10 = 00000000 11 47 09F FFFFFFFF 80000000 11 = 00000000 11 47 0A0 00000000 80000001 00 = 00000000 01 47 0A1 00000000 80000001 01 = 00000000 01 47 0A2 00000000 80000001 10 = 00000000 11 47 0A3 00000000 80000001 11 = 00000000 11 47 0A4 00000001 80000001 00 = 00000001 00 47 0A5 00000001 80000001 01 = 00000001 00 47 0A6 00000001 80000001 10 = 00000001 10 47 0A7 00000001 80000001 11 = 00000001 10 47 0A8 00000002 80000001 00 = 00000002 00 47 0A9 00000002 80000001 01 = 00000002 00 47 0AA 00000002 80000001 10 = 00000002 10 47 0AB 00000002 80000001 11 = 00000002 10 47 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 47 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 47 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 47 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 10 47 0B0 80000000 80000001 00 = 00000000 01 47 0B1 80000000 80000001 01 = 00000000 01 47 0B2 80000000 80000001 10 = 00000000 11 47 0B3 80000000 80000001 11 = 00000000 11 47 0B4 80000001 80000001 00 = 00000001 00 47 0B5 80000001 80000001 01 = 00000001 00 47 0B6 80000001 80000001 10 = 00000001 10 47 0B7 80000001 80000001 11 = 00000001 10 47 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 47 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 47 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 47 0BB FFFFFFFE 80000001 11 = FFFFFFFE 10 47 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 47 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 47 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 47 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 47 0C0 00000000 FFFFFFFE 00 = 00000000 01 47 0C1 00000000 FFFFFFFE 01 = 00000000 01 47 0C2 00000000 FFFFFFFE 10 = 00000000 11 47 0C3 00000000 FFFFFFFE 11 = 00000000 11 47 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 00 47 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 00 47 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 47 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 47 0C8 00000002 FFFFFFFE 00 = FFFFFFFC 00 47 0C9 00000002 FFFFFFFE 01 = FFFFFFFC 00 47 0CA 00000002 FFFFFFFE 10 = FFFFFFFC 10 47 0CB 00000002 FFFFFFFE 11 = FFFFFFFC 10 47 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 00 47 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 00 47 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 10 47 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 10 47 0D0 80000000 FFFFFFFE 00 = 00000000 01 47 0D1 80000000 FFFFFFFE 01 = 00000000 01 47 0D2 80000000 FFFFFFFE 10 = 00000000 11 47 0D3 80000000 FFFFFFFE 11 = 00000000 11 47 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 00 47 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 00 47 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 47 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 47 0D8 FFFFFFFE FFFFFFFE 00 = 00000004 00 47 0D9 FFFFFFFE FFFFFFFE 01 = 00000004 00 47 0DA FFFFFFFE FFFFFFFE 10 = 00000004 10 47 0DB FFFFFFFE FFFFFFFE 11 = 00000004 10 47 0DC FFFFFFFF FFFFFFFE 00 = 00000002 00 47 0DD FFFFFFFF FFFFFFFE 01 = 00000002 00 47 0DE FFFFFFFF FFFFFFFE 10 = 00000002 10 47 0DF FFFFFFFF FFFFFFFE 11 = 00000002 10 47 0E0 00000000 FFFFFFFF 00 = 00000000 01 47 0E1 00000000 FFFFFFFF 01 = 00000000 01 47 0E2 00000000 FFFFFFFF 10 = 00000000 11 47 0E3 00000000 FFFFFFFF 11 = 00000000 11 47 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 47 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 47 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 47 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 47 0E8 00000002 FFFFFFFF 00 = FFFFFFFE 00 47 0E9 00000002 FFFFFFFF 01 = FFFFFFFE 00 47 0EA 00000002 FFFFFFFF 10 = FFFFFFFE 10 47 0EB 00000002 FFFFFFFF 11 = FFFFFFFE 10 47 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 00 47 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 00 47 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 10 47 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 10 47 0F0 80000000 FFFFFFFF 00 = 00000000 01 47 0F1 80000000 FFFFFFFF 01 = 00000000 01 47 0F2 80000000 FFFFFFFF 10 = 00000000 11 47 0F3 80000000 FFFFFFFF 11 = 00000000 11 47 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 47 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 47 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 47 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 47 0F8 FFFFFFFE FFFFFFFF 00 = 00000002 00 47 0F9 FFFFFFFE FFFFFFFF 01 = 00000002 00 47 0FA FFFFFFFE FFFFFFFF 10 = 00000002 10 47 0FB FFFFFFFE FFFFFFFF 11 = 00000002 10 47 0FC FFFFFFFF FFFFFFFF 00 = 00000001 00 47 0FD FFFFFFFF FFFFFFFF 01 = 00000001 00 47 0FE FFFFFFFF FFFFFFFF 10 = 00000001 10 47 0FF FFFFFFFF FFFFFFFF 11 = 00000001 10