diff --git a/Makefile b/Makefile index 5148091..9b3b6bc 100644 --- a/Makefile +++ b/Makefile @@ -21,7 +21,7 @@ endif TARGET = $(BUILD)/spinsim$(EXT) -SOURCES = spinsim.c spininterp.c spindebug.c pasmsim.c pasmdebug.c pasmsim2.c pasmdebug2.c eeprom.c debug.c gdb.c +SOURCES = spinsim.c spininterp.c spindebug.c pasmsim.c pasmdebug.c pasmsim2.c pasmdebug2.c eeprom.c debug.c gdb.c disasm2.c ifneq ($(OS),msys) SOURCES += conion.c @@ -47,5 +47,5 @@ $(BUILD)/%.o: %.c $(CC) $(CFLAGS) $< -o $@ clean: FORCE - rm -f $(BUILD) + rm -rf $(BUILD) FORCE: diff --git a/README.md b/README.md index 87cdc21..dfcff3d 100755 --- a/README.md +++ b/README.md @@ -1,135 +1,88 @@ -Spinsim 0.75 - -This version of spinsim supports about two-thirds of the opcodes in the P2 -instruction set. The list of implemented opcodes is shown below, along with -the list of opcodes that have not been implemented yet. Spinsim runs in the P1 -mode by default. It can be set to run in the P2 mode with the -t parameter. - -In the P2 mode, spinsim will load a P2 OBJ file into hub RAM, and start cog 0 -with the code located at $E00. A simulated serial port is supported by using -the -b option. The default baud rate is 115,200, but other rates can be used -by specifying it with -b, such as -b9600. The serial port uses pin 31 and 30, -just like with P1. - -Spinsim is built using the Makefile, and typing make. I have successfully -built and run it under Cygwin and Ubuntu Linux. - -The sample program, pfth.spin can be run by building it with the PNut P2 -assembler. PNut is contained in the Terasic_Prop2_Emulation zip file, -which can be downloaded from the first post in the "HUB EXEC Update Here" -thread in the Propeller 2 forum. - -There are two demo programs, which are the pfth Forth interpreter and the -p1spin Spin interpreter that runs P1 Spin binaries on the P2 processor. -The pfth program is run under spinsim as follows: - -./spinsim -t -b pfth.obj - -p1spin runs at a baud rate of 57600, so it is run as follows: - -./spinsim -t -b57600 p1spin.obj - -Spinsim supports execution from cog memory and hub execution, but it does not -support multi-tasking. Only the core processor is supported, and none of the -counters, video hardware or cordic hardware is simulated. Support for multi- -tasking, peripheral hardware and cordic instructions will be added later. - -Spinsim contains a simple debugger, which is enabled with the -d command-line -option. The debugger prints the prompt "DEBUG>" to indicate that it is ready -to accept a command. The "help" command will print the following: - -Debug Commands -help - Print command list -exit - Exit spinsim -step - Run one cycle -stepx - Run next executed instruction -run - Run continuously -verbose # - Set verbosity level -reboot - Reboot the Prop -setbr cog addr - Set breakpoint for cog to addr -state cog - Dump cog state - -The "step" command will run one cycle, and the "stepx" command will run any -non-executing cycles until it encounters an instruction that is executed. -The previous command can be executed again by just pushing the enter key. -This is useful for stepping where the "step" command is typed once, and -the enter key can then be used to step again. - -The "run" command will run until a breakpoint is encountered or ^] is typed. -^] is typed by holding down the control key and pressing the "]" key. -While running, spinsim will print out the results of each cycle as -controlled by the verbosity level, which is set by the "verbose" command. -The verbosity level can also be set with the command-line parameter "-v#". - -The verbosity levels are as follows: - -0 - Disable printing -1 - Print only the executed instructions -2 - Print only the executed instructions, and show the execution results -3 - Print executed and instruction not executed due to condition code -4 - Also print instructions invalidated in the pipeline due to jumps -5 - Also print instructions that include hub and hardware waits -6 - Also print instructions that include icache waits -7 - Also print instructions waiting for a pin state -8 - Print all cycles, including waitcnt waits - -The verbosity level is entered as a hexadecimal number. If the verbosity level -is entered as a single digit it will apply to all cogs. If more than one digit -is entered each digit will be used for each cog, starting with cog 0 for the -right-most digit. As an example, a value of 456 will cause 6 to be used for -cog 0, 5 for cog 1, and 4 for cog 2. All other cogs will use 0. - - -Implemented Opcodes -------------------- -abs add addabs addptra addptrb addptrx addptry adds -addsx addx and andn augd augs bingry blmask -call calla callad callb callbd calld callx callxd -cally callyd chkptrx chkptry clkset clracca clraccb clraccs -clrb clrp cmp cmpcnt cmps cmpsub cmpsx cmpx -cogid coginit cognew cogstop dcachex decd decds decmod -decod2 decod3 decod4 decod5 div32 div32u div64 div64d -div64u djnz djnzd djz djzd encod fixinda fixindb -fixindx frac getacah getacal getacbh getacbl getbyte getcnt -getdivq getdivr getmulh getmull getnib getnp getp getptra -getptrb getptrx getptry getsqrt getword icachen icachep icachex -ijnz ijnzd ijz ijzd incd incds incmod isob -jmp jmpd jmpsw jmpswd jnp jnpd jnz jnzd -jp jpd jz jzd locbase locbyte lockclr locknew -lockret lockset loclong locptra locptrb locword maca macb -max maxs mergew min mins mov mul mul32 -mul32u muxc muxnc muxnz muxz neg negc negnc -negnz negz not notb notp offp onecnt or -pop popzc push pushzc rcl rcr rdaux rdauxr -rdbyte rdbytec rdlong rdlongc rdwide rdwidec rdword rdwordc -repd reps ret reta retad retb retbd retd -retx retxd rety retyd rev rol ror sar -saracca saraccb saraccs setacca setaccb setb setbc setbnc -setbnz setbyte setbz setd seti setindb setindx setnib -setp setpc setpnc setpnz setptra setptrb setptrx setptry -setpz sets setwide setwidz setword setzc seussf seussr -shl shr splitw sqrt32 sqrt64 sub subabs subcnt -subptra subptrb subptrx subptry subr subs subsx subx -sumc sumnc sumnz sumz test testn wait waitcnt -waitpeq waitpne wraux wrauxr wrbyte wrlong wrwide wrword -xor zercnt - - -Opcodes Not Implemented ------------------------ -addphsa addphsb addphss addpix bcdbin binbcd blnpix capctra -capctrb capctrs cfgdac0 cfgdac1 cfgdac2 cfgdac3 cfgdacs cfgpins -cmpr decpat eswap4 eswap8 getcntx getcosa getcosb getlfsr -getphsa getphsb getphza getphzb getpix getqx getqy getqz -getsina getsinb grybin incpat jmplist jmptask locinst mergeb -mixpix movbyts mulpix packrgb passcnt polctra polctrb polvid -qartan qexp qlog qrotate qsincos rolbyte rolnib rolword -scl serina serinb serouta seroutb setbyts setctra setctrb -setctrs setdac0 setdac1 setdac2 setdac3 setdacs setfrqa setfrqb -setfrqs setmap setmix setphsa setphsb setphss setpix setpixa -setpixb setpixg setpixr setpixu setpixv setpixw setpixz setpora -setporb setporc setpord setqi setqz setrace setsera setserb -settask setvid setvidi setvidq setvidy setwava setwavb setwavs -setwrds setx setxch setxft splitb subphsa subphsb subphss -synctra synctrb taskid testb unpkrgb waitpf waitpr waitpx -waitvid +Spinsim 0.97 + +This version of spinsim supports most of the opcodes in the P2 v20 instruction +set. The opcodes that are not supported are as follows: + + xzero xinit xcont clkset setdacs setxfrq getxcos getxsin + setbrk setcy setci setcq setcfrq setcmod getrnd xoro32 + skip skipf execf + +skip, skipf and execf have been partially implemented, but do not handle jumps +or interrupts correctly. + +Spinsim runs in the P1 mode by default. It can be set to run in the P2 mode +with the -t parameter. In the P2 mode, spinsim will load a P2 binary file into +hub RAM, and start cog 0 with the code located at $000. A simulated serial +port is supported by using the -b option. The default baud rate is 115200, but +other rates can be used by specifying it with -b, such as -b9600. The serial +port uses pins 63 and 62 when in the P2 mode. + +Spinsim is built under Linux, MinGW or Cygwin by using the Makefile, and typing +make. The Windows executable, spinsim.exe is included with this distribution. + +The sub-directory verify contains five programs that have been used to test +spinsim against the FPGA implementation. Approximately 150 instructions have +been verified to match the hardware. The verify directory contains the +original C source code and the binary each of the five programs. It also +contains the output from running the test programs on the FPGA. + +A test program can be run by going into the verify directory and typing + +../spinsim -t -b testopsa.bin + +The output can be redirected to a file and compared with the hardware file +to verify that spinsim matches the hardware. + +Spinsim supports the cordic instructions, but implements them with C functions +instead of simulating the cordic hardware. The instructions xvector and +xrotate are functionally equivalent to the P2, but will produce slightly +different results. qdiv and qfract bit exact results as long as the quotient +fits within 32 bits. It produces different results if the quotient overflows +a 32-bit value. The I/O streamer is currently not supported. + +Spinsim contains a simple debugger, which is enabled with the -d command-line +option. The debugger prints the prompt "DEBUG>" to indicate that it is ready +to accept a command. The "help" command will print the following: + +Debug Commands +help - Print command list +exit - Exit spinsim +step - Run one cycle +stepx - Run next executed instruction +run - Run continuously +verbose # - Set verbosity level +reboot - Reboot the Prop +setbr cog addr - Set breakpoint for cog to addr +state cog - Dump cog state +peekc cog addr - Print out a cog memory location +peekh addr - Print out a hub memory location + +The "step" command will run one cycle, and the "stepx" command will run any +non-executing cycles until it encounters an instruction that is executed. +The previous command can be executed again by just pushing the enter key. +This is useful for stepping where the "step" command is typed once, and +the enter key can then be used to step again. + +The "run" command will run until a breakpoint is encountered or ^] is typed. +^] is typed by holding down the control key and pressing the "]" key. +While running, spinsim will print out the results of each cycle as +controlled by the verbosity level, which is set by the "verbose" command. +The verbosity level can also be set with the command-line parameter "-v#". + +The verbosity levels are as follows: + +0 - Disable printing +1 - Print only the executed instructions +2 - Print only the executed instructions, and show the execution results +3 - Print executed and instruction not executed due to condition code +4 - Also print instructions invalidated in the pipeline due to jumps +5 - Also print instructions that include hub and hardware waits +6 - Also print instructions that include icache waits +7 - Also print instructions waiting for a pin state +8 - Print all cycles, including waitcnt waits + +The verbosity level is entered as a hexadecimal number. If the verbosity level +is entered as a single digit it will apply to all cogs. If more than one digit +is entered each digit will be used for each cog, starting with cog 0 for the +right-most digit. As an example, a value of 456 will cause 6 to be used for +cog 0, 5 for cog 1, and 4 for cog 2. All other cogs will use 0. diff --git a/conio.spin b/conio.spin deleted file mode 100755 index 36ff807..0000000 --- a/conio.spin +++ /dev/null @@ -1,136 +0,0 @@ -'****************************************************************************** -' Author: Dave Hein -' Version 1.0 -' Copyright (c) 2010, 2011 -' See end of file for terms of use. -'****************************************************************************** -{{ - This object provides console I/O functions for SpinSim. It implements the same methods as FullDuplexSerial. -}} -con - SYS_COMMAND = $12340000 - SYS_LOCKNUM = $12340002 - SYS_PARM = $12340004 - - SYS_CON_PUTCH = 1 - SYS_CON_GETCH = 2 - -PUB start(rxpin, txpin, mode, baudrate) : okay - ifnot word[SYS_LOCKNUM] - word[SYS_LOCKNUM] := locknew + 1 - return 1 - -PUB stop - - -PUB rxflush - -'' Flush receive buffer - - repeat while rxcheck => 0 - - -PUB rxtime(ms) : rxbyte | t - -'' Wait ms milliseconds for a byte to be received -'' returns -1 if no byte received, $00..$FF if byte - - t := cnt - repeat until (rxbyte := rxcheck) => 0 or (cnt - t) / (clkfreq / 1000) > ms - - -PUB rx : rxbyte - -'' Receive byte (may wait for byte) -'' returns $00..$FF - - repeat while (rxbyte := rxcheck) < 0 - -PUB rxcheck | locknum - locknum := word[SYS_LOCKNUM] - 1 - if locknum == -1 - return -1 - repeat until not lockset(locknum) - word[SYS_COMMAND] := SYS_CON_GETCH - repeat while word[SYS_COMMAND] - result := long[SYS_PARM] - lockclr(locknum) - - -PUB tx(txbyte) | locknum - -'' Send byte (may wait for room in buffer) - locknum := word[SYS_LOCKNUM] - 1 - if locknum == -1 - return - repeat until not lockset(locknum) - long[SYS_PARM] := txbyte - word[SYS_COMMAND] := SYS_CON_PUTCH - repeat while word[SYS_COMMAND] - lockclr(locknum) - - -PUB str(stringptr) - -'' Send string - - repeat strsize(stringptr) - tx(byte[stringptr++]) - - -PUB dec(value) | i, x - -'' Print a decimal number - - x := value == NEGX 'Check for max negative - if value < 0 - value := ||(value+x) 'If negative, make positive; adjust for max negative - tx("-") 'and output sign - - i := 1_000_000_000 'Initialize divisor - - repeat 10 'Loop for 10 digits - if value => i - tx(value / i + "0" + x*(i == 1)) 'If non-zero digit, output digit; adjust for max negative - value //= i 'and digit from value - result~~ 'flag non-zero found - elseif result or i == 1 - tx("0") 'If zero digit (or only digit) output it - i /= 10 'Update divisor - -PUB hex(value, digits) - -'' Print a hexadecimal number - - value <<= (8 - digits) << 2 - repeat digits - tx(lookupz((value <-= 4) & $F : "0".."9", "A".."F")) - 'tx(hexdigit[(value <-= 4) & $F]) - -PUB bin(value, digits) - -'' Print a binary number - - value <<= 32 - digits - repeat digits - tx((value <-= 1) & 1 + "0") - -PUB out(char) - tx(char) -{{ -+------------------------------------------------------------------------------------------------------------------------------+ -| TERMS OF USE: MIT License | -+------------------------------------------------------------------------------------------------------------------------------+ -|Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation | -|files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, | -|modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software| -|is furnished to do so, subject to the following conditions: | -| | -|The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.| -| | -|THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE | -|WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR | -|COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, | -|ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. | -+------------------------------------------------------------------------------------------------------------------------------+ -}} \ No newline at end of file diff --git a/conion.c b/conion.c index 695d41b..d23cbd9 100755 --- a/conion.c +++ b/conion.c @@ -1,73 +1,63 @@ -#ifdef LINUX -#include -#include "conion.h" - -#ifdef STD_CONSOLE_INPUT -void initialize_console_io() -{ -} - -void restore_console_io() -{ -} - -int kbhit(void) -{ - return 0; -} - -char getch(void) -{ - return getchar(); -} -#else -#include -#include -#include - -static struct termios oldt; -static int oldf; -static int lastkey = EOF; -static int initialized = 0; - -void initialize_console_io() -{ - struct termios newt; - tcgetattr(STDIN_FILENO, &oldt); - newt = oldt; - newt.c_lflag &= ~(ICANON | ECHO); - tcsetattr(STDIN_FILENO, TCSANOW, &newt); - oldf = fcntl(STDIN_FILENO, F_GETFL, 0); - fcntl(STDIN_FILENO, F_SETFL, oldf | O_NONBLOCK); - initialized = 1; -} - -void restore_console_io() -{ - if (initialized) - { - initialized = 0; - tcsetattr(STDIN_FILENO, TCSANOW, &oldt); - fcntl(STDIN_FILENO, F_SETFL, oldf); - } -} - -int kbhit(void) -{ - if (lastkey == EOF) - lastkey = getchar(); - - return (lastkey != EOF); -} - -char getch(void) -{ - int ch; - - while (!kbhit()); - ch = lastkey; - lastkey = EOF; - return ch; -} -#endif -#endif +#include +#include "conion.h" + +#ifdef STD_CONSOLE_INPUT +void initialize_console_io() +{ +} + +void restore_console_io() +{ +} + +int kbhit(void) +{ + return 0; +} +#else +#include +#include +#include +#include + +static int initialized = 0; +static struct termios oldt; + +void initialize_console_io(void) +{ + struct termios newt; + + if (initialized) return; + tcgetattr(STDIN_FILENO, &oldt); + newt = oldt; + newt.c_lflag &= ~(ICANON | ECHO | ISIG); + newt.c_iflag &= ~(ICRNL | INLCR); + newt.c_oflag &= ~OPOST; + tcsetattr(STDIN_FILENO, TCSANOW, &newt); + initialized = 1; +} + +void restore_console_io(void) +{ + if (!initialized) return; + tcsetattr(STDIN_FILENO, TCSANOW, &oldt); + initialized = 0; +} + +int kbhit(void) +{ + fd_set set; + struct timeval tv; + + tv.tv_sec = 0; + tv.tv_usec = 0; + FD_ZERO(&set); + FD_SET(STDIN_FILENO, &set); + return select(STDIN_FILENO + 1, &set, NULL, NULL, &tv) > 0; +} +#endif + +int getch(void) +{ + return getchar(); +} diff --git a/conion.h b/conion.h index af3fde6..abf18c9 100755 --- a/conion.h +++ b/conion.h @@ -4,7 +4,7 @@ #undef STD_CONSOLE_INPUT int kbhit(void); -char getch(void); +int getch(void); void initialize_console_io(void); void restore_console_io(void); diff --git a/conion.new b/conion.new new file mode 100755 index 0000000..d23cbd9 --- /dev/null +++ b/conion.new @@ -0,0 +1,63 @@ +#include +#include "conion.h" + +#ifdef STD_CONSOLE_INPUT +void initialize_console_io() +{ +} + +void restore_console_io() +{ +} + +int kbhit(void) +{ + return 0; +} +#else +#include +#include +#include +#include + +static int initialized = 0; +static struct termios oldt; + +void initialize_console_io(void) +{ + struct termios newt; + + if (initialized) return; + tcgetattr(STDIN_FILENO, &oldt); + newt = oldt; + newt.c_lflag &= ~(ICANON | ECHO | ISIG); + newt.c_iflag &= ~(ICRNL | INLCR); + newt.c_oflag &= ~OPOST; + tcsetattr(STDIN_FILENO, TCSANOW, &newt); + initialized = 1; +} + +void restore_console_io(void) +{ + if (!initialized) return; + tcsetattr(STDIN_FILENO, TCSANOW, &oldt); + initialized = 0; +} + +int kbhit(void) +{ + fd_set set; + struct timeval tv; + + tv.tv_sec = 0; + tv.tv_usec = 0; + FD_ZERO(&set); + FD_SET(STDIN_FILENO, &set); + return select(STDIN_FILENO + 1, &set, NULL, NULL, &tv) > 0; +} +#endif + +int getch(void) +{ + return getchar(); +} diff --git a/conion.old b/conion.old new file mode 100755 index 0000000..6be9b94 --- /dev/null +++ b/conion.old @@ -0,0 +1,71 @@ +#include +#include "conion.h" + +#ifdef STD_CONSOLE_INPUT +void initialize_console_io() +{ +} + +void restore_console_io() +{ +} + +int kbhit(void) +{ + return 0; +} + +int getch(void) +{ + return getchar(); +} +#else +#include +#include +#include + +static struct termios oldt; +static int oldf; +static int lastkey = EOF; +static int initialized = 0; + +void initialize_console_io() +{ + struct termios newt; + tcgetattr(STDIN_FILENO, &oldt); + newt = oldt; + newt.c_lflag &= ~(ICANON | ECHO); + tcsetattr(STDIN_FILENO, TCSANOW, &newt); + oldf = fcntl(STDIN_FILENO, F_GETFL, 0); + fcntl(STDIN_FILENO, F_SETFL, oldf | O_NONBLOCK); + initialized = 1; +} + +void restore_console_io() +{ + if (initialized) + { + initialized = 0; + tcsetattr(STDIN_FILENO, TCSANOW, &oldt); + fcntl(STDIN_FILENO, F_SETFL, oldf); + } +} + +int kbhit(void) +{ + if (lastkey == EOF) + lastkey = getchar(); + + return (lastkey != EOF); +} + +int getch(void) +{ + int ch; + + while (!kbhit()); + ch = lastkey; + lastkey = EOF; + return ch; +} +#endif diff --git a/debug.c b/debug.c index dfe3381..d379395 100755 --- a/debug.c +++ b/debug.c @@ -9,14 +9,9 @@ #include #include #include -#ifdef LINUX #include #include #include "conion.h" -#else -#include -#include -#endif #include "interp.h" #include "spindebug.h" #include "eeprom.h" @@ -29,22 +24,26 @@ extern int32_t eeprom; extern char *hubram; extern int32_t printbreak; extern PasmVarsT PasmVars[8]; +extern SerialT serial_in; +extern SerialT serial_out; void GetDebugString(char *ptr); int32_t RunProp(int32_t maxloops); void Help(void) { - printf("Debug Commands\n"); - printf("help - Print command list\n"); - printf("exit - Exit spinsim\n"); - printf("step - Run one cycle\n"); - printf("stepx - Run next executed instruction\n"); - printf("run - Run continuously\n"); - printf("verbose # - Set verbosity level\n"); - printf("reboot - Reboot the Prop\n"); - printf("setbr cog addr - Set breakpoint for cog to addr\n"); - printf("state cog - Dump cog state\n"); + printf("Debug Commands%s", NEW_LINE); + printf("help - Print command list%s", NEW_LINE); + printf("exit - Exit spinsim%s", NEW_LINE); + printf("step - Run one cycle%s", NEW_LINE); + printf("stepx - Run next executed instruction%s", NEW_LINE); + printf("run - Run continuously%s", NEW_LINE); + printf("verbose # - Set verbosity level%s", NEW_LINE); + printf("reboot - Reboot the Prop%s", NEW_LINE); + printf("setbr cog addr - Set breakpoint for cog to addr%s", NEW_LINE); + printf("state cog - Dump cog state%s", NEW_LINE); + printf("peekc cog addr - Peek cog memory%s", NEW_LINE); + printf("peekh addr - Peek hub memory%s", NEW_LINE); } char *SkipChar(char *str, int value) @@ -59,15 +58,15 @@ char *SkipChar(char *str, int value) void DumpState(PasmVarsT *pasmvars) { - printf("cflag = %d, zflag = %d, waitflag = %d\n", - pasmvars->cflag, pasmvars->zflag, pasmvars->waitflag); - printf("ptra = %5.5x, ptrb = %5.5x, ptrx = %2.2x, ptry = %2.2x, inda = %3.3x, indb = %3.3x\n", + printf("cflag = %d, zflag = %d, waitflag = %d%s", + pasmvars->cflag, pasmvars->zflag, pasmvars->waitflag, NEW_LINE); + printf("ptra = %5.5x, ptrb = %5.5x, ptrx = %2.2x, ptry = %2.2x, inda = %3.3x, indb = %3.3x%s", pasmvars->ptra, pasmvars->ptra, pasmvars->ptrx, - pasmvars->ptry, pasmvars->inda, pasmvars->indb); - printf("pc1 = %8.8x, instruct1 = %8.8x\n", pasmvars->pc1, pasmvars->instruct1); - printf("pc2 = %8.8x, instruct2 = %8.8x\n", pasmvars->pc2, pasmvars->instruct2); - printf("pc3 = %8.8x, instruct3 = %8.8x\n", pasmvars->pc3, pasmvars->instruct3); - printf("pc4 = %8.8x, instruct4 = %8.8x\n", pasmvars->pc4, pasmvars->instruct4); + pasmvars->ptry, pasmvars->inda, pasmvars->indb, NEW_LINE); + printf("pc1 = %8.8x, instruct1 = %8.8x%s", pasmvars->pc1, pasmvars->instruct1, NEW_LINE); + printf("pc2 = %8.8x, instruct2 = %8.8x%s", pasmvars->pc2, pasmvars->instruct2, NEW_LINE); + printf("pc3 = %8.8x, instruct3 = %8.8x%s", pasmvars->pc3, pasmvars->instruct3, NEW_LINE); + printf("pc4 = %8.8x, instruct4 = %8.8x%s", pasmvars->pc4, pasmvars->instruct4, NEW_LINE); } void Debug(void) @@ -84,7 +83,7 @@ void Debug(void) { while (1) { - printf("\nDEBUG> "); + printf("%sDEBUG> ", NEW_LINE); fflush(stdout); GetDebugString(buffer); if (buffer[0] == 0) strcpy(buffer, lastcmd); @@ -139,9 +138,21 @@ void Debug(void) { int cognum, address; sscanf(buffer+6, "%x %x", &cognum, &address); - PasmVars[cognum&7].breakpnt = address; + PasmVars[cognum&15].breakpnt = address; LONG(SYS_DEBUG) = printflag; } + else if (!strncmp(buffer, "peekc ", 6)) + { + int cognum, address; + sscanf(buffer+6, "%x %x", &cognum, &address); + printf("%8.8x%s", PasmVars[cognum&15].mem[address&511], NEW_LINE); + } + else if (!strncmp(buffer, "peekh ", 6)) + { + int address; + sscanf(buffer+6, "%x", &address); + printf("%8.8x%s", hubram[address], NEW_LINE); + } else if (!strcmp(buffer, "reboot")) { RebootProp(); @@ -152,7 +163,7 @@ void Debug(void) DumpState(&PasmVars[cognum]); } else - printf("?\n"); + printf("?%s", NEW_LINE); } if (runflag) RunProp(maxloops); if (stepflag) @@ -168,6 +179,7 @@ void Debug(void) void GetDebugString(char *ptr) { int value; + char *ptr0 = ptr; while (1) { @@ -175,12 +187,25 @@ void GetDebugString(char *ptr) while (!kbhit()); #endif value = getch(); - putchx(value); + if (value == 8 || value == 0x7f) + { + if (ptr != ptr0) + { + ptr--; + putchx(8); + putchx(' '); + putchx(8); + } + continue; + } if (value == 13 || value == 10) { + putchx(13); + putchx(10); *ptr = 0; return; } + putchx(value); *ptr++ = value; } } @@ -195,8 +220,8 @@ int32_t RunProp(int32_t maxloops) CheckCommand(); if (baudrate) { - CheckSerialOut(); - if (CheckSerialIn()) return 1; + CheckSerialOut(&serial_out); + if (CheckSerialIn(&serial_in)) return 1; } if (eeprom) CheckEEProm(); diff --git a/eeprom.c b/eeprom.c index e1f1d68..1657437 100755 --- a/eeprom.c +++ b/eeprom.c @@ -6,12 +6,12 @@ '******************************************************************************/ #include #include +#include #include #include -#include #include "spinsim.h" -extern int32_t pin_val; +extern int32_t pin_val_a; extern int32_t eeprom; static int32_t scl_prev = 1; @@ -28,8 +28,8 @@ static unsigned char memory[256*256]; void CheckEEProm() { - int32_t scl = (pin_val >> 28) & 1; - int32_t sda = (pin_val >> 29) & 1; + int32_t scl = (pin_val_a >> 28) & 1; + int32_t sda = (pin_val_a >> 29) & 1; if (!eeprom) return; @@ -136,7 +136,7 @@ void CheckEEProm() } if (drivepin) { - pin_val = (pin_val & (~(1 << 29))) | (driveval << 29); + pin_val_a = (pin_val_a & (~(1 << 29))) | (driveval << 29); sda = driveval; } scl_prev = scl; @@ -148,7 +148,7 @@ static FILE *OpenFile(char *fname, char *mode) FILE *file = fopen(fname, mode); if (!file) { - printf("Could not open %s\n", fname); + printf("Could not open %s%s", fname, NEW_LINE); spinsim_exit(1); } return file; diff --git a/fileio.spin b/fileio.spin deleted file mode 100755 index 0ebd040..0000000 --- a/fileio.spin +++ /dev/null @@ -1,189 +0,0 @@ -'****************************************************************************** -' Author: Dave Hein -' Version 1.0 -' Copyright (c) 2010, 2011 -' See end of file for terms of use. -'****************************************************************************** -{{ - This object provide file I/O functions for SpinSim. It currently implements only popen, pclose and pread. - The methods a similar to the ones the FSRW object. A dummy mount routine is provide for compatibility. -}} -con - SYS_COMMAND = $12340000 - SYS_LOCKNUM = $12340002 - SYS_PARM = $12340004 - - SYS_FILE_OPEN = 3 - SYS_FILE_CLOSE = 4 - SYS_FILE_READ = 5 - SYS_FILE_WRITE = 6 - SYS_FILE_OPENDIR = 7 - SYS_FILE_CLOSEDIR = 8 - SYS_FILE_READDIR = 9 - SYS_FILE_SEEK = 10 - SYS_FILE_TELL = 11 - SYS_FILE_REMOVE = 12 - SYS_FILE_CHDIR = 13 - SYS_FILE_GETCWD = 14 - SYS_FILE_MKDIR = 15 - SYS_FILE_GETMOD = 16 - -dat - handle0 long 0 - dirhand0 long 0 - direntbuf long 0[20] - -'**************************** -' FSRW Routines -'**************************** -pub mount(pin) - ifnot word[SYS_LOCKNUM] - word[SYS_LOCKNUM] := locknew + 1 - -pub mount_explicit(DO, CLK, DI, CS) - ifnot word[SYS_LOCKNUM] - word[SYS_LOCKNUM] := locknew + 1 - -pub popen(fname, mode) - pclose - handle0 := hopen(fname, mode) - ifnot handle0 - return -1 - -pub pclose - if handle0 - hclose(handle0) - handle0~ - -pub pread(buffer, num) - return hread(handle0, buffer, num) - -pub pwrite(buffer, num) - return hwrite(handle0, buffer, num) - -pub opendir - if dirhand0 - hclosedir(dirhand0) - dirhand0 := hopendir - ifnot dirhand0 - return -1 - -pub nextfile(fbuf) - return hnextfile(dirhand0, fbuf) - -pub seek(position) - result := hseek(handle0, position) - -pub tell - result := htell(handle0) - -pub get_filesize - result := hget_filesize(handle0) - -'**************************** -' handle Routines -'**************************** -pub hopen(fname, mode) - if mode => "a" and mode =< "z" - mode -= "a" - "A" - if mode == "R" - mode := string("rb") - elseif mode == "W" - mode := string("wb") - elseif mode == "A" - mode := string("ab") - elseif mode == "D" - return SystemCall(SYS_FILE_REMOVE, fname) - else - return 0 - result := SystemCall(SYS_FILE_OPEN, @fname) - -pub hclose(handle) - result := SystemCall(SYS_FILE_CLOSE, handle) - -pub hread(handle, buffer, num) - result := SystemCall(SYS_FILE_READ, @handle) - -pub hwrite(handle, buffer, num) - result := SystemCall(SYS_FILE_WRITE, @handle) - -pub hopendir - result := SystemCall(SYS_FILE_OPENDIR, 0) - -pub hclosedir(handle) - result := SystemCall(SYS_FILE_CLOSEDIR, handle) - -pub hnextfile(handle, fbuf) | num - result := hreaddir(handle) - if result - num := strsize(@direntbuf[2]) - if num > 31 - num := 31 - bytemove(fbuf, @direntbuf[2], num) - byte[fbuf][num] := 0 - -pub hreaddir(handle) | pdirent - pdirent := @direntbuf - result := SystemCall(SYS_FILE_READDIR, @handle) - if result - result := @direntbuf - -pub hseek(handle, position) | whence - whence~ - result := SystemCall(SYS_FILE_SEEK, @handle) - -pub htell(handle) - result := SystemCall(SYS_FILE_TELL, handle) - -pub hget_filesize(handle) | offset, whence, position, filesize - position := SystemCall(SYS_FILE_TELL, handle) - offset~ - whence := 2 - SystemCall(SYS_FILE_SEEK, @handle) - filesize := SystemCall(SYS_FILE_TELL, handle) - offset := position - whence~ - SystemCall(SYS_FILE_SEEK, @handle) - return filesize - -pub chdir(path) - result := SystemCall(SYS_FILE_CHDIR, path) - -pub getcwd(str, num) - result := SystemCall(SYS_FILE_CHDIR, @str) - -pub mkdir(path) - result := SystemCall(SYS_FILE_MKDIR, path) - -pub getmod(fname) - result := SystemCall(SYS_FILE_GETMOD, fname) - -pub SystemCall(command, parm) | locknum - locknum := word[SYS_LOCKNUM] - 1 - if locknum == -1 - return -1 - - repeat until not lockset(locknum) - long[SYS_PARM] := parm - word[SYS_COMMAND] := command - repeat while word[SYS_COMMAND] - result := long[SYS_PARM] - lockclr(locknum) -{{ -+------------------------------------------------------------------------------------------------------------------------------+ -| TERMS OF USE: MIT License | -+------------------------------------------------------------------------------------------------------------------------------+ -|Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation | -|files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, | -|modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software| -|is furnished to do so, subject to the following conditions: | -| | -|The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.| -| | -|THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE | -|WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR | -|COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, | -|ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. | -+------------------------------------------------------------------------------------------------------------------------------+ -}} - diff --git a/gdb.c b/gdb.c index 4872bc1..1812762 100755 --- a/gdb.c +++ b/gdb.c @@ -8,14 +8,9 @@ #include #include #include -#ifdef LINUX #include #include #include "conion.h" -#else -#include -#include -#endif #include "interp.h" #include "spinsim.h" @@ -27,7 +22,6 @@ extern FILE *cmdfile; extern PasmVarsT PasmVars[8]; extern char *hubram; char cmd[1028]; -extern int32_t proptwo; extern int32_t profile; extern int32_t loopcount; diff --git a/interp.h b/interp.h index 09d8a25..2350b90 100755 --- a/interp.h +++ b/interp.h @@ -19,6 +19,16 @@ #define INVALIDATE_INSTR 0x80000000 +typedef struct SerialS { + int32_t mode; + int32_t flag; + int32_t state; + int32_t count; + int32_t value; + int32_t pin_num; + int32_t bitcycles; +} SerialT; + // This struct is used by the PASM simulator typedef struct PasmVarsS { int32_t mem[512]; @@ -31,6 +41,7 @@ typedef struct PasmVarsS { int32_t waitmode; int32_t breakpnt; // P2 variables + int32_t lut[512]; int32_t instruct1; int32_t instruct2; int32_t instruct3; @@ -39,10 +50,16 @@ typedef struct PasmVarsS { int32_t pc2; int32_t pc3; int32_t pc4; - int32_t dcachehubaddr; - int32_t dcachecogaddr; - int32_t icachehubaddr[4]; - int32_t icachenotused[4]; + int32_t str_fifo_buffer[16]; + int32_t str_fifo_rindex; + int32_t str_fifo_windex; + int32_t str_fifo_head_addr; + int32_t str_fifo_tail_addr; + int32_t str_fifo_addr0; + int32_t str_fifo_addr1; + int32_t str_fifo_mode; + int32_t str_fifo_work_word; + int32_t str_fifo_work_flag; int32_t ptra; int32_t ptra0; int32_t ptrb; @@ -61,23 +78,64 @@ typedef struct PasmVarsS { int32_t repbot; int32_t reptop; int32_t repforever; - int32_t dcache[8]; - int32_t icache[4][8]; - int32_t retstack[4]; - int32_t auxram[256]; + int32_t retstack[8]; int32_t printflag; int32_t retptr; - int32_t divq; - int32_t divr; - int32_t divisor; - int32_t mulcount; + int32_t memflag; + int32_t qreg; + int32_t qxreg; + int32_t qyreg; + int32_t qxposted; + int32_t qyposted; + int32_t cordic_count; + int32_t cordic_depth; + int32_t qxqueue[3]; + int32_t qyqueue[3]; int32_t augsvalue; int32_t augsflag; int32_t augdvalue; int32_t augdflag; + int32_t altsflag; + int32_t altsvalue; + int32_t altdflag; + int32_t altdvalue; + int32_t altrflag; + int32_t altrvalue; + int32_t altiflag; + int32_t altivalue; + int32_t altnflag; + int32_t altnvalue; + int32_t altsvflag; + int32_t altsvvalue; int32_t prefetch; int32_t sqrt; int32_t lastd; + int32_t phase; + int32_t rwrep; + int32_t cntreg1; + int32_t cntreg2; + int32_t cntreg3; + int32_t intflags; + int32_t intstate; + int32_t intenable1; + int32_t intenable2; + int32_t intenable3; + int32_t pinpatmode; + int32_t pinpatmask; + int32_t pinpattern; + int32_t pinedge; + int32_t lockedge; + int32_t rdl_mask; + int32_t wrl_mask; + int32_t blnpix_var; + int32_t mixpix_mode; + int32_t share_lut; + uint32_t skip_mask; + uint32_t skip_mode; + SerialT serina; + SerialT serinb; + SerialT serouta; + SerialT seroutb; int64_t acca; int64_t accb; int64_t mul; @@ -125,13 +183,21 @@ void RebootProp(void); int32_t GetCnt(void); void UpdatePins(void); int32_t MAP_ADDR(int32_t addr); -void DebugPasmInstruction(PasmVarsT *pasmvars); -int ExecutePasmInstruction(PasmVarsT *pasmvars); -void DebugPasmInstruction2(PasmVarsT *pasmvars); -int ExecutePasmInstruction2(PasmVarsT *pasmvars); void StartCog(SpinVarsT *spinvars, int par, int cogid); +int CheckSerialIn(SerialT *serial); +void CheckSerialOut(SerialT *serial); +int SerialSend(SerialT *serial, int portval); +void SerialReceive(SerialT *serial, int portval); +void SerialInit(SerialT *serial, int pin_num, int baudrate, int mode); void StartPasmCog(PasmVarsT *pasmvars, int par, int addr, int cogid); -void StartPasmCog2(PasmVarsT *pasmvars, int par, int addr, int cogid); +void StartPasmCog2(PasmVarsT *pasmvars, int par, int addr, int cogid, int hubexec); +void StartPasmCog3(PasmVarsT *pasmvars, int par, int addr, int cogid); +void DebugPasmInstruction(PasmVarsT *pasmvars); +void DebugPasmInstruction2(PasmVarsT *pasmvars); +void DebugPasmInstruction3(PasmVarsT *pasmvars); +int ExecutePasmInstruction(PasmVarsT *pasmvars); +int ExecutePasmInstruction2(PasmVarsT *pasmvars); +int ExecutePasmInstruction3(PasmVarsT *pasmvars); /* + -----------------------------------------------------------------------------------------------------------------------------+ | TERMS OF USE: MIT License | diff --git a/p1spin/Simple_Serial.spin b/p1spin/Simple_Serial.spin deleted file mode 100755 index a7a8f2b..0000000 Binary files a/p1spin/Simple_Serial.spin and /dev/null differ diff --git a/p1spin/dry11.spin b/p1spin/dry11.spin deleted file mode 100755 index 48884f7..0000000 --- a/p1spin/dry11.spin +++ /dev/null @@ -1,243 +0,0 @@ -'********************************************** -' Dhrystone 1.1 -'********************************************** - -OBJ - ser : "Simple_Serial" - -CON - _clkmode = xtal1+pll16x - _clkfreq = 80000000 - LOOPS = 500 - - Ident1 = 1 - Ident2 = 2 - Ident3 = 3 - Ident4 = 4 - Ident5 = 5 - -' typedef struct Record - PtrComp = 0 - Discr = 4 - EnumComp = 8 - IntComp = 12 - StringComp = 16 - - NULL = 0 - -DAT - Version byte "1.1", 0 - Array1Glob long 0[51] - Array2Glob long 0[51*51] - xxx long 0[12] - yyy long 0[12] - PtrGlb long 0 - PtrGlbNext long 0 - IntGlob long 0 - BoolGlob long 0 - Char1Glob long 0 - Char2Glob long 0 - String1Loc long 0[8] - String2Loc long 0[8] - starttime long 0 - benchtime long 0 - nulltime long 0 - -PUB Dhrystone11 - - PtrGlb := @xxx - PtrGlbNext := @yyy - Proc0 - -{ - * Package 1 - } -PUB Proc0 | IntLoc1, IntLoc2, IntLoc3, CharLoc, CharIndex, EnumLoc, i - starttime := time_msec - i := 0 - repeat while (i < LOOPS) - ++i - nulltime := time_msec - starttime { Computes o'head of loop } - long[PtrGlb + PtrComp] := PtrGlbNext - long[PtrGlb + Discr] := Ident1 - long[PtrGlb + EnumComp] := Ident3 - long[PtrGlb + IntComp] := 40 - strcpy((PtrGlb + StringComp), string("DHRYSTONE PROGRAM, SOME STRING")) - strcpy(@String1Loc, string("DHRYSTONE PROGRAM, 1'ST STRING")) {GOOF} - long[@Array2Glob][8 * 51 + 7] := 10 { Was missing in published program } - {**************** - -- Start Timer -- - ****************} - starttime := time_msec - i := 0 - repeat while (i < LOOPS) - Proc5 - Proc4 - IntLoc1 := 2 - IntLoc2 := 3 - strcpy(@String2Loc, string("DHRYSTONE PROGRAM, 2'ND STRING")) - EnumLoc := Ident2 - BoolGlob := not Func2(@String1Loc, @String2Loc) - repeat while (IntLoc1 < IntLoc2) - IntLoc3 := 5 * IntLoc1 - IntLoc2 - Proc7(IntLoc1, IntLoc2, @IntLoc3) - ++IntLoc1 - Proc8(@Array1Glob, @Array2Glob, IntLoc1, IntLoc3) - Proc1(PtrGlb) - byte[@CharIndex] := "A" - repeat while (byte[@CharIndex] =< Char2Glob) - if (EnumLoc == Func1(byte[@CharIndex], "C")) - Proc6(Ident1, @EnumLoc) - byte[@CharIndex] := byte[@charIndex] + 1 - IntLoc3 := IntLoc2 * IntLoc1 - IntLoc2 := IntLoc3 / IntLoc1 - IntLoc2 := 7 *(IntLoc3 - IntLoc2) - IntLoc1 - Proc2(@IntLoc1) - ++i - {**************** - -- Stop Timer -- - ****************} - benchtime := time_msec - starttime - nulltime - ser.str(string("Dhrystone(")) - ser.str(@Version) - ser.str(string(") time for ")) - ser.dec(LOOPS) - ser.str(string(" passes = ")) - ser.dec(benchtime) - ser.str(string(" msec", 13)) - ser.str(string("This machine benchmarks at ")) - ser.dec(LOOPS * 1000 / benchtime) - ser.str(string(" dhrystones/second", 13)) - -PUB Proc1(PtrParIn) - memcpy(long[PtrParIn + PtrComp], PtrGlb, 48) - long[PtrParIn + IntComp] := 5 - long[long[PtrParIn + PtrComp] + IntComp] := long[PtrParIn + IntComp] - long[long[PtrParIn + PtrComp] + PtrComp] := long[PtrParIn + PtrComp] - Proc3(long[long[PtrParIn + PtrComp] + PtrComp]) - if (long[long[PtrParIn + PtrComp] + Discr] == Ident1) - long[long[PtrParIn + PtrComp] + IntComp] := 6 - Proc6(long[PtrParIn + EnumComp], long[PtrParIn + PtrComp] + EnumComp) - long[long[PtrParIn + PtrComp] + PtrComp] := long[PtrGlb + PtrComp] - Proc7(long[long[PtrParIn + PtrComp] + IntComp], 10, long[PtrParIn + PtrComp] + IntComp) - else - memcpy(PtrParIn, long[PtrParIn + PtrComp], 48) - -PUB Proc2(IntParIO) | IntLoc, EnumLoc - IntLoc := long[IntParIO] + 10 - - repeat 'while () - if (Char1Glob == "A") - --IntLoc - long[IntParIO] := IntLoc - IntGlob - EnumLoc := Ident1 - if (EnumLoc == Ident1) - quit - -PUB Proc3(PtrParOut) - if (PtrGlb <> NULL) - PtrParOut := long[PtrGlb + PtrComp] - else - IntGlob := 100 - Proc7(10, IntGlob, PtrGlb + IntComp) - -PUB Proc4 | BoolLoc - BoolLoc := Char1Glob == "A" - BoolLoc |= BoolGlob - Char2Glob := "B" - -PUB Proc5 - Char1Glob := "A" - BoolGlob := FALSE - -PUB Proc6(EnumParIn, EnumParOut) - long[EnumParOut] := EnumParIn - ifnot Func3(EnumParIn) - long[EnumParOut] := Ident4 - if EnumParIn == Ident1 - long[EnumParOut] := Ident1 - elseif EnumParIn == Ident2 - if (IntGlob > 100) - long[EnumParOut] := Ident1 - else - long[EnumParOut] := Ident4 - elseif EnumParIn == Ident3 - long[EnumParOut] := Ident2 - elseif (EnumParIn == Ident4) or (EnumParIn == Ident5) - long[EnumParOut] := Ident3 - -PUB Proc7(IntParI1, IntParI2, IntParOut) | IntLoc - IntLoc := IntParI1 + 2 - long[IntParOut] := IntParI2 + IntLoc - -PUB Proc8(Array1Par, Array2Par, IntParI1, IntParI2) | IntLoc, IntIndex - IntLoc := IntParI1 + 5 - long[Array1Par][IntLoc] := IntParI2 - long[Array1Par][IntLoc + 1] := long[Array1Par][IntLoc] - long[Array1Par][IntLoc + 30] := IntLoc - IntIndex := IntLoc - repeat while (IntIndex =<(IntLoc + 1)) - long[Array2Par][IntLoc * 51 + IntIndex] := IntLoc - ++IntIndex - ++long[Array2Par][IntLoc * 51 + IntLoc - 1] - long[Array2Par][(IntLoc + 20) * 51 + IntLoc] := long[Array1Par][IntLoc] - IntGlob := 5 - -PUB Func1(CharPar1, CharPar2) | CharLoc1, CharLoc2 - byte[@CharLoc1] := byte[@CharPar1] - byte[@CharLoc2] := byte[@CharLoc1] - if (byte[@CharLoc2] <> byte[@CharPar2]) - return (Ident1) - else - return (Ident2) - -PUB Func2(StrParI1, StrParI2) | IntLoc, CharLoc - IntLoc := 1 - repeat while (IntLoc =< 1) - if (Func1(byte[StrParI1][IntLoc], byte[StrParI2][IntLoc + 1]) == Ident1) - byte[@CharLoc] := "A" - ++IntLoc - if (byte[@CharLoc] => "W" and byte[@CharLoc] =< "Z") - IntLoc := 7 - if (byte[@CharLoc] == "X") - return (TRUE) - else - if (strcmp(StrParI1, StrParI2) > 0) - IntLoc += 7 - return (TRUE) - else - return (FALSE) - -PUB Func3(EnumParIn) | EnumLoc - EnumLoc := EnumParIn - if (EnumLoc == Ident3) - return (TRUE) - return (FALSE) - -PUB strcpy(dst, src) - bytemove(dst, src, strsize(src) + 1) -{ - repeat strsize(src) - byte[dst++] := byte[src++] -} - -PUB memcpy(dst, src, num) - bytemove(dst, src, num) -{ - repeat num - byte[dst++] := byte[src++] -} - -PUB strcmp(str1, str2) - result := not strcomp(str1, str2) -{ - repeat while byte[str1] - if byte[str1] <> byte[str2] - quit - str1++ - str2++ - return byte[str1] - byte[str2] -} - -PUB time_msec - return cnt / (_clkfreq/1000) diff --git a/p1spin/p1spin.spin b/p1spin/p1spin.spin deleted file mode 100755 index e016a3b..0000000 --- a/p1spin/p1spin.spin +++ /dev/null @@ -1,2069 +0,0 @@ -'****************************************************************************** -' P1 Spin Interpreter for P2 -' -' Copyright (c) 2014, Dave Hein -' See end of file for terms of use. -'****************************************************************************** -CON - _clkmode = xtal1+pll16x - _clkfreq = 80_000_000 - -DAT - orgh $380 - org - - ' Add address offset to Spin header -startup mov temp1, ptestprog - add temp1, #6 - mov temp3, #5 -:loop rdword temp2, temp1 - add temp2, ptestprog - wrword temp2, temp1 - add temp1, #2 - djnz temp3, @:loop - - ' Clear VAR area - mov temp1, ptestprog - add temp1, #8 ' Get address of vbase - rdword temp2, temp1 ' Get vbase - add temp1, #2 ' Get address of dbase - rdword temp3, temp1 ' Get dbase - sub temp3, temp2 ' Compute number of bytes - shr temp3, #2 ' Compute number of longs - mov temp4, #0 -:loop1 wrlong temp4, temp2 - add temp2, #4 - djnz temp3, @:loop1 - - ' Initialize stack frame and result variable - mov temp1, ptestprog - add temp1, #10 ' Get address of dbase - rdword temp1, temp1 ' Get dbase - mov temp2, #0 - wrlong temp2, temp1 ' Initialize result to zero - sub temp1, #2 - wrword pexitcode, temp1 ' Set return address to exit code - sub temp1, #6 - mov temp2, #2 - wrword temp2, temp1 ' Write first word of stack frame - - ' Start the Spin interpreter - mov temp1, pinterp - mov temp2, ptestprog - add temp2, #4 - coginit temp1, temp2, #0 - -temp1 long 0 -temp2 long 0 -temp3 long 0 -temp4 long 0 -pinterp long @interp -ptestprog long @testprog -ppbase long @pbase -pexitcode long @exitcode - - orgh - -DAT - -' This table decodes the Spin bytecode. -jump_table -' 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F - word {00} ldfrmr, ldfrmr, ldfrmr, ldfrmr, _jmp, _call, callobj,callobx - word {08} _tjz, _djnz, _jz, _jnz, casedon,caseval,caseran,lookdon - word {10} lookupv,lookdnv,lookupr,lookdnr,_pop, _run, _strsiz,_strcmp - word {18} _bytefl,_wordfl,_longfl,_waitpe,_bytemv,_wordmv,_longmv,_waitpn - - word {20} _clkset,cogstp, lckret, _waitcn,rwregx, rwregx, rwregx, _waitvi - word {28} _cogini,lcknewr,lcksetr,lckclrr,_cogini,lcknew, lckset, lckclr - word {30} _abort, abrtval,_ret, retval, ldlix, ldlix, ldlix, ldlip - word {38} ldbi, ldwi, ldmi, ldli, unsupp, rwregb, rwregbs,rwreg - - word {40} ldlvc, stlvc, exlvc, lalvc, ldlvc, stlvc, exlvc, lalvc - word {48} ldlvc, stlvc, exlvc, lalvc, ldlvc, stlvc, exlvc, lalvc - word {50} ldlvc, stlvc, exlvc, lalvc, ldlvc, stlvc, exlvc, lalvc - word {58} ldlvc, stlvc, exlvc, lalvc, ldlvc, stlvc, exlvc, lalvc - - word {60} ldllc, stllc, exllc, lallc, ldllc, stllc, exllc, lallc - word {68} ldllc, stllc, exllc, lallc, ldllc, stllc, exllc, lallc - word {70} ldllc, stllc, exllc, lallc, ldllc, stllc, exllc, lallc - word {78} ldllc, stllc, exllc, lallc, ldllc, stllc, exllc, lallc - - word {80} ldba, stba, exba, la_a, ldbo, stbo, exbo, la_o - word {88} ldbv, stbv, exbv, la_v, ldbl, stbl, exwl, la_l - word {90} ldbax, stbax, exbax, labax, ldbox, stbox, exbox, labox - word {98} ldbvx, stbvx, exbvx, labvx, ldblx, stblx, exblx, lablx - - word {A0} ldwa, stwa, exwa, la_a, ldwo, stwo, exwo, la_o - word {A8} ldwv, stwv, exwv, la_v, ldwl, stwl, exwl, la_l - word {B0} ldwax, stwax, exwax, lawax, ldwox, stwox, exwox, lawox - word {B8} ldwvx, stwvx, exwvx, lawvx, ldwlx, stwlx, exwlx, lawlx - - word {C0} ldla, stla, exla, la_a, ldlo, stlo, exlo, la_o - word {C8} ldlv, stlv, exlv, la_v, ldll, stll, exll, la_l - word {D0} ldlax, stlax, exlax, lalax, ldlox, stlox, exlox, lalox - word {D8} ldlvx, stlvx, exlvx, lalvx, ldllx, stllx, exllx, lallx - - word {E0} _ror, _rol, _shr, _shl, _min, _max, _neg, _com - word {E8} _and, _abs, _or, _xor, _add, _sub, _sar, _rev - word {F0} _andl, encode, _orl, decode, _mul, _mulh, _div, _mod - word {F8} _sqrt, _cmplt, _cmpgt, _cmpne, _cmpeq, _cmple, _cmpge, _notl - -' This table decodes the extra byte that is used to perform extended operations. -jump_table_ex -' 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F - word {00} store, unsupx, repeatx,unsupx, unsupx, unsupx, repeats,unsupx - word {08} randf, unsupx, unsupx, unsupx, randr, unsupx, unsupx, unsupx - word {10} sexb, unsupx, unsupx, unsupx, sexw, unsupx, unsupx, unsupx - word {18} postclr,unsupx, unsupx, unsupx, postset,unsupx, unsupx, unsupx - - word {20} unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, preinc, unsupx - word {28} unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, postinc,unsupx - word {30} unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, predec, unsupx - word {38} unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, postdec,unsupx - - word {40} _rorx, _rolx, _shrx, _shlx, _minx, _maxx, _negx, _comx - word {48} _andx, _absx, _orx, _xorx, _addx, _subx, _sarx, _revx - word {50} _andlx, encodex,_orlx, decodex,_mulx, _mulhx, _divx, _modx - word {58} _sqrtx, _cmpltx,_cmpgtx,_cmpnex,_cmpeqx,_cmplex,_cmpgex,_notlx - - word {60} unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, unsupx - word {68} unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, unsupx - word {70} unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, unsupx - word {78} unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, unsupx, unsupx - - ' Bytecodes for cogstop(cogid) -exitcode byte $3f, $89, $21 - - org 0 - -'*********************************************************************** -' Copy 5 Spin state variable to registers -'*********************************************************************** -interp setinda #pbase - reps #5, #1 - cogid id - rdword inda++,++ptra - jmp #loop - long 0[3] - -'*********************************************************************** -' Push x to the stack -'*********************************************************************** -pushx1 wrlong x, dcurr - add dcurr, #4 - -'*********************************************************************** -' This is the main loop that fetches bytecodes and executes them -'*********************************************************************** -loop mov t1, jumps - rdbyte op,pcurr 'get opcode - add pcurr,#1 - add t1, op - add t1, op - rdword t2, t1 - jmp t2 - -'*********************************************************************** -' This code handles extended operators, such as ++ and += -'*********************************************************************** -exoplong add adr, op2 -exoplong1 rdlong x, adr - mov savex, #exoplong2 - jmp #exop1 - -exopword add adr, op2 -exopword1 rdword x, adr - mov savex, #exopword2 - jmp #exop1 - -exopbyte add adr, op2 -exopbyte1 rdbyte x, adr - mov savex, #exopbyte2 - -exop1 rdbyte op2,pcurr - mov t1, op2 - and t1, #$7f - shl t1, #1 - add t1, jumpx - rdword t2, t1 - add pcurr,#1 - jmp t2 - -'*********************************************************************** -' This code saves the result from the extended operation -'*********************************************************************** -postsave add savex, #1 - jmp savex - -exopbyte2 mov y, x -postbyte wrbyte y, adr - and x, #$ff - jmp #exopdone - -exopword2 mov y, x -postword wrword y, adr - and x, maskword - jmp #exopdone - -exoplong2 mov y, x -postlong wrlong y, adr - -exopdone test op2, #$80 wc 'check for load bit - mov savex, #pushx1 - if_c jmp #pushx1 - if_nc jmp #loop - -'*********************************************************************** -' Load Immediate Instructions -'*********************************************************************** -' -' Load Long Immediate 1, 0 and -1 -ldlix sub op, #$35 - mov x, op - jmp #pushx1 - -' Load Long Immediate Packed -ldlip mov x, #2 - rdbyte y,pcurr 'constant mask, get data byte - add pcurr,#1 - rol x,y 'decode, x = 2 before - test y,#%001_00000 wc 'decrement? - if_c sub x,#1 - test y,#%010_00000 wc 'not? - if_c xor x,masklong - jmp #pushx1 - -' Load Byte Immediate -ldbi call #fetchbyte - jmp #pushx1 - -' Load Word Immediate -ldli call #fetchbyte - mov a, #3 - jmp #addbytes - -' Load Word Immediate -ldmi call #fetchbyte - mov a, #2 - jmp #addbytes - -' Load Word Immediate -ldwi call #fetchbyte - mov a, #1 - -addbytes shl x, #8 - rdbyte y, pcurr - add pcurr, #1 - or x, y - djnz a, @addbytes - jmp #pushx1 - -'*********************************************************************** -' Compact Local Variable Instructions -'*********************************************************************** -' Load Long Local Compact -ldllc mov op2, dbase - mov adr, op - and adr, #$1c - -ldlong add adr, op2 - rdlong x, adr - jmp #pushx1 - -' Store Long Local Compact -stllc mov adr, op - and adr, #$1c - mov op2, dbase - -stlong add adr, op2 - call #popx1 - wrlong x, adr - jmp #loop - -' Execute Long Local Compact -exllc mov adr, op - and adr, #$1c - mov op2, dbase - jmp #exoplong - -' Load Address Long Local Compact -lallc and op, #$1c - add op, dbase - mov x, op - jmp #pushx1 - -'*********************************************************************** -' DAT Variable Instructions -'*********************************************************************** - -' Load Long Object -ldlo call #getadrz - mov adr, pbase - jmp #ldlong - -' Store Long Object -stlo call #getadrz - mov adr, pbase - jmp #stlong - -' Execute Long Object -exlo call #getadrz - mov adr, pbase - jmp #exoplong - -' Load Address Object -la_o call #getadrz - mov x, op2 - add x, pbase - jmp #pushx1 - -'*********************************************************************** -' Indexed DAT Variable Instructions -'*********************************************************************** - -' Load Byte Object with Index -ldbox call #getadrz - call #pop_adr - add adr, pbase - jmp #ldbyte - -ldbyte add adr, op2 - rdbyte x, adr - jmp #pushx1 - -ldword add adr, op2 - rdword x, adr - jmp #pushx1 - -' Store Byte Object with Index -stbox call #getadrz - call #pop_adr - add adr, pbase - jmp #stbyte - -stbyte add adr, op2 - call #popx1 - wrbyte x, adr - jmp #loop - -stword add adr, op2 - call #popx1 - wrword x, adr - jmp #loop - -' Load Address Byte Object with Index -labox call #getadrz - call #popx1 - add x, op2 - add x, pbase - jmp #pushx1 - -'*********************************************************************** -' Absolute Address Instructions -'*********************************************************************** - -' Load Byte Absolute -ldba call #popy1 - jmp #ldba1 - -' Load Word Absolute -ldwa call #popy1 - jmp #ldwa1 - -' Load Long Absolute -ldla call #popy1 - if_z jmp #ldclkfreq - jmp #ldla1 - -ldbax call #popay - add y, a -ldba1 rdbyte x, y - jmp #pushx1 - -' Load Word Absolute with Index -ldwax call #popay - shl a, #1 - add y, a -ldwa1 rdword x, y - jmp #pushx1 - -' Load Long Absolute with Index -ldlax call #popay - shl a, #2 - add y, a -ldla1 rdlong x, y - jmp #pushx1 - -'*********************************************************************** -' Jump Instructions -'*********************************************************************** - -' Test and Jump on Zero -_tjz call #getadrs - call #popx1 - if_nz add dcurr, #4 - jmp #_jz1 - -' Jump on Zero -_jz call #getadrs - call #popx1 -_jz1 if_z add pcurr, op2 - jmp #loop - -' Decrement and Jump on NonZero -_djnz call #getadrs - call #popx1 - sub x, #1 wz - if_nz add pcurr, op2 - if_nz jmp #pushx1 - jmp #loop - -' Jump on NonZero -_jnz call #getadrs - call #popx1 - if_nz add pcurr, op2 - jmp #loop - -' Jump -_jmp call #getadrs - add pcurr, op2 - jmp #loop - -'*********************************************************************** -' Math Instructions -'*********************************************************************** -_shr call #popyx - shr x, y - jmp savex - -_shl call #popyx - shl x, y - jmp savex - -_ror call #popyx - rol x, y - jmp savex - -_rol call #popyx - rol x, y - jmp savex - -' Complement -_com call #popx1 - xor x, masklong - jmp savex - -_and call #popyx - and x, y - jmp savex - -' Absolute -_abs call #popx1 - abs x, x - jmp savex - -_or call #popyx - or x, y - jmp savex - -' Add -_add call #popyx - add x, y - jmp savex - -' Subtract -_sub call #popyx - sub x, y - jmp savex - -_neg call #popx1 - neg x, x - jmp savex - -_xor call #popyx - xor x, y - jmp savex - -_sar call #popyx - sar x, y - jmp savex - -' Or Logical -_orl call #popx1 - if_nz neg x, #1 - call #popy1 - if_nz neg x, #1 - jmp savex - -_andl call #popx1 - if_nz neg x, #1 - call #popy1 - if_z mov x, #0 - jmp savex - -_notl call #popx1 - muxz x, masklong - jmp savex - -_mul call #popyx - mul32 x, y - getmull x - jmp savex - -_mulh call #popyx - mul32 x, y - getmulh x - jmp savex - -_div call #popyx - div32 x, y - getdivq x - jmp savex - -_mod call #popyx - div32 x, y - getdivr x - jmp savex - -' Compare if Less Than -_cmplt call #popyx - cmps x, y wz, wc - muxc x, masklong - jmp savex - -' Compare if Greater Than -_cmpgt call #popyx - cmps x, y wz, wc - if_nz_and_nc neg x, #1 - if_z_or_c mov x, #0 - jmp savex - -' Compare if Less than or Equal -_cmple call #popyx - cmps x, y wz, wc - if_nz_and_nc mov x, #0 - if_z_or_c neg x, #1 - jmp savex - -' Compare if Not Equal -_cmpne call #popyx - sub x, y wz - if_nz neg x, #1 - jmp savex - - -' Compare if Equal -_cmpeq call #popyx - sub x, y wz - jmp #_notlx - -' Compare if Greater than or Equal -_cmpge call #popyx - cmps x, y wz, wc - muxnc x, masklong - jmp savex - -retval call #popx1 - jmp #_ret1 -_ret rdlong x,dbase -_ret1 call #return1 -pushz if_z jmp #pushx1 - if_nz jmp #loop - -'*********************************************************************** -' Calling and Return Instructions -'*********************************************************************** -return1 mov dcurr,dbase 'restore dcurr - sub dcurr,#2 'pop pcurr - rdword pcurr,dcurr - sub dcurr,#2 'pop dbase - rdword dbase,dcurr - sub dcurr,#2 'pop vbase - rdword vbase,dcurr - sub dcurr,#2 'pop pbase (and flags) - rdword pbase,dcurr - test pbase,#%01 wz 'get push flag - test pbase,#%10 wc 'get abort flag - and pbase,maskpar 'trim pbase - ret - -' Load Stack Frame -ldfrmr -ldfrm -ldfrmar -ldfrma jmp #loadframe - -callobj mov a, #0 -callobj1 call #getcallparms - add pbase, x - add vbase, y - -_call mov a, #0 - call #getcallparms - jmp #callmethod - -' Read values from the Method Table -getcallparms rdbyte y,pcurr 'get method table entry number - add pcurr,#1 - add y,a 'add index - shl y,#2 'lookup words from table - add y,pbase - rdlong y,y - mov x,y 'get low word - and x,maskword - shr y,#16 'get high word - ret - -' Call Method -callmethod mov dbase,dcall 'get new dcall - rdword dcall,dcall 'set old dcall - wrword pcurr,dbase 'set return pcurr - add dbase,#2 'set call dbase - add dcurr,y 'set call dcurr - mov pcurr,pbase 'set call pcurr - add pcurr,x - jmp #loop - -loadframe or op,pbase 'add pbase into flags - wrword op,dcurr 'push pbase plus flags - add dcurr,#2 - wrword vbase,dcurr 'push vbase - add dcurr,#2 - wrword dbase,dcurr 'push dbase - add dcurr,#2 - wrword dcall,dcurr 'push dcall - mov dcall,dcurr 'set new dcall - add dcurr,#2 - mov x, #0 'init result to 0 - jmp #pushx1 - -_cogini1 coginit y, a, #0 - jmp #pushz - -'*********************************************************************** -' Helper Functions -'*********************************************************************** -' Get Unsigned Address -getadrz rdbyte op2,pcurr - test op2,#$80 wc - and op2,#$7F - jmp #getadr2 -' Get Signed Address -getadrs rdbyte op2,pcurr - test op2,#$80 wc - shl op2,#25 - sar op2,#25 -getadr2 add pcurr,#1 - if_nc ret - rdbyte t2,pcurr - add pcurr,#1 - shl op2,#8 - or op2,t2 - ret - -' Fetch a bytecode -fetchbyte rdbyte x, pcurr - add pcurr, #1 - ret - -' Pop a from the stack -popayx sub dcurr,#4 - rdlong a,dcurr - -' Pop y from the stack -popyx sub dcurr,#4 - rdlong y,dcurr - -' Pop x from the stack -popx1 sub dcurr,#4 - rdlong x,dcurr wz - ret - -' Pop a from the stack -popay sub dcurr,#4 - rdlong a,dcurr - -' Pop y from the stack -popy1 sub dcurr, #4 - rdlong y, dcurr wz - ret - -' Pop adr from the stack -pop_adr sub dcurr,#4 - rdlong adr,dcurr - ret - -'*********************************************************************** -' Extended Instructions -'*********************************************************************** -store call #popx1 - jmp savex - -postclr mov y, #0 - jmp #postsave - -postset neg y, #1 - jmp #postsave - -postinc mov y, x - add y, #1 - jmp #postsave - -postdec mov y, x - sub y, #1 - jmp #postsave - -_rolx call #popy1 - rol x, y - jmp savex - -_shlx call #popy1 - shl x, y - jmp savex - -_divx call #popy1 - jmp #_div+1 - -_modx call #popy1 - jmp #_mod+1 - -_notlx muxz x, masklong - jmp savex - -_rorx call #popy1 - ror x, y - jmp savex - -_shrx call #popy1 - shr x, y - jmp savex - -_andx call #popy1 - and x, y - jmp savex - -_absx abs x, x - jmp savex - -_negx neg x, x - jmp savex - -_comx xor x, masklong - jmp savex - -_orx call #popy1 - or x, y - jmp savex - -'*********************************************************************** -' Read/Write Register Instructions -'*********************************************************************** -rwreg0 rdbyte op, pcurr - add pcurr, #1 - mov adr, op -rwreg1 and adr, #$1f - add adr, #$1e0 - call #mapreg - mov regrevflag, #0 - mov reglsb, t1 - mov regnbits, t2 - sub regnbits,reglsb wc - if_c neg regnbits, regnbits - if_c mov reglsb, t2 - if_c mov regrevflag, #1 - xor regnbits, #31 - neg regmask, #1 - shr regmask, regnbits - test op, #$20 wz - if_z jmp #ldreg - -streg sets streg1, adr - setd streg2, adr - call #popx1 - and x, regmask - cmp regrevflag, #0 wz - if_nz rev x, regnbits - shl regmask, reglsb -streg1 mov y, 0-0 - andn y, regmask - shl x, reglsb - or y, x -streg2 mov 0-0, y - jmp #loop - -ldreg sets ldreg1, adr - nop - cmp adr, #$1f1 wz - if_z getcnt x -ldreg1 if_nz mov x, 0-0 - mov regsave, x - shr x, reglsb - and x, regmask - cmp regrevflag, #0 wz - if_nz rev x, regnbits - test op, #$40 wz - if_z jmp #pushx1 - mov savex, #exopreg2 - jmp #exop1 - -exopreg2 mov y, x -postreg setd exopreg3, adr - and x, regmask - and y, regmask - cmp regrevflag, #0 wz - if_nz rev y, regnbits - shl y, reglsb - shl regmask, reglsb - andn regsave, regmask - or y, regsave -exopreg3 mov 0-0, y - jmp #exopdone - - ' Mapping for port A -mapreg cmp adr, #$1f2 wz 'P1 INA - if_z mov adr, #$1f4 'P2 PINA - if_z ret - cmp adr, #$1f4 wz 'P1 OUTA - if_z mov adr, #$1f8 'P2 OUTA - if_z ret - cmp adr, #$1f6 wz 'P1 DIRA - if_z mov adr, #$1fc 'P2 DIRA - ret -{ - ' Mapping for port C -mapreg cmp adr, #$1f2 wz 'P1 INA - if_z mov adr, #$1f6 'P2 PINC - if_z ret - cmp adr, #$1f4 wz 'P1 OUTA - if_z mov adr, #$1fa 'P2 OUTC - if_z ret - cmp adr, #$1f6 wz 'P1 DIRA - if_z mov adr, #$1fe 'P2 DIRC - ret -} -regrevflag long 0 -reglsb long 0 -regmask long 0 -regnbits long 0 -regsave long 0 - -'*********************************************************************** -' Constants and Variables -'*********************************************************************** -bitcycles long 80000000 / 115200 -jumps long @jump_table -jumpx long @jump_table_ex -savex long pushx1 -masklong long $FFFFFFFF -maskword long $FFFF -maskpar long $0000FFFC - fit $1E9 - - org $1E9 -id res 1 -dcall res 1 -pbase res 1 -vbase res 1 -dbase res 1 -pcurr res 1 -dcurr res 1 - - fit $1F0 - - org 0 -x res 1 -y res 1 -a res 1 -t1 res 1 -t2 res 1 -op res 1 -op2 res 1 -adr res 1 - -'*********************************************************************** -' These instructions execute from hub memory -'*********************************************************************** - orgh - -' casedone -casedon sub dcurr, #8 - rdlong pcurr, dcurr - add pcurr, pbase - jmp #loop - -' casevalue -caseval call #getadrs - call #popyx - add dcurr, #4 - cmp x, y wz - if_z add pcurr, op2 - jmp #loop - -' caserange -caseran call #getadrs - call #popayx - add dcurr, #4 - cmps a, y wz, wc - if_nz_and_nc jmp #caseran1 - cmps a, x wz, wc - if_nz_and_nc jmp #loop - cmps x, y wz, wc - if_nz_and_nc jmp #loop - add pcurr, op2 - jmp #loop -caseran1 cmps y, x wz, wc - if_nz_and_nc jmp #loop - cmps x, a wz, wc - if_nz_and_nc jmp #loop - add pcurr, op2 - jmp #loop - -' lookdone -lookdon sub dcurr, #12 - mov x, #0 - jmp #pushx1 - -' lookupval -lookupv call #pop_adr - call #popayx - add dcurr, #12 - cmps a, x wz, wc - if_c jmp #lookupv1 - if_z jmp #lookupv2 - add x, #1 - sub dcurr, #12 - wrlong x, dcurr - add dcurr, #12 - jmp #loop -lookupv1 mov pcurr, y - add pcurr, pbase - sub dcurr, #12 - mov x, #0 - jmp #pushx1 -lookupv2 mov pcurr, y - add pcurr, pbase - sub dcurr, #12 - mov x, adr - jmp #pushx1 -' lookdnval -lookdnv call #popyx - add dcurr, #4 - cmp x, y wz - if_nz jmp #lookdnv1 - sub dcurr, #8 - rdlong pcurr, dcurr - add pcurr, pbase - jmp #loop -lookdnv1 sub dcurr, #12 - rdlong x, dcurr - add x, #1 - wrlong x, dcurr - add dcurr, #12 - jmp #loop - -' lookuprange -lookupr call #popyx - mov t1, y - mov t2, x - call #popayx - add dcurr, #12 - mov adr, t1 - sub adr, t2 - abs adr, adr - sub a, x wc - if_c jmp #lookupr1 - cmp a, adr wc, wz - if_c_or_z jmp #lookupr2 - add x, adr - add x, #1 - sub dcurr, #12 - wrlong x, dcurr - add dcurr, #12 - jmp #loop -lookupr1 sub dcurr, #12 - mov pcurr, y - add pcurr, pbase - mov x, #0 - jmp #pushx1 -lookupr2 sub dcurr, #12 - mov pcurr, y - add pcurr, pbase - cmp t2, t1 wc, wz - mov x, t2 - if_c_or_z add x, a - if_nc_and_nz sub x, a - jmp #pushx1 - -' lookdnrange -lookdnr call #popayx - add dcurr, #4 - mov t1, a - mov t2, y - max t1, y ' Get minimum - min t2, a ' Get maximum - cmps x, t1 wc - if_c jmp #lookdnr1 - cmps t2, x wc - if_c jmp #lookdnr1 - sub dcurr, #8 - rdlong pcurr, dcurr - add pcurr, pbase - call #pop_adr - cmps y, a wc - sub x, y - if_nc neg x, x - add x, adr - jmp #pushx1 -lookdnr1 sub dcurr, #12 - rdlong x, dcurr - add x, #1 - add x, t2 - sub x, t1 - wrlong x, dcurr - add dcurr, #12 - jmp #loop - -_waitcn call #popx1 - waitcnt x, #0 - jmp #loop - -_waitpe call #popayx - test a, #1 wz - getcnt t1 - ' Port A and B -:loop if_nz waitpeq x, y, #1 wc - if_z waitpeq x, y, #0 wc -{ - ' Port C and D -:loop if_nz waitpeq x, y, #3 wc - if_z waitpeq x, y, #2 wc -} - if_c jmp #:loop - jmp #loop - -_waitpn call #popayx - test a, #1 wz - getcnt t1 -:loop if_z waitpne x, y, #1 wc - if_nz waitpne x, y, #0 wc - if_c jmp #:loop - jmp #loop - -cogstp call #popx1 - cogstop x - jmp #loop - -_cogini call #popayx 'coginit, pop parameters - test x, #8 wc - and x, #7 - setnib _cogini1, x, #6 - test op,#%100 wz 'push result? - if_nc jmp #_cogini1 - cognew y, a wc - if_c neg x, #1 '-1 if c, else 0..7 - if_nc mov x, y '-1 if c, else 0..7 - jmp #pushz - -callobx call #popx1 - mov a, x - jmp #callobj1 - -_pop call #popx1 - sub dcurr, x - jmp #loop - -abrtval call #popx1 - jmp #_abort1 -_abort rdlong x,dbase -_abort1 call #return1 - if_nc jmp #_abort1 - jmp #pushz - -rwreg mov t2, #31 - mov t1, #0 - jmp #rwreg0 - -rwregb call #popx1 - and x, #31 - mov t2, x - mov t1, x - jmp #rwreg0 - -rwregbs call #popyx - and x, #31 - and y, #31 - mov t2, x - mov t1, y - jmp #rwreg0 - -rwregx call #pop_adr - or adr, #$10 - shl op, #5 - jmp #rwreg1 - -'*********************************************************************** -' Prepare to start a Spin cog -'*********************************************************************** - 'run(metindex, stackaddr) -_run call #popyx - - 'stackptr := (metindex >> 8) << 2 - mov adr, x - shr adr, #8 - shl adr, #2 wz - - 'metindex := ((metindex & 255) << 2) + PBASE - and x, #255 - shl x, #2 - add x, pbase - - 'skip bytemove if no parms - if_z jmp #_run1 - - 't2 := stackaddr + 12 - mov t2, y - add t2, #12 - - 't1 := DCURR - stackptr - mov t1, dcurr - sub t1, adr - - 'a := stackptr - mov a, adr - - 'bytemove(t2, t1, a) -:loop rdbyte op2, t1 - add t1, #1 - wrbyte op2, t2 - add t2, #1 - djnz a, @:loop - - 'pop(stackptr) - sub dcurr, adr - - 'long[stackaddr] := -1 -_run1 neg t1, #1 - wrlong t1, y - add y, #4 - - 'long[stackaddr][1] := (@exitcode << 16) - mov t1, ##@exitcode - shl t1, #16 - wrlong t1, y - add y, #4 - - 'word[stackaddr][2] := 0 - mov t1, #0 - wrlong t1, y - - 'stackptr += stackaddr + 12 - add adr, y - add adr, #4 - - 'word[stackptr][1] := pbase - add adr, #2 - wrword pbase, adr - - 'word[stackptr][2] := vbase - add adr, #2 - wrword vbase, adr - - 'word[stackptr][3] := stackaddr + 8 - add adr, #2 - wrword y, adr - - 'word[stackptr][4] := word[metindex] + PBASE - add adr, #2 - rdword t1, x - add t1, pbase - wrword t1, adr - - 'word[stackptr][5] := word[metindex][1] + stackptr - add adr, #2 - add x, #2 - rdword t1, x - add t1, adr - sub t1, #10 - wrword t1, adr - sub adr, #10 - - '(-1, @interp, stackptr) - neg t1, #1 - wrlong t1, dcurr - add dcurr, #4 - mov t1, ##@interp - wrlong t1, dcurr - add dcurr, #4 - wrlong adr, dcurr - add dcurr, #4 - - jmp #loop - -'*********************************************************************** -' String, Block Move and Block Fill Instructions -'*********************************************************************** -' strsize -_strsiz call #popx1 - mov y, x -:loop rdbyte a, x wz - if_nz add x, #1 - if_nz jmp #:loop - sub x, y - jmp #pushx1 - -' strcomp -_strcmp call #popyx -:loop rdbyte t1, x wz - add x, #1 - if_z jmp #_strcmp1 - rdbyte t2, y wz - add y, #1 - if_z jmp #_strcmp2 - cmp t1, t2 wz - if_z jmp #:loop -_strcmp2 mov x, #0 - jmp #pushx1 -_strcmp1 rdbyte t2, y wz - jmp #_notlx - -' longfill -_longfl call #popayx -:loop wrlong y, x - add x, #4 - djnz a, @:loop - jmp #loop - -' wordfill -_wordfl call #popayx -:loop wrword y, x - add x, #2 - djnz a, @:loop - jmp #loop - -' bytefill -_bytefl call #popayx -:loop wrbyte y, x - add x, #1 - djnz a, @:loop - jmp #loop - -' longmove -_longmv call #popayx -:loop rdlong t1, y - add y, #4 - wrlong t1, x - add x, #4 - djnz a, @:loop - jmp #loop - -' wordmove -_wordmv call #popayx -:loop rdword t1, y - add y, #2 - wrword t1, x - add x, #2 - djnz a, @:loop - jmp #loop - -' bytemove -_bytemv call #popayx -:loop rdbyte t1, y - add y, #1 - wrbyte t1, x - add x, #1 - djnz a, @:loop - jmp #loop - -'*********************************************************************** -' Lock instructions -'*********************************************************************** -lcknewr locknew x wc - if_c neg x, #1 - jmp #pushx1 - -lcksetr call #popx1 - lockset x wc - if_c neg x, #1 - if_nc mov x, #0 - jmp #pushx1 - -lckclrr call #popx1 - lockclr x wc - if_c neg x, #1 - if_nc mov x, #0 - jmp #pushx1 - -lcknew locknew x - jmp #loop - -lckset call #popx1 - lockset x - jmp #loop - -lckclr call #popx1 - lockclr x - jmp #loop - -lckret call #popx1 - lockret x - jmp #loop - -'*********************************************************************** -' Local Variable Instructions -'*********************************************************************** - -' Load Long Var -ldll call #getadrz - mov adr, dbase - jmp #ldlong - -' Store Long Var -stll call #getadrz - mov adr, dbase - jmp #stlong - -' Execute Long Var -exll call #getadrz - mov adr, dbase - jmp #exoplong - -' Load Address Var -la_l call #getadrz - mov x, op2 - add x, dbase - jmp #pushx1 - -' Load Word Var -ldwl call #getadrz - mov adr, dbase - jmp #ldword - -' Store Word Var -stwl call #getadrz - mov adr, dbase - jmp #stword - -' Execute Word Var -exwl call #getadrz - mov adr, dbase - jmp #exopword - -' Load Byte Var -ldbl call #getadrz - mov adr, dbase - jmp #ldbyte - -' Store Byte Var -stbl call #getadrz - mov adr, dbase - jmp #stbyte - -' Execute Byte Var -exbl call #getadrz - mov adr, dbase - jmp #exopbyte - -'*********************************************************************** -' Compact VAR Variable Instructions -'*********************************************************************** -' Load Long Local Compact -ldlvc mov op2, vbase - mov adr, op - and adr, #$1c - jmp #ldlong - -' Store Long Local Compact -stlvc mov adr, op - and adr, #$1c - mov op2, vbase - jmp #stlong - -' Execute Long Local Compact -exlvc mov adr, op - and adr, #$1c - mov op2, vbase - jmp #exoplong - -' Load Address Long Local Compact -lalvc and op, #$1c - add op, vbase - mov x, op - jmp #pushx1 - -'*********************************************************************** -' VAR Variable Instructions -'*********************************************************************** - -' Load Long Var -ldlv call #getadrz - mov adr, vbase - jmp #ldlong - -' Store Long Var -stlv call #getadrz - mov adr, vbase - jmp #stlong - -' Load Word Var -ldwv call #getadrz - mov adr, vbase - jmp #ldword - -' Store Word Var -stwv call #getadrz - mov adr, vbase - jmp #stword - -' Load Byte Var -ldbv call #getadrz - mov adr, vbase - jmp #ldbyte - -' Store Byte Var -stbv call #getadrz - mov adr, vbase - jmp #stbyte - -' Execute Long Var -exlv call #getadrz - mov adr, vbase - jmp #exoplong - -' Execute Word Var -exwv call #getadrz - mov adr, vbase - jmp #exopword - -' Execute Byte Var -exbv call #getadrz - mov adr, vbase - jmp #exopbyte - -' Load Address Var -la_v call #getadrz - mov x, op2 - add x, vbase - jmp #pushx1 - -'*********************************************************************** -' Indexed Local Variable Instructions -'*********************************************************************** - -' Load Long Local with Index -ldllx call #getadrz - call #pop_adr - shl adr, #2 - add adr, dbase - jmp #ldlong - -' Store Long Local with Index -stllx call #getadrz - call #pop_adr - shl adr, #2 - add adr, dbase - jmp #stlong - -' Execute Long Local with Index -exllx call #getadrz - call #pop_adr - shl adr, #2 - add adr, dbase - jmp #exoplong - -' Load Address Long Local with Index -lallx call #getadrz - call #popx1 - shl x, #2 - add x, op2 - add x, dbase - jmp #pushx1 - -' Load Word Local with Index -ldwlx call #getadrz - call #pop_adr - shl adr, #1 - add adr, dbase - jmp #ldword - -' Store Word Local with Index -stwlx call #getadrz - call #pop_adr - shl adr, #1 - add adr, dbase - jmp #stword - -' Execute Word Local with Index -exwlx call #getadrz - call #pop_adr - shl adr, #1 - add adr, dbase - jmp #exopword - -' Load Address Word Local with Index -lawlx call #getadrz - call #popx1 - shl x, #1 - add x, op2 - add x, dbase - jmp #pushx1 - -' Load Byte Local with Index -ldblx call #getadrz - call #pop_adr - add adr, dbase - jmp #ldbyte - -' Store Byte Local with Index -stblx call #getadrz - call #pop_adr - add adr, dbase - jmp #stbyte - -' Execute Byte Local with Index -exblx call #getadrz - call #pop_adr - add adr, dbase - jmp #exopbyte - -' Load Address Byte Local with Index -lablx call #getadrz - call #popx1 - add x, op2 - add x, dbase - jmp #pushx1 - -'*********************************************************************** -' Indexed VAR Variable Instructions -'*********************************************************************** - -' Load Long VAR with Index -ldlvx call #getadrz - call #pop_adr - shl adr, #2 - add adr, vbase - jmp #ldlong - -' Store Long VAR with Index -stlvx call #getadrz - call #pop_adr - shl adr, #2 - add adr, vbase - jmp #stlong - -' Execute Long VAR with Index -exlvx call #getadrz - call #pop_adr - shl adr, #2 - add adr, vbase - jmp #exoplong - -' Load Address Long VAR with Index -lalvx call #getadrz - call #popx1 - shl x, #2 - add x, op2 - add x, vbase - jmp #pushx1 - -' Load Word VAR with Index -ldwvx call #getadrz - call #pop_adr - shl adr, #1 - add adr, vbase - jmp #ldword - -' Store Word VAR with Index -stwvx call #getadrz - call #pop_adr - shl adr, #1 - add adr, vbase - jmp #stword - -' Execute Word VAR with Index -exwvx call #getadrz - call #pop_adr - shl adr, #1 - add adr, vbase - jmp #exopword - -' Load Address Word VAR with Index -lawvx call #getadrz - call #popx1 - shl x, #1 - add x, op2 - add x, vbase - jmp #pushx1 - -' Load Byte VAR with Index -ldbvx call #getadrz - call #pop_adr - add adr, vbase - jmp #ldbyte - -' Store Byte VAR with Index -stbvx call #getadrz - call #pop_adr - add adr, vbase - jmp #stbyte - -' Execute Byte VAR with Index -exbvx call #getadrz - call #pop_adr - add adr, vbase - jmp #exopbyte - -' Load Address Byte VAR with Index -labvx call #getadrz - call #popx1 - add x, op2 - add x, vbase - jmp #pushx1 - -'*********************************************************************** -' DAT Variable Instructions -'*********************************************************************** - -' Load Word Object -ldwo call #getadrz - mov adr, pbase - jmp #ldword - -' Store Word Object -stwo call #getadrz - mov adr, pbase - jmp #stword - -' Execute Word Object -exwo call #getadrz - mov adr, pbase - jmp #exopword - -' Load Byte Object -ldbo call #getadrz - mov adr, pbase - jmp #ldbyte - -' Store Byte Object -stbo call #getadrz - mov adr, pbase - jmp #stbyte - -' Execute Byte Object -exbo call #getadrz - mov adr, pbase - jmp #exopbyte - -'*********************************************************************** -' Indexed DAT Variable Instructions -'*********************************************************************** - -' Load Long Object with Index -ldlox call #getadrz - call #pop_adr - shl adr, #2 - add adr, pbase - jmp #ldlong - -' Store Long Object with Index -stlox call #getadrz - call #pop_adr - shl adr, #2 - add adr, pbase - jmp #stlong - -' Execute Long Object with Index -exlox call #getadrz - call #pop_adr - shl adr, #2 - add adr, pbase - jmp #exoplong - -' Load Address Long Object with Index -lalox call #getadrz - call #popx1 - shl x, #2 - add x, op2 - add x, pbase - jmp #pushx1 - -' Load Word Object with Index -ldwox call #getadrz - call #pop_adr - shl adr, #1 - add adr, pbase - jmp #ldword - -' Store Word Object with Index -stwox call #getadrz - call #pop_adr - shl adr, #1 - add adr, pbase - jmp #stword - -' Execute Word Object with Index -exwox call #getadrz - call #pop_adr - shl adr, #1 - add adr, pbase - jmp #exopword - -' Load Address Word Object with Index -lawox call #getadrz - call #popx1 - shl x, #1 - add x, op2 - add x, pbase - jmp #pushx1 - -' Execute Byte Object with Index -exbox call #getadrz - call #pop_adr - add adr, pbase - jmp #exopbyte - -'*********************************************************************** -' Absolute Address Instructions -'*********************************************************************** - -' Store Byte Absolute -stba call #popyx - jmp #stba1 - -' Store Word Absolute -stwa call #popyx - jmp #stwa1 - -' Store Long Absolute -stla call #popyx - jmp #stla1 - -' Execute Long Absolute -exla sub dcurr, #4 - rdlong adr, dcurr - jmp #exoplong1 - -' Execute Word Absolute -exwa sub dcurr, #4 - rdlong adr, dcurr - jmp #exopword1 - -' Execute Byte Absolute -exba sub dcurr, #4 - rdlong adr, dcurr - jmp #exopbyte1 - -' Load Address Absolute (NOP) -la_a jmp #loop - -'*********************************************************************** -' Absolute Address with Index Instructions -'*********************************************************************** -' Load Byte Absolute with Index -' Store Byte Absolute with Index -stbax call #popayx - add y, a -stba1 wrbyte x, y - jmp #loop - -' Store Word Absolute with Index -stwax call #popayx - shl a, #1 - add y, a -stwa1 wrword x, y - jmp #loop - -' Store Long Absolute with Index -stlax call #popayx - shl a, #2 - add y, a -stla1 wrlong x, y - jmp #loop - -' Execute Long Absolute with Index -exlax call #popay - shl a, #2 - mov adr, y - add adr, a - jmp #exoplong1 - -' Load Address Long Absolute with Index -lalax call #popyx - shl y, #2 - add x, y - jmp #pushx1 - -' Execute Word Absolute with Index -exwax call #popay - shl a, #1 - mov adr, y - add adr, a - jmp #exopword1 - -' Load Address Word Absolute with Index -lawax call #popyx - shl y, #1 - add x, y - jmp #pushx1 - -' Execute Word Absolute with Index -exbax call #popay - mov adr, y - add adr, a - jmp #exopbyte1 - -' Load Address Byte Absolute with Index -labax call #popyx - add x, y - jmp #pushx1 - -'*********************************************************************** -' Extended Instructions -'*********************************************************************** - -sexb shl x, #24 - sar x, #24 - jmp savex - -sexw shl x, #16 - sar x, #16 - jmp savex - -preinc add x, #1 - jmp savex - -predec sub x, #1 - jmp savex - -' Repeat-from-to-step Instruction -repeats call #popay - sub dcurr, #4 - rdlong t1, dcurr - jmp #repeaty - -' Repeat-from-to Instruction -repeatx - call #popay 'pop data (a=to, y=from, t1=step) - mov t1, #1 -repeaty call #getadrs - cmps a,y wc 'reverse range? - sumc x,t1 'add/sub step to/from var - call #range 'check if x in range y..a according to c - if_nc add pcurr,op2 'if in range, branch - mov op2, #0 'ensure we don't push to the stack - jmp savex - -' Check range -' must be preceded by: cmps a,y wc -' -range if_c xor a,y 'if reverse range, swap range values - if_c xor y,a - if_c xor a,y - cmps x,y wc 'c=0 if x within range - if_nc cmps a,x wc - ret - -randf neg t1, #1 wz - jmp #rand1 -randr mov t1, #0 wz -rand1 min x,#1 - mov y,#32 - mov a,#%10111 - if_z ror a,#1 -rand2 test x,a wc - if_nz rcr x,#1 - if_z rcl x,#1 - djnz y,@rand2 - jmp savex - -_sarx call #popy1 - sar x, y - jmp savex - -_mulx call #popy1 - mul32 x, y - getmull x - jmp savex - -_mulhx call #popy1 - mul32 x, y - getmulh x - jmp savex - -_addx call #popy1 - add x, y - jmp savex - -_subx call #popy1 - sub x, y - jmp savex - -_xorx call #popy1 - xor x, y - jmp savex - -'*********************************************************************** -' Math Instructions -'*********************************************************************** -_min call #popyx - min x, y - jmp savex - -_max call #popyx - max x, y - jmp savex - -_rev call #popyx - rev x, y - jmp savex - -decode call #popy1 - mov x, #1 - shl x, y - jmp savex - -encode call #popy1 - mov x, #1 -encode1 if_z jmp savex - add x, #1 - shr y, #1 wz - jmp #encode1 - -_sqrt call #popx1 -_sqrtx sqrt32 x - getsqrt x - jmp savex - -'*********************************************************************** -' Extended Math Instructions -'*********************************************************************** -_andlx cmp x, #0 wz - jmp #_andl+1 - -_orlx cmp x, #0 wz - jmp #_orl+1 - -_cmpltx call #popy1 - jmp #_cmplt+1 - -_cmpgtx call #popy1 - jmp #_cmpgt+1 - -_cmpnex call #popy1 - jmp #_cmpne+1 - -_cmpeqx call #popy1 - jmp #_cmpeq+1 - -_cmplex call #popy1 - jmp #_cmple+1 - -_cmpgex call #popy1 - jmp #_cmpge+1 - -_minx call #popy1 - min x, y - jmp savex - -_maxx call #popy1 - max x, y - jmp savex - -_revx call #popy1 - rev x, y - jmp savex - -decodex mov y, x - jmp #decode+1 - -encodex mov y, x - jmp #encode+1 - -'*********************************************************************** -' This code is used when absolute address 0 is accessed -'*********************************************************************** -ldclkfreq mov x, ##_clkfreq - jmp #pushx1 - -'*********************************************************************** -' Trap for unsupported instructions -'*********************************************************************** -_waitvi -_clkset -unsupp mov op2, #0 -unsupx mov t1, ##@str1 - call #putstr - mov t1, op - call #puthex - mov x, #" " - call #putch - mov t1, op2 - call #puthex - mov x, #13 - call #putch - cogid x - cogstop x - -puthex rol t1, #28 - call #puthexdigit - rol t1, #4 - call #puthexdigit - ret - -puthexdigit mov x, #15 - and x, t1 - mov y, ##@hexdigits - add y, x - rdbyte x, y - call #putch - ret - -putstr rdbyte x, t1 wz - if_z ret - add t1, #1 - call #putch - jmp #putstr - -putch or x, #$100 - shl x, #1 - mov y, #10 - getcnt a - add a, bitcycles -:loop ror x, #1 wc - 'setpc #30 - setpc #90 - waitcnt a, bitcycles - djnz y, @:loop - ret - -str1 byte "Unsupported opcode ", 0 -hexdigits byte "0123456789ABCDEF" - -'*********************************************************************** -' Spin program binary file -'*********************************************************************** -testprog file "test.binary" - -{{ -+-----------------------------------------------------------------------------+ -| TERMS OF USE: MIT License | -+-----------------------------------------------------------------------------+ -|Permission is hereby granted, free of charge, to any person obtaining a copy | -|of this software and associated documentation files (the "Software"), to deal| -|in the Software without restriction, including without limitation the rights | -|to use, copy, modify, merge, publish, distribute, sublicense, and/or sell | -|copies of the Software, and to permit persons to whom the Software is | -|furnished to do so, subject to the following conditions: | -| | -|The above copyright notice and this permission notice shall be included in | -|all copies or substantial portions of the Software. | -| | -|THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR | -|IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, | -|FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE | -|AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER | -|LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,| -|OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE| -|SOFTWARE. | -+-----------------------------------------------------------------------------+ -}} diff --git a/p1spin/spasm.txt b/p1spin/spasm.txt deleted file mode 100755 index 8ff1249..0000000 --- a/p1spin/spasm.txt +++ /dev/null @@ -1,351 +0,0 @@ -SPASM(1) User Commands SPASM(1) - -NAME - spasm - spin assembler - -SYNOPSIS - spasm [-l] [-d] FILE - -DESCRIPTION - Spasm assembles the source file given by FILE. The file name may - include the extension, or .spa will be assumed if no extension is - specified. The assembled binary program will written to a file with - the same root name plus a .bin extension. If -l is specified, it will - print an assembly listing. If -d is specified, spasm will print debug - information. - - Spasm is an assembly language that defines mnemonics for Spin - bytecodes. Spin bytecodes are executed by the Spin interpreter, which - is loaded at boot time from the internal ROM. The Spin interpreter is - a stack-based virtual machine that uses the stack to store parameters - that are used by operators, such as add, sub, etc. The operators store - their results back onto the stack. There are other operators that - transfer data back and forth between the stack and hub RAM. - - The Spin bytecodes are listed below. They are separated into four - major groups -- lower, memory, math and extened operators. The lower - group contains a mix of operators, including those that handle program - flow, lookup, lookdown, case, and several other miscellaneous functions. - The math operators implement the various math and logic functions that - use two arguments. - - The memory operators implement load, store, execute and address - functions. A load operation reads data from the hub RAM and pushes it - onto the stack. A store operation pops a value off the stack and - stores it in RAM. The address operator is used to push the absolute - address of a hub RAM location onto the stack. - - The execute operation is used to execute an operation directly on a RAM - location. The result can be optionally pushed to the stack. The - operations that can be executed directly on a hub RAM location include - the standard 32 math operations plus a small number of extended - operations. The extended operators include pre and post increment and - decrement, sign extension and the random function. - - The format of the memory mnemonics is as follows: - - - operation = {ld, st, ex, la}, - size = {b, w, l}, - type = {i, l, o, a, v, s} - mode = {c, x, 0, 1, m1, p} - - The operations are load, store, execute and load address as stated - earlier. The size refers to byte, word and long. The types are - immediate, local, object, absolute, variable and stack. The modes - are compact, indexed, zero, one, minus one and packed. - - As an example, the instruction ldwi means load-word-immediate. It - will load an immediate value onto the stack. The instruction stba - will store a byte at the absolute address residing in the stack. - - There are compact instructions that use a single byte to address - the first 8 long values residing in the method's stack frame or in - an object's variable space. These use the size, type and mode - characters "llc". As an example, the method result value can be - set with the "stllc 0" instruction. The fourth long in the objet's - variable section could be loaded with "ldllc 12". - - When an execute memory operation is specified it is followed by one of - the math operators or an extended operator. An execute instruction may - also specify the "load" extended operator to save the result on the - stack. Examples of using execute instructions are as follows: - - exlo $8 add ' Add value on stack to the object long at offset $8 - exwv $20 preinc ' Increment the VAR word at offset $20 - exll $10 postdec load ' Decrement stack location $10 and load - - Spasm also includes psuedo-ops, which are shortened versions of the - memory mnenomics. The psuedo-ops are made up only of the operation - and size fields, plus the "x" charater if it is indexed. The - psuedo-ops are mapped to explicit opcodes depending on the context - of the operand. As an example "ldl 1" maps into "ldli1", and - "ldl result" maps into "ldllc 0". - - The Spasm opcodes are listed below. The offsets used for the jump - instructions are signed offsets that are relative to the address of the - next instruction. A "jmp 0" instruction will just execute the next - instruction. - - Signed offsets are encoded in either one or two bytes depending - on the value of the most significant bit in the first byte. If the - MSB is zero the remaining seven bits are treated as a signed 7-bit - number in the range from -64 to 63. If the MSB is non-zero, the - remaining seven bits are used as the most significant bits of a 15-bit - signed number, and the next byte provides the eight least sigficant - bits. The 15-bit signed offset has a range from -16384 to 16383. - - The memory opcodes use an unsigned offset that can also be encoded in - one or two bytes. The MSB is used to determine whether it is one or - two bytes just like for signed offset. However, since it is unsigned, - the range for the one-byte offset is 0 to 128, and for two bytes it is - 0 to 32768. - - Lower Opcodes - ------------- - 00 ldfrmr - Load call frame with return value required - 01 ldfrm - Load call frame - 02 ldfrmar - Load call frame with abort trap & return value - 03 ldfrma - Load call frame with abort trap - 04 jmp offset - Jump to signed object offset - 05 call meth - Call method - 06 callobj meth, obj - Call method in object - 07 callobjx meth, obj - Call method in object with index - 08 tjz offset - Test and jump if zero - 09 djnz offset - Decrement and jump if not zero - 0a jz offset - Jump if zero - 0b jnz offset - Jump if not zero - 0c casedone - Case done without a match - 0d casevalue - Execute if value matches case value - 0e caserange - Execute if case value within range - 0f lookdone - Look up/down done without a match - 10 lookupval - - 11 lookdnval - 12 lookuprng - 13 lookdnrng - 14 pop - Discard N bytes from the stack - 15 run - Prepare new spin cog stack for execution - 16 strsize - Determine the size of a string - 17 strcomp - Compare two strings - 18 bytefill - Fill memory with a constant byte value - 19 wordfill - Fill memory with a constant word value - 1a longfill - Fill memory with a constant long value - 1b waitpeq - Wait till pins are equal to a value - 1c bytemove - Copy bytes to a new location - 1d wordmove - Copy words to a new location - 1e longmove - Copy longs to a new location - 1f waitpne - Wait till pins are not equal to value - 20 clkset - Change the clock frequency and mode - 21 cogstop - Stop the specified cog - 22 lockret - Return a lock - 23 waitcnt - Wait for cnt to equal a specified value - 24 ldlsx - 25 stlsx - 26 exlsx - 27 waitvid - Wait on video - 28 coginitret - Start a cog and return the cog number - 29 locknewret - Allocate a lock and return the lock number - 2a locksetret - Set a lock and return the previous value - 2b lockclrret - Clear a lock and return the previous value - 2c coginit - Start a cog - 2d locknew - Allocate a lock with no return value - 2e lockset - Set a lock with no return value - 2f lockclr - Clear a lock with no return value - 30 abort - Perform an abort to the next abort trap - 31 abortval - Perform an abort and return a value - 32 ret - Return without loading a return value - 33 retval - Return and load a return value on the stack - 34 ldlim1 - Load a minus 1 - 35 ldli0 - Load zero - 36 ldli1 - Load 1 - 37 ldlip value - Load a packed-byte constant - 38 ldbi value - Load a single-byte constant - 39 ldwi value - Load a two-byte constant - 3a ldmi value - Load a three-byte constant - 3b ldli value - Load a four-byte constant - 3c --- - Unused opcode - 3d ldregbit - Load a bit from a register - 3d stregbit - Store a bit to a register - 3e ldregbits - Load bits from a register - 3e stregbits - Store bit to a register - 3f ldreg - Load a register - 3f streg - Store a register - - Compact Memory Opcodes - -------------- - 40 ldlvc offset - Load long variable compact - 41 stlvc offset - Store long variable compact - 42 exlvc offset - Execute on a long variable compact - 43 lalvc offset - Load address of a long variable compact - 60 ldllc offset - Load long local compact - 61 stllc offset - Store long local compact - 62 exllc offset - Execute on a long local compact - 63 lallc offset - Load address of a long local compact - - Byte Memory Opcodes - -------------- - 80 ldba - Load byte absolute - 81 stba - Store byte absolute - 82 exba - Execute on a byte absolute - 83 laba - Load address of a byte absolute - 84 ldbo offset - Load byte object offset - 85 stbo offset - 86 exbo offset - 87 labo offset - 88 ldbv offset - Load byte variable offset - 89 stbv offset - 8a exbv offset - 8b labv offset - 8c ldbl offset - Load byte local offset - 8d stbl offset - 8e exbl offset - 8f labl offset - 90 ldbax - Load byte absolute with index - 91 stbax - 92 exbax - 93 labax - 94 ldbox offset - Load byte object offset with index - 95 stbox offset - 96 exbox offset - 97 labox offset - 98 ldbvx offset - Load byte variable offset with index - 99 stbvx offset - 9a exbvx offset - 9b labvx offset - 9c ldblx offset - Load byte local offset with index - 9d stblx offset - 9e exblx offset - 9f lablx offset - - Word Memory Opcodes - ------------------- - a0 ldwa - Load word absolute - a1 stwa - a2 exwa - a3 lawa - a4 ldwo offset - a5 stwo offset - a6 exwo offset - a7 lawo offset - a8 ldwv offset - a9 stwv offset - aa exwv offset - ab lawv offset - ac ldwl offset - ad stwl offset - ae exwl offset - af lawl offset - b0 ldwax - Load word absolute with index - b1 stwax - b2 exwax - b3 lawax - b4 ldwox offset - b5 stwox offset - b6 exwox offset - b7 lawox offset - b8 ldwvx offset - b9 stwvx offset - ba exwvx offset - bb lawvx offset - bc ldwlx offset - bd stwlx offset - be exwlx offset - bf lawlx offset - - Long Memory Opcodes - ------------------- - c0 ldla - Load long absolute - c1 stla - c2 exla - c3 lala - c4 ldlo offset - c5 stlo offset - c6 exlo offset - c7 lalo offset - c8 ldlv offset - c9 stlv offset - ca exlv offset - cb lalv offset - cc ldll offset - cd stll offset - ce exll offset - cf lall offset - d0 ldlax - Load long absolute with index - d1 stlax - d2 exlax - d3 lalax - d4 ldlox offset - d5 stlox offset - d6 exlox offset - d7 lalox offset - d8 ldlvx offset - d9 stlvx offset - da exlvx offset - db lalvx offset - dc ldllx offset - dd stllx offset - de exllx offset - df lallx offset - - Math Opcodes - ------------ - e0 ror - Rotate right - e1 rol - Rotate left - e2 shr - Shift right - e3 shl - Shift left - e4 min - Maximum - e5 max - Minimum - e6 neg - Negate - e7 com - Compliment - e8 and - Bitwise and - e9 abs - Absolute value - ea or - Bitwise or - eb xor - Bitwise exclusive or - ec add - Add - ed sub - Subtract - ee sar - Shift arithmetic right - ef rev - Bit reverse - f0 andl - Logical and - f1 encode - Shift "1" left - f2 orl - Logical or - f3 decode - Find left-most "1" bit - f4 mul - Multiply - f5 mulh - Multiply high - f6 div - Divide - f7 mod - Modulus - f8 sqrt - Square root - f9 cmplt - Less than - fa cmpgt - Greater than - fb cmpne - Not equal - fc cmpeq - Equal - fd cmple - Less than or equal - fe cmpge - Greater than or equal - ff notl - Logical not - - Extended opcodes - ---------------- - 00 load - Load the value - 02 repeat - Repeat index from first to last - 06 repeats - Repeat index from first to last with step - 08 randf - Forward random number - 0c randr - Reverse random number - 10 sexb - Sign extend byte - 14 sexw - Sign extend word - 18 postclr - Post clear to zero - 1c postset - Post set to all ones - 26 preinc - Pre-increment - 2e postinc - Post-increment - 36 predec - Pre-decrement - 3e postdec - Post-decrement - -AUTHOR - Dave Hein - -COPYRIGHT - Copyright (c) 2011, 2012, Dave Hein - MIT License (See license.txt in the root directory) - This is free software: you are free to change and redistribute it. - There is no warranty, to the extent permitted by law. - - -SPASM March 2012 SPASM(1) diff --git a/p1spin/test.binary b/p1spin/test.binary deleted file mode 100755 index 224a3bb..0000000 Binary files a/p1spin/test.binary and /dev/null differ diff --git a/p1spin/test.spin b/p1spin/test.spin deleted file mode 100755 index 2d10bf5..0000000 --- a/p1spin/test.spin +++ /dev/null @@ -1,14 +0,0 @@ -CON - _clkmode = xtal1+pll16x - _clkfreq = 80_000_000 - -OBJ - ser : "Simple_Serial" - dry : "dry11" - -PUB main | ptr, count - 'waitcnt(clkfreq*3+cnt) - ser.init(31, 30, 19200) - ser.str(string(13, "Testing Spin Interpreter", 13)) - dry.Dhrystone11 - waitcnt(clkfreq/10+cnt) \ No newline at end of file diff --git a/pasmdebug.c b/pasmdebug.c index ab7e1fe..22d5f7d 100755 --- a/pasmdebug.c +++ b/pasmdebug.c @@ -9,7 +9,7 @@ #include "interp.h" char *FindChar(char *str, int32_t val); -int32_t CheckWaitFlag(PasmVarsT *pasmvars, int mode); +int32_t CheckWaitFlag1(PasmVarsT *pasmvars, int mode); extern char *hubram; extern int32_t memsize; @@ -168,7 +168,7 @@ void DebugPasmInstruction(PasmVarsT *pasmvars) { if (opcode <= 0x07) // hubop { - if (CheckWaitFlag(pasmvars, 3)) xflag = 2; + if (CheckWaitFlag1(pasmvars, 3)) xflag = 2; } else if (opcode == 0x3e) // waitcnt { @@ -202,15 +202,9 @@ void DebugPasmInstruction(PasmVarsT *pasmvars) while (i < 7) opstr[i++] = ' '; opstr[i] = 0; -#if 0 - fprintf(tracefile, "%3.3x %8.8x %d %d %s %s %s %3.3x %s%3.3x %8.8x %8.8x", pasmvars->pc, - instruct, zflag, cflag, xstr[xflag], condnames[cond], opstr, dstaddr, - istr[zcri & 1], srcaddr, value1, value2); -#else fprintf(tracefile, "%6d %3.3x %8.8x %s %s %s %3.3x, %s%3.3x%s%s%s", loopcount * 4, pc, instruct, xstr[xflag], condnames[cond], opstr, dstaddr, istr[zcri & 1], srcaddr, wzstr, wcstr, wrstr); -#endif } /* +------------------------------------------------------------------------------------------------------------------------------+ diff --git a/pasmdebug2.c b/pasmdebug2.c index f0cca09..0dd2ef0 100755 --- a/pasmdebug2.c +++ b/pasmdebug2.c @@ -9,7 +9,7 @@ #include "interp.h" #include "spinsim.h" -#define REG_PINA 0x1f4 +#define ADDR_MASK 0xfffff extern char *hubram; extern int32_t memsize; @@ -19,249 +19,18 @@ extern int32_t pin_val; extern FILE *tracefile; -static char *condnames[16] = { - "if_never ", "if_nz_and_nc", "if_z_and_nc ", "if_nc ", - "if_nz_and_c ", "if_nz ", "if_z_ne_c ", "if_nz_or_nc ", - "if_z_and_c ", "if_z_eq_c ", "if_z ", "if_z_or_nc ", - "if_c ", "if_nz_or_c ", "if_z_or_c ", " "}; +void Disassemble2(int instruct, int pc, char *debugstr, int *errflag); -char *opcodes_lower[64] = { - "rdbyte","rdbytec","rdword","rdwordc","rdlong","rdlongc","rdaux","rdauxr", - "isob","notb","clrb","setb","setbc","setbnc","setbz","setbnz", - "andn","and","or","xor","muxc","muxnc","muxz","muxnz", - "ror","rol","shr","shl","rcr","rcl","sar","rev", - "mov","not","abs","neg","negc","negnc","negz","negnz", - "add","sub","addx","subx","adds","subs","addsx","subsx", - "sumc","sumnc","sumz","sumnz","min","max","mins","maxs", - "addabs","subabs","incmod","decmod","cmpsub","subr","mul","scl"}; - -char *opcodes_upper[62][4] = { - {"decod2", "decod2", "decod2", "decod2"}, - {"decod3", "decod3", "decod3", "decod3"}, - {"decod4", "decod4", "decod4", "decod4"}, - {"decod5", "decod5", "decod5", "decod5"}, - {"encod", "blmask", "encod", "blmask"}, - {"onecnt", "zercnt", "onecnt", "zercnt"}, - {"incpat", "incpat", "decpat", "decpat"}, - {"splitb", "mergeb", "splitw", "mergew"}, - - {"getnib", "setnib", "getnib", "setnib"}, - {"getnib", "setnib", "getnib", "setnib"}, - {"getnib", "setnib", "getnib", "setnib"}, - {"getnib", "setnib", "getnib", "setnib"}, - {"getword","setword","getword","setword"}, - {"setwrds","rolnib", "rolbyte","rolword"}, - {"sets", "setd", "setx", "seti"}, - {"cognew", "cognew", "waitcnt","waitcnt"}, - - {"getbyte","setbyte","getbyte","setbyte"}, - {"getbyte","setbyte","getbyte","setbyte"}, - {"setbyts","movbyts","packrgb","unpkrgb"}, - {"addpix", "mulpix", "blnpix", "mixpix"}, - {"jmpsw", "jmpsw", "jmpsw", "jmpsw"}, - {"jmpswd", "jmpswd", "jmpswd", "jmpswd"}, - {"ijz", "ijzd", "ijnz", "ijnzd"}, - {"djz", "djzd", "djnz", "djnzd"}, - - {"testb", "testb", "testb", "testb"}, - {"testn", "testn", "testn", "testn"}, - {"test", "test", "test", "test"}, - {"cmp", "cmp", "cmp", "cmp"}, - {"cmpx", "cmpx", "cmpx", "cmpx"}, - {"cmps", "cmps", "cmps", "cmps"}, - {"cmpsx", "cmpsx", "cmpsx", "cmpsx"}, - {"cmpr", "cmpr", "cmpr", "cmpr"}, - - {"coginit","waitvid","coginit","waitvid"}, - {"coginit","waitvid","coginit","waitvid"}, - {"coginit","waitvid","coginit","waitvid"}, - {"coginit","waitvid","coginit","waitvid"}, - {"waitpeq","waitpeq","waitpeq","waitpeq"}, - {"waitpeq","waitpeq","waitpeq","waitpeq"}, - {"waitpne","waitpne","waitpne","waitpne"}, - {"waitpne","waitpne","waitpne","waitpne"}, - - {"wrbyte", "wrbyte", "wrword", "wrword"}, - {"wrlong", "wrlong", "frac", "frac"}, - {"wraux", "wraux", "wrauxr", "wrauxr"}, - {"setacca","setacca","setaccb","setaccb"}, - {"maca", "maca", "macb", "macb"}, - {"mul32", "mul32", "mul32u", "mul32u"}, - {"div32", "div32", "div32u", "div32u"}, - {"div64", "div64", "div64u", "div64u"}, - - {"sqrt64", "sqrt64", "qsincos","qsincos"}, - {"qarctan","qarctan","qrotate","qrotate"}, - {"setsera","setsera","setserb","setserb"}, - {"setctrs","setctrs","setwavs","setwavs"}, - {"setfrqs","setfrqs","setphss","setphss"}, - {"addphss","addphss","subphss","subphss"}, - {"jp", "jp", "jpd", "jpd"}, - {"jnp", "jnp", "jnpd", "jnpd"}, - - {"cfgpins","cfgpins","cfgpins","cfgpins"}, - {"cfgpins","cfgpins","jmptask","jmptask"}, - {"setxfr", "setxfr", "setmix", "setmix"}, - {"jz", "jzd", "jnz", "jnzd"}, - {"locbase","locbyte","locword","loclong"}, - {"jmplist","locinst","augs", "augd"}}; - -int zci_upper[62][4] = { - {7, 7, 7, 7}, {7, 7, 7, 7}, {7, 7, 7, 7}, {7, 7, 7, 7}, - {5, 5, 5, 5}, {5, 5, 5, 5}, {3, 3, 3, 3}, {1, 1, 1, 1}, - - {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, - {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, {3, 3, 3, 3}, - - {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, - {7, 7, 7, 7}, {7, 7, 7, 7}, {1, 1, 1, 1}, {1, 1, 1, 1}, - - {7, 7, 7, 7}, {7, 7, 7, 7}, {7, 7, 7, 7}, {7, 7, 7, 7}, - {7, 7, 7, 7}, {7, 7, 7, 7}, {7, 7, 7, 7}, {7, 7, 7, 7}, - - {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, - {3, 3, 3, 3}, {3, 3, 3, 3}, {3, 3, 3, 3}, {3, 3, 3, 3}, - - {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, - {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, - - {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, - {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, - - {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}, {1, 1, 1, 1}}; - -char *opcodes_ind[16] = { - "invalid", "fixinda", "setinda", "setinda", - "fixindb", "fixinds", "invalid", "invalid", - "setindb", "invalid", "setinds", "setinds", - "setindb", "invalid", "setinds", "setinds"}; - -char *opcodes_16[14] = { - "locptra","locptrb","jmp","jmpd","call","calld","calla", - "callad","callb","callbd","callx","callxd","cally","callyd"}; - -char *opcodes_set1[49] = { - "cogid","taskid","locknew","getlfsr","getcnt","getcntx","getacal","getacah", - "getacbl","getacbh","getptra","getptrb","getptrx","getptry","serina","serinb", - "getmull","getmulh","getdivq","getdivr","getsqrt","getqx","getqy","getqz", - "getphsa","getphza","getcosa","getsina","getphsb","getphzb","getcosb","getsinb", - "pushzc","popzc","subcnt","getpix","binbcd","bcdbin","bingry","grybin", - "eswap4","eswap8","seussf","seussr","incd","decd","incds","decds","pop"}; - -char *opcodes_set2[] = { - "clkset", "cogstop", "lockset", "lockclr", "lockret", "rdwidec", "rdwide", - "wrwide", "getp", "getnp", "serouta", "seroutb", "cmpcnt", "waitpx", - "waitpr", "waitpf", "setzc", "setmap", "setxch", "settask", "setrace", - "saracca", "saraccb", "saraccs", "setptra", "setptrb", "addptra", "addptrb", - "subptra", "subptrb", "setwide", "setwidz", "setptrx", "setptry", - "addptrx", "addptry", "subptrx", "subptry", "passcnt", "wait", "offp", - "notp", "clrp", "setp", "setpc", "setpnc", "setpz", "stpnz", "div64d", - "sqrt32", "qlog", "qexp", "setqi", "setqz", "cfgdacs", "setdacs", - "cfgdac0", "cfgdac1", "cfgdac2", "cfgdac3", "setdac0", "setdac1", - "setdac2", "seddac3", "setctra", "setwava", "setfrqa", "setphsa", - "addphsa", "subphsa", "setvid", "setvidy", "setctrb", "setwavb", "setfrqb", - "setphsb", "addphsb", "subphsb", "setvidi", "setvidq", "setpix", "setpixz", - "setpixu", "setpixv", "setpixa", "setpixr", "setpixg", "setpixb", - "setpora", "setporb", "setporc", "setpord", "push"}; - -char *opcodes_set3[40] = { - "jmp", "jmpd", "call", "calld", "calla", "callad", "callb", "callbd", - "callx", "callxd", "cally", "callyd", "reta", "retad", "retb", "retbd", - "retx", "retxd", "rety", "retyd", "ret", "retd", "polctra", "polctrb", - "polvid", "capctra", "capctrb", "capctrs", "setpixw", "clracca", "clraccb", - "clraccs", "chkptrx", "chkptry", "syntra", "synctrb", "dcachex", "icachex", - "icachep", "icachen"}; - -int zci_set3[40] = { - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 0, 0, 0, - 0, 0, 0, 0, 6, 6, 0, 0, 0, 0, 0, 0}; - - -char *GetOpname2(unsigned int instr, int *pzci) -{ - int opcode = instr >> 25; - int zci = (instr >> 22) & 7; - int cond = (instr >> 18) & 15; - int src = instr & 0x1ff; - int dst = (instr >> 9) & 0x1ff; - int zc = zci >> 1; - - //printf("opcode = $%2.2x, zci = %d\n", opcode, zci); - - if (opcode < 64) - { - *pzci = 7; - return opcodes_lower[opcode]; - } - else if(opcode < 126) - { - *pzci = zci_upper[opcode-64][zc]; - return opcodes_upper[opcode-64][zc]; - } - else if (opcode == 126 && zci == 0) - { - *pzci = 0; - return opcodes_ind[cond]; - } - else if (opcode == 126) - { - *pzci = 0; - return opcodes_16[(zci-1)*2 + (dst >> 8)]; - } - else if (src <= 48) - { - if (src < 44 || src == 48) - *pzci = 7; - else - *pzci = 5; - return opcodes_set1[src]; - } - if (src < 64) - { - *pzci = 0; - return "invalid"; - } - if (src < 128) - { - *pzci = 1; - return "repd"; - } - if (src < 220) - { - if (src == 136 || src == 137 || src == 144) - *pzci = 7; - else if (src == 130 || src == 131) - *pzci = 3; - else - *pzci = 1; - return opcodes_set2[src-128]; - } - if (src < 0xf4) - { - *pzci = 0; - return "invalid"; - } - if (src <= 0x11b) - { - *pzci = zci_set3[src-0xf4]; - return opcodes_set3[src-0xf4]; - } - *pzci = 0; - return "invalid"; -} - -void StartPasmCog2(PasmVarsT *pasmvars, int32_t par, int32_t addr, int32_t cogid) +void StartPasmCog2(PasmVarsT *pasmvars, int32_t par, int32_t addr, int32_t cogid, int32_t hubexec) { int32_t i; - // printf("\nStartPasmCog2: %8.8x, %8.8x, %d\n", par, addr, cogid); - par &= 0x3ffff; - addr &= 0x3fffc; + //printf("\nStartPasmCog2: %8.8x, %8.8x, %d, %d\n", par, addr, cogid, hubexec); + par &= ADDR_MASK; + addr &= ADDR_MASK; pasmvars->waitflag = 0; pasmvars->cflag = 0; pasmvars->zflag = 0; - pasmvars->pc = 0; pasmvars->cogid = cogid; pasmvars->state = 5; pasmvars->ptra = par; @@ -278,8 +47,6 @@ void StartPasmCog2(PasmVarsT *pasmvars, int32_t par, int32_t addr, int32_t cogid pasmvars->repbot = 0; pasmvars->reptop = 0; pasmvars->repforever = 0; - pasmvars->dcachehubaddr = 0xffffffff; - pasmvars->dcachecogaddr = 0xffffffff; pasmvars->instruct1 = 0; pasmvars->instruct2 = 0; pasmvars->instruct3 = 0; @@ -291,27 +58,68 @@ void StartPasmCog2(PasmVarsT *pasmvars, int32_t par, int32_t addr, int32_t cogid pasmvars->retptr = 0; pasmvars->acca = 0; pasmvars->accb = 0; - pasmvars->mulcount = 0; + pasmvars->cordic_count = 0; + pasmvars->cordic_depth = 0; + pasmvars->qxposted = 0; + pasmvars->qyposted = 0; pasmvars->breakpnt = -1; pasmvars->augsflag = 0; pasmvars->augsvalue = 0; pasmvars->augdflag = 0; pasmvars->augdvalue = 0; - pasmvars->icachehubaddr[0] = 0xffffffff; - pasmvars->icachehubaddr[1] = 0xffffffff; - pasmvars->icachehubaddr[2] = 0xffffffff; - pasmvars->icachehubaddr[3] = 0xffffffff; - pasmvars->icachenotused[0] = 0; - pasmvars->icachenotused[1] = 0; - pasmvars->icachenotused[2] = 0; - pasmvars->icachenotused[3] = 0; - pasmvars->prefetch = 1; + pasmvars->altsflag = 0; + pasmvars->altsvalue = 0; + pasmvars->altdflag = 0; + pasmvars->altdvalue = 0; + pasmvars->altrflag = 0; + pasmvars->altrvalue = 0; + pasmvars->altiflag = 0; + pasmvars->altivalue = 0; + pasmvars->prefetch = 0; + pasmvars->serina.mode = 0; + pasmvars->serinb.mode = 0; + pasmvars->serouta.mode = 0; + pasmvars->seroutb.mode = 0; + pasmvars->phase = 0; + pasmvars->rwrep = 0; + pasmvars->str_fifo_work_flag = 0; + pasmvars->str_fifo_addr0 = 0; + pasmvars->str_fifo_addr1 = 0; + pasmvars->str_fifo_head_addr = 0; + pasmvars->str_fifo_tail_addr = 0; + pasmvars->str_fifo_rindex = 0; + pasmvars->str_fifo_windex = 0; + pasmvars->str_fifo_mode = 0; + pasmvars->str_fifo_buffer[0] = 0; + pasmvars->cntreg1 = 0; + pasmvars->cntreg2 = 0; + pasmvars->cntreg3 = 0; + pasmvars->intflags = 0; + pasmvars->intstate = 0; + pasmvars->qreg = 0; + pasmvars->memflag = 0; + pasmvars->intenable1 = 0; + pasmvars->intenable2 = 0; + pasmvars->intenable3 = 0; + pasmvars->pinpatmode = 0; + pasmvars->pinpatmask = 0; + pasmvars->pinpattern = 0; + pasmvars->pinedge = 0; + pasmvars->lockedge = 0; + pasmvars->rdl_mask = 0; + pasmvars->wrl_mask = 0; - for (i = 0; i < 0x1f4; i++) + if (!hubexec) { - pasmvars->mem[i] = LONG(addr); - addr += 4; + for (i = 0; i < 0x1f4; i++) + { + pasmvars->mem[i] = LONG(addr); + addr += 4; + } + pasmvars->pc = 0; } + else + pasmvars->pc = addr; for (i = 0x1f4; i < 512; i++) pasmvars->mem[i] = 0; } @@ -321,43 +129,14 @@ void DebugPasmInstruction2(PasmVarsT *pasmvars) int32_t cflag = pasmvars->cflag; int32_t zflag = pasmvars->zflag; int32_t instruct, pc, cond, xflag; - int32_t opcode, zci; - int32_t srcaddr, dstaddr; - char *wzstr = ""; - char *wcstr = ""; char opstr[20]; - char *istr[3] = {" ", "#", "@"}; - char *xstr[8] = {" ", "X", "I", "H", "C", "P", "W", "?"}; - int zci_mask; - int32_t sflag, dflag, indirect, opcode_zci; + char *xstr[12] = {" ", "X", "I", "H", "C", "P", "W", "F", "w", "E", "?", "S"}; // Fetch the instruction - pc = pasmvars->pc4; - instruct = pasmvars->instruct4; - cond = (instruct >> 18) & 15; - - // Extract parameters from the instruction - opcode = (instruct >> 25) & 127; - srcaddr = instruct & 511; - dstaddr = (instruct >> 9) & 511; - zci = (instruct >> 22) & 7; - opcode_zci = (opcode << 3) | zci; - - // Decode the immediate flags for the source and destination fields - sflag = (opcode_zci >= 0x3ea) | (zci & 1); - - dflag = (opcode >= 0x68 && opcode <= 0x7a && (zci & 2)) | - (opcode_zci >= 0x302 && opcode_zci <= 0x31f) | - (opcode != 0x7f && opcode_zci >= 0x3eb) | - (opcode == 0x7f && srcaddr >= 0x40 && srcaddr <= 0xdc && (zci & 1)) | - (opcode == 0x7f && srcaddr >= 0x100); - - // Determine if indirect registers are used - indirect = (!sflag && (srcaddr & 0x1fe) == 0x1f2) | - (!dflag && (dstaddr & 0x1fe) == 0x1f2) | - (opcode_zci == 0x3f0); - - if (indirect) cond = 0xf; + pc = pasmvars->pc2; + instruct = pasmvars->instruct2; + cond = (instruct >> 28) & 15; + if (cond == 0 && instruct != 0) cond = 15; xflag = ((cond >> ((cflag << 1) | zflag)) & 1); xflag ^= 1; @@ -381,15 +160,17 @@ void DebugPasmInstruction2(PasmVarsT *pasmvars) xflag = 5; else if (pasmvars->waitmode == WAIT_HUB) xflag = 3; + else if (pasmvars->waitmode == WAIT_FLAG) + xflag = 8; + else if (pasmvars->waitmode == WAIT_CORDIC) + xflag = 9; else - xflag = 7; + xflag = 10; } - - strcpy(opstr, GetOpname2(instruct, &zci_mask)); - - zci &= zci_mask; - if (zci & 4) wzstr = " wz"; - if (zci & 2) wcstr = " wc"; + else if (pasmvars->phase == 0) + xflag = 7; + else if (pasmvars->skip_mask & 1) + xflag = 11; i = strlen(opstr); while (i < 7) opstr[i++] = ' '; @@ -403,31 +184,21 @@ void DebugPasmInstruction2(PasmVarsT *pasmvars) strcpy(opstr, "nop "); } - // Check for REPS - if ((instruct & 0xffc00000) == 0xfac00000) - { - cond = 15; - if (xflag == 1) xflag = 0; - strcpy(opstr, "reps "); - } - - // Check for AUGS or AUGD - if (opcode_zci >= 0x3ec && opcode_zci <= 0x3ef) - { - cond = 15; - if (xflag == 1) xflag = 0; - } - if (pasmvars->printflag - 2 < xflag && xflag != 0) { pasmvars->printflag = 0; return; } - fprintf(tracefile, "Cog %d: %8.8x ", pasmvars->cogid, loopcount); - fprintf(tracefile, "%4.4x %8.8x %s %s %s %s%3.3x, %s%3.3x%s%s", pc, - instruct, xstr[xflag], condnames[cond], opstr, istr[dflag], dstaddr, - istr[sflag], srcaddr, wzstr, wcstr); + { + int errflag; + char debugstr[100]; + + Disassemble2(instruct, pc, debugstr, &errflag); + fprintf(tracefile, "Cog %2d: %8.8x ", pasmvars->cogid, loopcount); + fprintf(tracefile, "%4.4x %8.8x %s %s", pc, + instruct, xstr[xflag], debugstr); + } } /* +------------------------------------------------------------------------------------------------------------------------------+ diff --git a/pasmsim.c b/pasmsim.c index 832825e..b745743 100755 --- a/pasmsim.c +++ b/pasmsim.c @@ -9,22 +9,21 @@ extern char *hubram; extern int32_t memsize; -extern char lockstate[8]; -extern char lockalloc[8]; +extern char lockstate[16]; +extern char lockalloc[16]; extern PasmVarsT PasmVars[8]; extern int32_t pasmspin; extern int32_t cycleaccurate; extern int32_t loopcount; -extern int32_t proptwo; -extern int32_t pin_val; +extern int32_t pin_val_a; extern FILE *tracefile; void PrintResults(int32_t zcri, int32_t zflag, int32_t cflag, int32_t result) { - if (zcri & 8) printf(" Z=%d", zflag); - if (zcri & 4) printf(" C=%d", cflag); - if (zcri & 2) printf(" R=%8.8x", result); + if (zcri & 8) fprintf(tracefile, " Z=%d", zflag); + if (zcri & 4) fprintf(tracefile, " C=%d", cflag); + if (zcri & 2) fprintf(tracefile, " R=%8.8x", result); } static int32_t parity(int32_t val) @@ -42,7 +41,7 @@ static int32_t abs(int32_t val) return val < 0 ? -val : val; } -int32_t CheckWaitFlag(PasmVarsT *pasmvars, int mode) +int32_t CheckWaitFlag1(PasmVarsT *pasmvars, int mode) { int32_t hubmode = mode & 1; int32_t debugmode = mode & 2; @@ -54,18 +53,12 @@ int32_t CheckWaitFlag(PasmVarsT *pasmvars, int mode) } else if (hubmode) { - if (proptwo) - waitflag = (pasmvars->cogid - loopcount) & 7; - else - waitflag = ((pasmvars->cogid >> 1) - loopcount) & 3; + waitflag = ((pasmvars->cogid >> 1) - loopcount) & 3; waitflag++; } else { - if (proptwo) - waitflag = 2; - else - waitflag = 1; + waitflag = 1; } if (!debugmode) { @@ -127,7 +120,7 @@ int32_t ExecutePasmInstruction(PasmVarsT *pasmvars) // Check for a hub wait if (cycleaccurate && !(instruct & 0xe0000000)) { - if (CheckWaitFlag(pasmvars, 1)) return 0; + if (CheckWaitFlag1(pasmvars, 1)) return 0; } // Extract parameters from the instruction @@ -143,7 +136,7 @@ int32_t ExecutePasmInstruction(PasmVarsT *pasmvars) else if (srcaddr == 0x1f1) value2 = GetCnt(); else if (srcaddr == 0x1f2) - value2 = pin_val; + value2 = pin_val_a; else value2 = pasmvars->mem[srcaddr]; @@ -570,7 +563,7 @@ int32_t ExecutePasmInstruction(PasmVarsT *pasmvars) case 1: // cmpsx result = value1 - value2 - cflag; - cflag = value1 < (value2 + cflag); + cflag = value1 < ((int64_t)value2 + cflag); zflag = (result == 0) & zflag; break; @@ -620,7 +613,8 @@ int32_t ExecutePasmInstruction(PasmVarsT *pasmvars) case 0: // cmpsub cflag = (((uint32_t)value1) >= ((uint32_t)value2)); result = cflag ? value1 - value2 : value1; - zflag = (result == 0); + //zflag = (result == 0) & cflag; + zflag = (value1 == value2); break; case 1: // djnz @@ -645,7 +639,7 @@ int32_t ExecutePasmInstruction(PasmVarsT *pasmvars) break; case 4: // waitpeq - result, zflag and cflag not validated - result = (pin_val & value2) ^ value1; + result = (pin_val_a & value2) ^ value1; if (result) { //pasmvars->state = 6; @@ -662,7 +656,7 @@ int32_t ExecutePasmInstruction(PasmVarsT *pasmvars) break; case 5: // waitpne - result, zflag and cflag not validated - result = (pin_val & value2) ^ value1; + result = (pin_val_a & value2) ^ value1; if (!result) { //pasmvars->state = 6; diff --git a/pasmsim2.c b/pasmsim2.c index 15c9a60..a07ff1c 100755 --- a/pasmsim2.c +++ b/pasmsim2.c @@ -1,58 +1,78 @@ /******************************************************************************* ' Author: Dave Hein ' Version 0.21 -' Copyright (c) 2010, 2011 +' Copyright (c) 2010 - 2015 ' See end of file for terms of use. '******************************************************************************/ #include #include +#include +#include #include "interp.h" #include "spinsim.h" +#define NUM_ICACHE 1 +#define ADDR_MASK 0xfffff + #define IGNORE_WZ_WC #define PRINT_RAM_ACCESS +//#define PRINT_STREAM_FIFO -#define AUX_SIZE 256 -#define AUX_MASK (AUX_SIZE - 1) - -#define REG_INDA 0x1f2 -#define REG_INDB 0x1f3 -#define REG_PINA 0x1f4 -#define REG_PINB 0x1f5 -#define REG_PINC 0x1f6 -#define REG_PIND 0x1f7 -#define REG_OUTA 0x1f8 -#define REG_OUTB 0x1f9 -#define REG_OUTC 0x1fa -#define REG_OUTD 0x1fb -#define REG_DIRA 0x1fc -#define REG_DIRB 0x1fd -#define REG_DIRC 0x1fe -#define REG_DIRD 0x1ff +#define REG_IJMP3 0x1f0 +#define REG_IRET3 0x1f1 +#define REG_IJMP2 0x1f2 +#define REG_IRET2 0x1f3 +#define REG_IJMP1 0x1f4 +#define REG_IRET1 0x1f5 +#define REG_PA 0x1f6 +#define REG_PB 0x1f7 +#define REG_PTRA 0x1f8 +#define REG_PTRB 0x1f9 +#define REG_DIRA 0x1fa +#define REG_DIRB 0x1fb +#define REG_OUTA 0x1fc +#define REG_OUTB 0x1fd +#define REG_PINA 0x1fe +#define REG_PINB 0x1ff extern char *hubram; extern int32_t memsize; -extern char lockstate[8]; -extern char lockalloc[8]; -extern PasmVarsT PasmVars[8]; +extern char lockstate[16]; +extern char lockalloc[16]; +extern PasmVarsT PasmVars[16]; extern int32_t pasmspin; extern int32_t cycleaccurate; extern int32_t loopcount; -extern int32_t proptwo; -extern int32_t pin_val; - +extern int32_t pin_val_a; +extern int32_t pin_val_b; +extern SerialT serial_in; +extern SerialT serial_out; +extern int32_t nohubslots; extern FILE *tracefile; +extern int32_t kludge; -char *GetOpname2(unsigned int, int *); +static int wrl_flags0 = 0; +static int wrl_flags1 = 0; +static int rdl_flags0 = 0; +static int rdl_flags1 = 0; -void NotImplemented(int instruction) +char *GetOpname2(unsigned int, int *, int *, int *); + +static void NotImplemented(int instruction) { int dummy; - char *opname = GetOpname2(instruction, &dummy); - printf("\n%s not implemented - %8.8x\n", opname, instruction); + char *opname = GetOpname2(instruction, &dummy, &dummy, &dummy); + printf("%s%s not implemented - %8.8x%s", NEW_LINE, opname, instruction, NEW_LINE); spinsim_exit(1); } +static int32_t getpinval(int32_t val) +{ + int pin_num = val & 63; + if (pin_num < 32) return (pin_val_a >> pin_num) & 1; + return (pin_val_b >> (pin_num - 32)) & 1; +} + static int32_t parity(int32_t val) { val ^= val >> 16; @@ -68,56 +88,14 @@ static int32_t _abs(int32_t val) return val < 0 ? -val : val; } -static int32_t seuss(int32_t value, int32_t forward) -{ - uint32_t a, c, x, y; - - x = value; - if (!x) x = 1; - y = 32; - a = 0x17; - if (!forward) a = (a >> 1) | (a << 31); - while (y--) - { - c = x & a; - while (c & 0xfffffffe) c = (c >> 1) ^ (c & 1); - if (forward) - x = (x >> 1) | (c << 31); - else - x = (x << 1) | c; - } - return x; -} - -uint32_t sqrt32(uint32_t y) -{ - uint32_t x, t1; - - x = 0; - t1 = 1 << 30; - while (t1) - { - x |= t1; - if (x <= y) - { - y -= x; - x += t1; - } - else - x -= t1; - x >>= 1; - t1 >>= 2; - } - return x; -} - -uint32_t sqrt64(uint64_t y) +static uint32_t sqrt64(uint64_t y) { uint64_t x, t1; x = 0; t1 = 1; - t1 <<= 60; + //t1 <<= 60; + t1 <<= 62; while (t1) { x |= t1; @@ -134,7 +112,36 @@ uint32_t sqrt64(uint64_t y) return (uint32_t)x; } -int32_t CheckWaitPin(PasmVarsT *pasmvars, int32_t instruct, int32_t value1, int32_t value2) +static int32_t seuss(int32_t value, int32_t forward) +{ + uint32_t i, x; + uint8_t bitnum[32] = { + 11, 5, 18, 24, 27, 19, 20, 30, 28, 26, 21, 25, 3, 8, 7, 23, + 13, 12, 16, 2, 15, 1, 9, 31, 0, 29, 17, 10, 14, 4, 6, 22}; + + if (forward) + { + x = 0x354dae51; + for (i = 0; i < 32; i++) + { + if (value & (1 << i)) + x ^= (1 << bitnum[i]); + } + } + else + { + x = 0xeb55032d; + for (i = 0; i < 32; i++) + { + if (value & (1 << bitnum[i])) + x ^= (1 << i); + } + } + return x; +} + +#if 0 +static int32_t CheckWaitPin(PasmVarsT *pasmvars, int32_t instruct, int32_t value1, int32_t value2) { int32_t match, pin_values; int32_t portnum = (instruct >> 24) & 3; @@ -151,203 +158,241 @@ int32_t CheckWaitPin(PasmVarsT *pasmvars, int32_t instruct, int32_t value1, int3 return match; } +#endif -int wait1[128] = { - 7, 3, 7, 3, 7, 3, 2, 2, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 2, 2, - 0, 0, 0, 0, 0, 2, 0, 0, 0, 0, 0, 0, 0, 0, 0, 9, - 0, 0, 2, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0,16,16,16,16, 1,10, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,13, 0}; +static void CheckWaitIntState(PasmVarsT *pasmvars) +{ +//printf("\nCheckWaitIntState: intflags = %8.8x\n", pasmvars->intflags); + // Check if interrupts disabled or INT1 active + if (pasmvars->intstate & 3) return; -int wait2[0x120] = { - 6, 0, 6, 0, 0, 0, 8, 8, 8, 8, 0, 0, 0, 0, 0, 0, - 12,12,12,12,12, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 2, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 1, 1, 5, 5, 1, 4, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0,11, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 14,14,14,14, 0, 0, 0, 0, - 15,15,15,15, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0}; + // Check INT1 + if (pasmvars->intenable1) + { + int bitmask = (1 << pasmvars->intenable1); + if (pasmvars->intflags & bitmask) + { + pasmvars->intflags |= 1; +//printf("INT1\n"); + return; + } + } -static int32_t CheckWaitFlag2(PasmVarsT *pasmvars, int instruct, int value1, int value2) + // Check if interrupts disabled or INT1 or INT2 active + if (pasmvars->intstate & 7) return; + + // Check INT2 + if (pasmvars->intenable2) + { + int bitmask = (1 << pasmvars->intenable2); + if (pasmvars->intflags & bitmask) + { +//printf("INT2\n"); + pasmvars->intflags |= 1; + return; + } + } + + // Check if interrupts disabled or INT1, INT2 or INT3 active + if (pasmvars->intstate & 15) return; + + // Check INT3 + if (pasmvars->intenable3) + { + int bitmask = (1 << pasmvars->intenable3); + if (pasmvars->intflags & bitmask) + { +//printf("INT3\n"); + pasmvars->intflags |= 1; + return; + } + } +} + +static int32_t stream_fifo_level(PasmVarsT *pasmvars) +{ + return (pasmvars->str_fifo_windex - pasmvars->str_fifo_rindex) & 15; +} + +#define INSTR_MASK1 0x0fe001ff +#define INSTR_MASK2 0x0fe3e1ff +#define INSTR_LOCKNEW 0x0d600004 +#define INSTR_LOCKSET 0x0d600007 +#define INSTR_QLOG 0x0d60000e +#define INSTR_QEXP 0x0d60000f +#define INSTR_RFBYTE 0x0d600010 +#define INSTR_RFWORD 0x0d600011 +#define INSTR_RFLONG 0x0d600012 +#define INSTR_WFBYTE 0x0d600013 +#define INSTR_WFWORD 0x0d600014 +#define INSTR_WFLONG 0x0d600015 +#define INSTR_GETQX 0x0d600018 +#define INSTR_GETQY 0x0d600019 +#define INSTR_WAITXXX 0x0d602024 +#define INSTR_WAITX 0x0d600028 +#define OPCODE_WMLONG 0x53 +#define OPCODE_RDBYTE 0x56 +#define OPCODE_RDWORD 0x57 +#define OPCODE_RDLONG 0x58 +#define OPCODE_CALLD 0x59 +#define OPCODE_CALLPB 0x5e +#define OPCODE_JINT 0x5f +#define OPCODE_WRBYTE 0x62 +#define OPCODE_WRWORD 0x62 +#define OPCODE_WRLONG 0x63 +#define OPCODE_QMUL 0x68 +#define OPCODE_QVECTOR 0x6a + +static int32_t CheckWaitFlag2(PasmVarsT *pasmvars, int instruct, int value1, int value2, int streamflag) { int32_t hubcycles; int32_t waitmode = 0; - int32_t srcaddr = instruct & 511; int32_t waitflag = pasmvars->waitflag; - int32_t opcode = (instruct >> (32 - 7)) & 127; + int32_t opcode = (instruct >> 21) & 127; + int32_t czi = (instruct >> 18) & 7; int32_t hubop = 0; + int32_t instruct1 = (instruct & INSTR_MASK1); + int32_t instruct2 = (instruct & INSTR_MASK2); + int32_t temp; + //int32_t srcaddr = instruct & 0x1ff; + + //printf("CheckWaitFlag2: waitflag = %d, instruct = %8.8x\n", waitflag, instruct); if (waitflag) { - waitflag--; - if ((instruct & 0xf8000000) == 0xc8000000) - { - if (CheckWaitPin(pasmvars, instruct, value1, value2)) - waitflag = 0; - } - pasmvars->waitflag = waitflag; - if (waitflag == 0) pasmvars->waitmode = 0; - return waitflag; + if (pasmvars->waitmode == WAIT_CACHE) + { + printf("We shouldn't be here!%s", NEW_LINE); + return 0; + } + + if (pasmvars->waitmode == WAIT_CORDIC) + { + if (instruct1 == INSTR_GETQX) // getqx + { + if (pasmvars->qxposted) waitflag = 0; + } + else if (instruct1 == INSTR_GETQY) // getqy + { + if (pasmvars->qyposted) waitflag = 0; + } + pasmvars->waitflag = waitflag; + if (waitflag == 0) pasmvars->waitmode = 0; + return waitflag; + } + + if (pasmvars->waitmode != WAIT_FLAG) + { + waitflag--; + if (waitflag == 0) + { + if (pasmvars->waitmode == WAIT_HUB && streamflag) + waitflag = 16; + else + pasmvars->waitmode = 0; + } + pasmvars->waitflag = waitflag; + return waitflag; + } } - if (opcode != 127) - waitflag = wait1[opcode]; - else if (srcaddr < 0x120) - waitflag = wait2[srcaddr]; - else - waitflag = 0; - - // if (waitflag) printf("CheckWaitFlag2: %8.8x %d\n", instruct, waitflag); - - hubcycles = (pasmvars->cogid - loopcount) & 7; - - switch (waitflag) + if (pasmvars->rwrep) { - case 0: // 1 - break; + if (!streamflag) return 0; + //printf("Better wait\n"); + pasmvars->waitmode = WAIT_HUB; + pasmvars->waitflag = 16; + return pasmvars->waitflag; + } - case 1: // 1 - 8 - hubop = 1; - waitflag = hubcycles; - waitmode = WAIT_HUB; - break; + if (nohubslots) + hubcycles = 0; + else + hubcycles = (pasmvars->cogid + (value2 >> 2) - loopcount) & 15; - case 2: // 2 - waitflag = 1; - waitmode = WAIT_MULT; - break; - - case 3: // 1 or 3 - 10 rdxxxxc -//printf("\nvalue2 = %8.8x, dcachehubaddr = %8.8x\n", value2&0xffffffe0, pasmvars->dcachehubaddr); - if ((value2 & 0xfffffe0) == pasmvars->dcachehubaddr) + if (instruct1 == INSTR_GETQX) // getqx + { + if (!pasmvars->qxposted && pasmvars->cordic_count) + { + waitflag = 1; + waitmode = WAIT_CORDIC; + } + } + else if (instruct1 == INSTR_GETQY) // getqy + { + if (!pasmvars->qyposted && pasmvars->cordic_count) + { + waitflag = 1; + waitmode = WAIT_CORDIC; + } + } + else if (instruct2 == INSTR_WAITXXX) // waitxxx + { + if ((instruct & 0x3ffff) == 0x02024) // waitint + CheckWaitIntState(pasmvars); + temp = 1 << ((instruct >> 9) & 15); + if (pasmvars->intflags & temp) + { + waitmode = 0; waitflag = 0; - else - { - hubop = 1; - waitmode = WAIT_HUB; - waitflag = hubcycles + 2; - } - break; - - case 4: // 1 or 1 - 8 - if ((value2 & 0xfffffe0) == pasmvars->dcachehubaddr) - waitflag = 0; - else - { - hubop = 1; - waitmode = WAIT_HUB; - waitflag = hubcycles; - } - break; - - case 5: // 1 - 9 for lockset and lockclr - hubop = 1; - waitmode = WAIT_HUB; - waitflag = hubcycles; - if (instruct & 0x00800000) - waitflag++; - break; - - case 6: // 2 - 9 - hubop = 1; - waitmode = WAIT_HUB; - waitflag = hubcycles + 1; - break; - - case 7: // 3 - 10 rdxxxx + } + else + { + waitmode = WAIT_FLAG; + waitflag = 1; + } + } + else if (instruct1 == INSTR_WAITX) // waitx + { + waitmode = WAIT_CNT; + waitflag = value1; + } + else if (opcode >= OPCODE_RDBYTE && opcode <= OPCODE_RDLONG) // rdxxxx + { hubop = 1; waitmode = WAIT_HUB; waitflag = hubcycles + 2; - break; - - case 8: // mac - waitflag = 0; - break; - - case 9: // 1 - 9 for cognew or N for waitcnt - if (instruct & 0x01000000) - { - waitmode = WAIT_CNT; - waitflag = value1 - GetCnt(); - } - else - { - hubop = 1; - waitmode = WAIT_HUB; - waitflag = hubcycles; - if (instruct & 0x00800000) - waitflag++; - } - break; - - case 10: // 1 - 8 for wrlong or 1 for frac - if (instruct & 0x01000000) - waitflag = 0; - else - { - hubop = 1; - waitmode = WAIT_HUB; - waitflag = hubcycles; - } - break; - - case 11: // N for wait - if (!value1) - waitflag = 0; - else - { - waitmode = WAIT_CNT; - waitflag = value1 - 1; - } - break; - - case 12: // mulcount - waitmode = WAIT_MULT; - waitflag = pasmvars->mulcount; - break; - - case 13: // 1 - 8 for callax, callbx - if ((instruct & 0x01800000) == 0x01000000) - { - hubop = 1; - waitmode = WAIT_HUB; - waitflag = hubcycles; - } - else - waitflag = 0; - break; - - case 14: // 1 - 8 for callax, callbx - hubop = 1; - waitmode = WAIT_HUB; - waitflag = hubcycles; - break; - - case 15: // 2 - 9 for retax, retbx - hubop = 1; - waitmode = WAIT_HUB; - waitflag = hubcycles + 1; - break; - - case 16: // N for waitpxx - waitmode = WAIT_PIN; - waitflag = pasmvars->lastd - GetCnt(); - break; } + else if (opcode == OPCODE_WRBYTE || (opcode == OPCODE_WRLONG && (czi&4) == 0) || (opcode == OPCODE_WMLONG && (czi>>1) == 3)) // wrxxxx + { + hubop = 1; + waitmode = WAIT_HUB; + waitflag = hubcycles + 2; + } + else if ((opcode >= OPCODE_QMUL && opcode <= OPCODE_QVECTOR) || instruct1 == INSTR_QLOG || instruct1 == INSTR_QEXP) // qxxxx + { + hubop = 1; + waitmode = WAIT_HUB; + waitflag = ((pasmvars->cogid - loopcount) & 15) + 1; + } + else if (instruct1 >= INSTR_LOCKNEW && instruct1 <= INSTR_LOCKSET) // lockxxx + { + hubop = 1; + waitmode = WAIT_HUB; + waitflag = ((pasmvars->cogid - loopcount) & 15) + 1; + } + else if (instruct1 >= INSTR_RFBYTE && instruct1 <= INSTR_RFLONG) // rfxxxx + { + if (stream_fifo_level(pasmvars) < 2) + { + waitmode = WAIT_CACHE; + waitflag = 1; + } + else + waitflag = 0; + } + else if (instruct1 >= INSTR_WFBYTE && instruct1 <= INSTR_WFLONG) // wfxxxx + { + if (stream_fifo_level(pasmvars) >= 15) + { + waitmode = WAIT_CACHE; + waitflag = 1; + } + else + waitflag = 0; + } + else + waitflag = 0; pasmvars->waitflag = waitflag; pasmvars->waitmode = waitmode; @@ -355,16 +400,18 @@ static int32_t CheckWaitFlag2(PasmVarsT *pasmvars, int instruct, int value1, int return hubop; } -// Compute the hub RAM address from the pointer instruction - SUPIIIIII -int32_t GetPointer(PasmVarsT *pasmvars, int32_t ptrinst, int32_t size) +// Compute the hub RAM address from the pointer instruction - 1SUPIIIII +static int32_t GetPointer(PasmVarsT *pasmvars, int32_t ptrinst, int32_t size) { int32_t address = 0; // Set to zero to avoid compiler warning - int32_t offset = (ptrinst << 26) >> (26 - size); + int32_t offset = (ptrinst << 27) >> (27 - size); + //printf("GetPointer: ptrinst = %x, case = %d, size = %d, offset = %d\n", + //ptrinst, (ptrinst >> 5) & 7, size, offset); - switch ((ptrinst >> 6) & 7) + switch ((ptrinst >> 5) & 7) { case 0: // ptra[offset] - address = (pasmvars->ptra + offset) & 0x3ffff; + address = (pasmvars->ptra + offset) & ADDR_MASK; break; case 1: // ptra @@ -372,19 +419,19 @@ int32_t GetPointer(PasmVarsT *pasmvars, int32_t ptrinst, int32_t size) break; case 2: // ptra[++offset] - address = (pasmvars->ptra + offset) & 0x3ffff; + address = (pasmvars->ptra + offset) & ADDR_MASK; //pasmvars->ptra = address; pasmvars->ptra0 = address; break; case 3: // ptra[offset++] address = pasmvars->ptra; - //pasmvars->ptra = (pasmvars->ptra + offset) & 0x3ffff; - pasmvars->ptra0 = (pasmvars->ptra + offset) & 0x3ffff; + //pasmvars->ptra = (pasmvars->ptra + offset) & ADDR_MASK; + pasmvars->ptra0 = (pasmvars->ptra + offset) & ADDR_MASK; break; case 4: // ptrb[offset] - address = (pasmvars->ptrb + offset) & 0x3ffff; + address = (pasmvars->ptrb + offset) & ADDR_MASK; break; case 5: // ptrb @@ -392,63 +439,15 @@ int32_t GetPointer(PasmVarsT *pasmvars, int32_t ptrinst, int32_t size) break; case 6: // ptrb[++offset] - address = (pasmvars->ptrb + offset) & 0x3ffff; + address = (pasmvars->ptrb + offset) & ADDR_MASK; //pasmvars->ptrb = address; pasmvars->ptrb0 = address; break; case 7: // ptrb[offset++] address = pasmvars->ptrb; - //pasmvars->ptrb = (pasmvars->ptrb + offset) & 0x3ffff; - pasmvars->ptrb0 = (pasmvars->ptrb + offset) & 0x3ffff; - break; - } - - return address; -} - -// Compute the aux RAM pointer from the pointer instruction - 1SUPIIIII -int32_t GetAuxPointer(PasmVarsT *pasmvars, int32_t ptrinst) -{ - int32_t address = 0; // Set to zero to avoid compiler warning - int32_t offset = (ptrinst << 27) >> 27; - - switch ((ptrinst >> 5) & 7) - { - case 0: // ptrx[offset] - address = (pasmvars->ptrx + offset) & 255; - break; - - case 1: // ptrx - address = pasmvars->ptrx; - break; - - case 2: // ptrx[++offset] - address = (pasmvars->ptrx + offset) & 255; - pasmvars->ptrx = address; - break; - - case 3: // ptrx[offset++] - address = pasmvars->ptrx; - pasmvars->ptrx = (pasmvars->ptrx + offset) & 255; - break; - - case 4: // ptry[offset] - address = (pasmvars->ptry + offset) & 255; - break; - - case 5: // ptry - address = pasmvars->ptry; - break; - - case 6: // ptry[++offset] - address = (pasmvars->ptry + offset) & 255; - pasmvars->ptry = address; - break; - - case 7: // ptry[offset++] - address = pasmvars->ptry; - pasmvars->ptry = (pasmvars->ptry + offset) & 255; + //pasmvars->ptrb = (pasmvars->ptrb + offset) & ADDR_MASK; + pasmvars->ptrb0 = (pasmvars->ptrb + offset) & ADDR_MASK; break; } @@ -459,10 +458,11 @@ void UpdatePins2(void) { int32_t i; int32_t mask1; - int32_t val = 0; - int32_t mask = 0; + int32_t val; + int32_t mask; - for (i = 0; i < 8; i++) + val = mask = 0; + for (i = 0; i < 16; i++) { if (PasmVars[i].state) { @@ -471,216 +471,781 @@ void UpdatePins2(void) mask |= mask1; } } - pin_val = (~mask) | val; - //printf("UpdatePins2: %8.8x\n", pin_val); + pin_val_a = (~mask) | val; + + val = mask = 0; + for (i = 0; i < 16; i++) + { + if (PasmVars[i].state) + { + mask1 = PasmVars[i].mem[REG_DIRB]; // dirb + val |= mask1 & PasmVars[i].mem[REG_OUTB]; // outb + mask |= mask1; + } + } + pin_val_b = (~mask) | val; + //printf("UpdatePins2: %8.8x %8.8x\n", pin_val_b, pin_val_a); } -void CheckIndRegs(PasmVarsT *pasmvars, int32_t instruct, int32_t sflag, int32_t dflag, int32_t *pdstaddr, int32_t *psrcaddr) +static void SaveRegisters(PasmVarsT *pasmvars) { - int32_t dstaddr = *pdstaddr; - int32_t srcaddr = *psrcaddr; - int32_t cond = (instruct >> 18) & 15; - int32_t opcode_zci = (instruct >> 22) & 0x3ff; - int32_t indirects = (!sflag && (srcaddr & 0x1fe) == 0x1f2); - int32_t indirectd = (!dflag && (dstaddr & 0x1fe) == 0x1f2); - int32_t pre_indexs = cond & 3; - int32_t pre_indexd = cond >> 2; - int32_t post_indexs = pre_indexs; - int32_t post_indexd = pre_indexd; - static int32_t postincrtab[4] = {0, 1, -1, 1}; - int32_t incra = 0; - int32_t incrb = 0; - - // Don't handle fixindx or setindx here - if (opcode_zci == 0x3f0) return; - - // Return if neither source or destination is indirect - if (!indirects && !indirectd) return; - - // Or post-increment indices if source and destination use same register - if (indirects && indirectd && srcaddr == dstaddr) - post_indexs = post_indexd = (pre_indexs | pre_indexd); - - if (indirectd) - { - if (dstaddr == REG_INDA) - { - incra = postincrtab[post_indexd]; - dstaddr = pasmvars->inda + (pre_indexd == 3); - if (dstaddr > pasmvars->indatop) dstaddr = pasmvars->indabot; - } - else - { - incrb = postincrtab[post_indexd]; - dstaddr = pasmvars->indb + (pre_indexd == 3); - if (dstaddr > pasmvars->indbtop) dstaddr = pasmvars->indbbot; - } - } - - if (indirects) - { - if (srcaddr == REG_INDA) - { - incra = postincrtab[post_indexd]; - srcaddr = pasmvars->inda + (pre_indexd == 3); - if (srcaddr > pasmvars->indatop) srcaddr = pasmvars->indabot; - } - else - { - incrb = postincrtab[post_indexd]; - srcaddr = pasmvars->indb + (pre_indexd == 3); - if (srcaddr > pasmvars->indbtop) srcaddr = pasmvars->indbbot; - } - } - - if (incra == 1) - { - if (pasmvars->inda < pasmvars->indatop) - pasmvars->inda0 = pasmvars->inda + 1; - else - pasmvars->inda0 = pasmvars->indabot; - } - else if (incra == -1) - { - if (pasmvars->inda > pasmvars->indabot) - pasmvars->inda0 = pasmvars->inda - 1; - else - pasmvars->inda0 = pasmvars->indatop; - } - - if (incrb == 1) - { - if (pasmvars->indb < pasmvars->indbtop) - pasmvars->indb0 = pasmvars->indb + 1; - else - pasmvars->indb0 = pasmvars->indbbot; - } - else if (incrb == -1) - { - if (pasmvars->indb > pasmvars->indbbot) - pasmvars->indb0 = pasmvars->indb - 1; - else - pasmvars->indb0 = pasmvars->indbtop; - } - - // Update values of srcaddr and dstaddr - *psrcaddr = srcaddr; - *pdstaddr = dstaddr; -} - -#if 0 -void IncrementIndRegs(PasmVarsT *pasmvars) -{ - pasmvars->inda += pasmvars->indaincr; - if (pasmvars->inda > pasmvars->indatop) - pasmvars->inda = pasmvars->indabot; - else if (pasmvars->inda < pasmvars->indabot) - pasmvars->inda = pasmvars->indatop; - - pasmvars->indb += pasmvars->indbincr; - if (pasmvars->indb > pasmvars->indbtop) - pasmvars->indb = pasmvars->indbbot; - else if (pasmvars->indb < pasmvars->indbbot) - pasmvars->indb = pasmvars->indbtop; -} -#endif - -void SaveRegisters(PasmVarsT *pasmvars) -{ - pasmvars->inda0 = pasmvars->inda; - pasmvars->indb0 = pasmvars->indb; pasmvars->ptra0 = pasmvars->ptra; pasmvars->ptrb0 = pasmvars->ptrb; } -void UpdateRegisters(PasmVarsT *pasmvars) +static void UpdateRegisters(PasmVarsT *pasmvars) { - pasmvars->inda = pasmvars->inda0; - pasmvars->indb = pasmvars->indb0; pasmvars->ptra = pasmvars->ptra0; pasmvars->ptrb = pasmvars->ptrb0; } -int32_t FetchHubInstruction(PasmVarsT *pasmvars, int32_t prefetch) +static void start_fast_mode(PasmVarsT *pasmvars, int32_t addr0, int32_t addr1, int32_t mode) { - int32_t i, j, pc, lineaddr, maxnotused; - - if (prefetch) - pc = (pasmvars->pc1 + 8) & 0xffff; - else - pc = pasmvars->pc & 0xffff; - lineaddr = (pc << 2) & 0x3ffe0; - - // Check if already cached - for (i = 0; i < 4; i++) - { - if (lineaddr == pasmvars->icachehubaddr[i]) break; - } - - // Update usage if not prefetch - if (!prefetch) - { - for (j = 0; j < 4; j++) - { - if (j == i) - pasmvars->icachenotused[j] = 0; - else - { - pasmvars->icachenotused[j]++; - if (pasmvars->icachenotused[j] > 255) - pasmvars->icachenotused[j] = 255; - } - } - } - - // Return if cached - if (i < 4) - return pasmvars->icache[i][pc&7]; - - // Find the least used cache buffer - j = 0; - maxnotused = pasmvars->icachenotused[0]; - for (i = 1; i < 4; i++) - { - if (pasmvars->icachenotused[i] > maxnotused) - { - j = i; - maxnotused = pasmvars->icachenotused[i]; - } - } - - // Fill selected cache buffer and return -#if 0 - if (!prefetch) - printf("FetchHubInstruction: Fetch into cache %d, pc = %4.4x\n", j, pc); - else - printf("FetchHubInstruction: Prefetch into cache %d, pc = %4.4x\n", j, pc); -#endif - if (!prefetch) - { - pasmvars->waitmode = WAIT_CACHE; - pasmvars->waitflag = ((pasmvars->cogid - loopcount) & 7) + 2; - } - pasmvars->icache[j][0] = LONG(lineaddr); - pasmvars->icache[j][1] = LONG(lineaddr + 4); - pasmvars->icache[j][2] = LONG(lineaddr + 8); - pasmvars->icache[j][3] = LONG(lineaddr + 12); - pasmvars->icache[j][4] = LONG(lineaddr + 16); - pasmvars->icache[j][5] = LONG(lineaddr + 20); - pasmvars->icache[j][6] = LONG(lineaddr + 24); - pasmvars->icache[j][7] = LONG(lineaddr + 28); - pasmvars->icachehubaddr[j] = lineaddr; - pasmvars->icachenotused[j] = 0; - return pasmvars->icache[j][pc&7]; + pasmvars->str_fifo_mode = mode; + pasmvars->str_fifo_tail_addr = addr0; + pasmvars->str_fifo_head_addr = addr0; + pasmvars->str_fifo_rindex = pasmvars->str_fifo_windex; + pasmvars->str_fifo_addr0 = addr0; + pasmvars->str_fifo_addr1 = addr1; + pasmvars->str_fifo_work_flag = 0; } -void CheckPrefetch(PasmVarsT *pasmvars) +static void check_hubexec_mode(PasmVarsT *pasmvars) { - if (!pasmvars->prefetch) return; - if (pasmvars->cogid != (loopcount & 7)) return; - if (pasmvars->waitmode & WAIT_HUB) return; - if (pasmvars->pc < 0x200) return; - FetchHubInstruction(pasmvars, 1); + if (pasmvars->pc & 0xffc00) + { + start_fast_mode(pasmvars, pasmvars->pc, pasmvars->pc, 3); + pasmvars->waitmode = WAIT_CACHE; + pasmvars->waitflag = 1; + } + else if (pasmvars->str_fifo_mode == 3) + pasmvars->str_fifo_mode = 0; +} + +#if 0 +static int32_t CheckForHubExecWait(PasmVarsT *pasmvars) +{ + if (stream_fifo_level(pasmvars) == 0 || pasmvars->str_fifo_tail_addr != pasmvars->pc || pasmvars->str_fifo_mode != 3) + { + check_hubexec_mode(pasmvars); +#if 0 + start_fast_mode(pasmvars, pasmvars->pc, pasmvars->pc, 3); + pasmvars->waitmode = WAIT_CACHE; + pasmvars->waitflag = 1; +#endif + return 1; + } + return 0; +} +#endif + +static int32_t check_read_stream_fifo_level(PasmVarsT *pasmvars, char *ptr) +{ + if (stream_fifo_level(pasmvars) == 0) + { + printf("%s: ERROR! level = %d, addr = %8.8x%s", ptr, + stream_fifo_level(pasmvars), pasmvars->str_fifo_tail_addr, NEW_LINE); + return 1; + } + return 0; +} + +static int32_t read_stream_fifo(PasmVarsT *pasmvars, int target_addr) +{ + int32_t index; + + if (check_read_stream_fifo_level(pasmvars, "read_stream_fifo")) return 0; + if (target_addr >= 0 && pasmvars->str_fifo_tail_addr != target_addr) + { + printf("read_stream_fifo: ERROR! level = %d, addr = %8.8x, target = %8.8x%s", + stream_fifo_level(pasmvars), pasmvars->str_fifo_tail_addr, target_addr, NEW_LINE); + return 0; + } + index = pasmvars->str_fifo_rindex; + pasmvars->str_fifo_rindex = (index + 1) & 15; + pasmvars->str_fifo_tail_addr += 4; + if (pasmvars->str_fifo_tail_addr == pasmvars->str_fifo_addr1) + pasmvars->str_fifo_tail_addr = pasmvars->str_fifo_addr0; + return pasmvars->str_fifo_buffer[index]; +} + +static int32_t read_stream_fifo_byte(PasmVarsT *pasmvars) +{ + int bytenum = pasmvars->str_fifo_tail_addr & 3; + int index = pasmvars->str_fifo_rindex; + uint8_t *bufptr = (uint8_t *)&pasmvars->str_fifo_buffer[index]; + if (check_read_stream_fifo_level(pasmvars, "read_stream_fifo_byte")) return 0; + if (bytenum == 3) pasmvars->str_fifo_rindex = (index + 1) & 15; + pasmvars->str_fifo_tail_addr++; + if (pasmvars->str_fifo_tail_addr == pasmvars->str_fifo_addr1) + pasmvars->str_fifo_tail_addr = pasmvars->str_fifo_addr0; + return bufptr[bytenum]; +} + +static int32_t read_stream_fifo_word(PasmVarsT *pasmvars) +{ + int value; + value = read_stream_fifo_byte(pasmvars); + value |= read_stream_fifo_byte(pasmvars) << 8; + return value; +} + +static int32_t read_stream_fifo_long(PasmVarsT *pasmvars) +{ + int value; + if (pasmvars->str_fifo_tail_addr & 3) + { + value = read_stream_fifo_word(pasmvars); + value |= read_stream_fifo_word(pasmvars) << 16; + } + else + value = read_stream_fifo(pasmvars, -1); + return value; +} + +static void write_stream_fifo(PasmVarsT *pasmvars, int value) +{ + int32_t index; + + if (stream_fifo_level(pasmvars) >= 15) + { + printf("write_stream_fifo: ERROR! level = %d, addr = %8.8x%s", + stream_fifo_level(pasmvars), pasmvars->str_fifo_head_addr, NEW_LINE); + return; + } + index = pasmvars->str_fifo_windex; + pasmvars->str_fifo_buffer[index] = value; + pasmvars->str_fifo_windex = (index + 1) & 15; + pasmvars->str_fifo_head_addr += 4; + if (pasmvars->str_fifo_head_addr == pasmvars->str_fifo_addr1) + pasmvars->str_fifo_head_addr = pasmvars->str_fifo_addr0; +} + +static void write_stream_fifo_byte(PasmVarsT *pasmvars, int value) +{ + int bytenum = pasmvars->str_fifo_head_addr & 3; + int shift = bytenum * 8; + int mask = 255 << shift; + int work_word; + + if (stream_fifo_level(pasmvars) >= 15) + { + printf("write_stream_fifo_byte: ERROR! level = %d, addr = %8.8x%s", + stream_fifo_level(pasmvars), pasmvars->str_fifo_head_addr, NEW_LINE); + return; + } + + if (!pasmvars->str_fifo_work_flag) + work_word = LONG(pasmvars->str_fifo_head_addr); + else + work_word = pasmvars->str_fifo_work_word; + + + work_word = (work_word & ~mask) | ((value << shift) & mask); + + //printf("work_word = %8.8x, shift = %x, mask = %8.8x, addr = %8.8x\n", + //work_word, shift, mask, pasmvars->str_fifo_head_addr); + + pasmvars->str_fifo_head_addr++; + if (pasmvars->str_fifo_head_addr == pasmvars->str_fifo_addr1) + pasmvars->str_fifo_head_addr = pasmvars->str_fifo_addr0; + + if (bytenum == 3) + { + int index = pasmvars->str_fifo_windex; + pasmvars->str_fifo_buffer[index] = work_word; + pasmvars->str_fifo_windex = (index + 1) & 15; + pasmvars->str_fifo_work_flag = 0; + } + else + { + pasmvars->str_fifo_work_word = work_word; + pasmvars->str_fifo_work_flag = 1; + } +} + +static void write_stream_fifo_word(PasmVarsT *pasmvars, int value) +{ + write_stream_fifo_byte(pasmvars, value); + write_stream_fifo_byte(pasmvars, value >> 8); +} + +static void write_stream_fifo_long(PasmVarsT *pasmvars, int value) +{ + if (pasmvars->str_fifo_head_addr & 3) + { + write_stream_fifo_byte(pasmvars, value); + write_stream_fifo_byte(pasmvars, value >> 16); + } + else + { + write_stream_fifo(pasmvars, value); + } +} + +static int32_t CheckStreamFifo(PasmVarsT *pasmvars) +{ + int addr, index, value, level; + + if (pasmvars->str_fifo_mode == 0) return 0; + level = stream_fifo_level(pasmvars); + + if (pasmvars->str_fifo_mode == 1 || pasmvars->str_fifo_mode == 3) + { + if (level >= 10) return 0; + + index = pasmvars->str_fifo_windex; + addr = pasmvars->str_fifo_head_addr; + value = LONG(addr); + + if ((pasmvars->cogid + (addr >> 2) - loopcount) & 15) return 0; + + if (pasmvars->printflag == 0xf) + printf("Cog %2d: %8.8x fifo[%d] = hram[%x] = %8.8x%s", + pasmvars->cogid, loopcount, level, addr, value, NEW_LINE); + + write_stream_fifo(pasmvars, value); + } + else if (pasmvars->str_fifo_mode == 2) + { + if (level == 0 && pasmvars->str_fifo_work_flag == 0) return 0; + + addr = pasmvars->str_fifo_tail_addr; + if ((pasmvars->cogid + (addr >> 2) - loopcount) & 15) return 0; + + if (level) + { + index = pasmvars->str_fifo_rindex; + value = pasmvars->str_fifo_buffer[index]; + + if (pasmvars->printflag == 0xf) + printf("Cog %2d: %8.8x hram[%x] = fifo[%d] = %8.8x%s", + pasmvars->cogid, loopcount, addr, level, value, NEW_LINE); + + LONG(addr) = read_stream_fifo(pasmvars, -1); + } + else + { + value = pasmvars->str_fifo_work_word; + + if (pasmvars->printflag == 0xf) + printf("Cog %2d: %8.8x hram[%x] = workword = %8.8x%s", + pasmvars->cogid, loopcount, addr, value, NEW_LINE); + + LONG(addr) = pasmvars->str_fifo_work_word; + pasmvars->str_fifo_work_flag = 0; + } + } + return 1; +} + +#if 0 +static void CheckSerialPorts(PasmVarsT *pasmvars) +{ + int addr, value1, value2; + + if (pasmvars->serina.mode) + SerialReceive(&pasmvars->serina, pin_val); + + if (pasmvars->serinb.mode) + SerialReceive(&pasmvars->serinb, pin_val); + + if (pasmvars->serouta.mode) + { + addr = ((pasmvars->serouta.pin_num >> 5) & 3) + REG_OUTA; + value1 = pasmvars->mem[addr]; + value2 = SerialSend(&pasmvars->serouta, value1); + if (value1 != value2) + { + pasmvars->mem[addr] = value2; + UpdatePins2(); + } + } + + if (pasmvars->seroutb.mode) + { + addr = ((pasmvars->seroutb.pin_num >> 5) & 3) + REG_OUTA; + value1 = pasmvars->mem[addr]; + value2 = SerialSend(&pasmvars->seroutb, value1); + if (value1 != value2) + { + pasmvars->mem[addr] = value2; + UpdatePins2(); + } + } +} +#endif + +void UpdateRWlongFlags(void) +{ + rdl_flags1 = rdl_flags0; + wrl_flags1 = wrl_flags0; + rdl_flags0 = 0; + wrl_flags0 = 0; +} + +static void CheckInterruptFlags(PasmVarsT *pasmvars) +{ + int count = GetCnt(); + //if (count == pasmvars->cntreg1) { pasmvars->intflags |= (1 << 1); printf("\nCT1 FLAG SET\n"); printf("intflags = %8.8x\n", pasmvars->intflags); } + if (count == pasmvars->cntreg1) pasmvars->intflags |= (1 << 1); + if (count == pasmvars->cntreg2) pasmvars->intflags |= (1 << 2); + if (count == pasmvars->cntreg3) pasmvars->intflags |= (1 << 3); + if (pasmvars->pinpatmode) + { + switch (pasmvars->pinpatmode) + { + case 1: + if ((pin_val_a & pasmvars->pinpatmask) == pasmvars->pinpattern) + { + pasmvars->intflags |= (1 << 4); + pasmvars->pinpatmode = 0; + } + break; + case 2: + if ((pin_val_a & pasmvars->pinpatmask) != pasmvars->pinpattern) + { + pasmvars->intflags |= (1 << 4); + pasmvars->pinpatmode = 0; + } + break; + case 3: + if ((pin_val_b & pasmvars->pinpatmask) == pasmvars->pinpattern) + { + pasmvars->intflags |= (1 << 4); + pasmvars->pinpatmode = 0; + } + break; + case 4: + if ((pin_val_b & pasmvars->pinpatmask) != pasmvars->pinpattern) + { + pasmvars->intflags |= (1 << 4); + pasmvars->pinpatmode = 0; + } + break; + default: + pasmvars->pinpatmode = 0; + break; + } + } + if (pasmvars->pinedge & 0xc0) + { + int pin_prev = (pasmvars->pinedge >> 8) & 1; + if (pin_prev != getpinval(pasmvars->pinedge)) + { + int pin_mode = (pasmvars->pinedge >> 6) & 3; +#ifdef DEBUG_STUFF +printf("\nPIN EDGE EVENT: mode = %d, prev = %d, curr = %d\n", pin_mode, pin_prev, getpinval(pasmvars->pinedge)); +#endif + if (pin_mode == 3 || (pin_mode == 1 && !pin_prev) || (pin_mode == 2 && pin_prev)) + { + //pasmvars->pinedge = 0; + pasmvars->intflags |= (1 << 5); + } + pasmvars->pinedge ^= 0x100; + } + } + if (pasmvars->rdl_mask & rdl_flags1) + { + //pasmvars->rdl_mask = 0; + pasmvars->intflags |= (1 << 6); +#ifdef DEBUG_STUFF + printf("\nSet RDL flag bit. mask = %8.8x flags = %8.8x\n", pasmvars->rdl_mask, rdl_flags1); +#endif + } + if (pasmvars->wrl_mask & wrl_flags1) + { + //pasmvars->wrl_mask = 0; + pasmvars->intflags |= (1 << 7); +#ifdef DEBUG_STUFF + printf("\nSet WRL flag bit. mask = %8.8x flags = %8.8x\n", pasmvars->wrl_mask, wrl_flags1); +#endif + } + if (pasmvars->lockedge & 0x30) + { + int lock_num = (pasmvars->lockedge & 15); + int lock_prev = (pasmvars->lockedge >> 6) & 1; + if (lock_prev != lockstate[lock_num]) + { + int lock_mode = (pasmvars->lockedge >> 4) & 3; +#ifdef DEBUG_STUFF + printf("\nLOCK EDGE EVENT: mode = %d, prev = %d, curr = %d\n", + lock_mode, lock_prev, lockstate[lock_num]); +#endif + if (lock_mode == 3 || (lock_mode == 1 && !lock_prev) || (lock_mode == 2 && lock_prev)) + { + pasmvars->intflags |= (1 << 8); + } + pasmvars->lockedge ^= 0x40; + } + } +} + +static int CheckForInterrupt(PasmVarsT *pasmvars) +{ + // Defer interrupt if invalidated instruction in pipeline + if (pasmvars->pc1 & INVALIDATE_INSTR) return 0; + + // Defer interrupt if AUGS or AUGD is pending + if (pasmvars->augsflag || pasmvars->augdflag) return 0; + + // Defer interrupt if memflag is set + if (pasmvars->memflag) return 0; + + // Check if interrupts disabled or INT1 active + if (pasmvars->intstate & 3) return 0; + + // Check INT1 + if (pasmvars->intenable1) + { + int bitmask = (1 << pasmvars->intenable1); + if (pasmvars->intflags & bitmask) + { + pasmvars->intflags &= ~bitmask; + pasmvars->intflags |= 1; + pasmvars->instruct2 = 0xfabbebf4; + pasmvars->intstate |= 2; +#ifdef DEBUG_STUFF +printf("%d: INT1 %8.8x\n", pasmvars->cogid, bitmask); +#endif + return 1; + } + } + + // Check if interrupts disabled or INT1 or INT2 active + if (pasmvars->intstate & 7) return 0; + + // Check INT2 + if (pasmvars->intenable2) + { + int bitmask = (1 << pasmvars->intenable2); + if (pasmvars->intflags & bitmask) + { + pasmvars->intflags &= ~bitmask; + pasmvars->intflags |= 1; + pasmvars->instruct2 = 0xfabbe7f2; + pasmvars->intstate |= 4; +#ifdef DEBUG_STUFF +printf("%d: INT2 %8.8x\n", pasmvars->cogid, bitmask); +#endif + return 1; + } + } + + // Check if interrupts disabled or INT1, INT2 or INT3 active + if (pasmvars->intstate & 15) return 0; + + // Check INT3 + if (pasmvars->intenable3) + { + int bitmask = (1 << pasmvars->intenable3); + if (pasmvars->intflags & bitmask) + { + pasmvars->intflags &= ~bitmask; + pasmvars->intflags |= 1; + pasmvars->instruct2 = 0xfabbe3f0; + pasmvars->intstate |= 8; +#ifdef DEBUG_STUFF +printf("%d: INT3 %8.8x\n", pasmvars->cogid, bitmask); +#endif + return 1; + } + } + return 0; +} + +static int32_t read_unaligned_word(int32_t addr) +{ + uint16_t value; + memcpy(&value, &hubram[MAP_ADDR(addr)], 2); + return value; +} + +static int32_t read_unaligned_long(int32_t addr) +{ + int32_t value; + memcpy(&value, &hubram[MAP_ADDR(addr)], 4); + return value; +} + +static void write_unaligned_word(int32_t addr, int32_t value) +{ + memcpy(&hubram[MAP_ADDR(addr)], &value, 2); +} + +static void write_unaligned_long(int32_t addr, int32_t value) +{ + memcpy(&hubram[MAP_ADDR(addr)], &value, 4); +} + +static int32_t start_cordic(PasmVarsT *pasmvars) +{ + int32_t cordic_depth = pasmvars->cordic_depth++; + + if (cordic_depth >= 3) + { + printf("%sERROR: CORDIC overflow! - %d%s", NEW_LINE, cordic_depth, NEW_LINE); + cordic_depth = 2; + } + + if (!cordic_depth) + { + pasmvars->qxposted = 0; + pasmvars->qyposted = 0; + pasmvars->cordic_count = 38; + } + return cordic_depth; +} + +static int32_t ProcessAltiIncrement(int32_t value1, int32_t value2) +{ + int32_t temp, mask; + int32_t rrr = (value2 >> 6) & 7; + int32_t ddd = (value2 >> 3) & 7; + int32_t sss = value2 & 7; + + if (rrr & 2) + { + temp = (value2 >> 15) & 7; + mask = (1 << (9 - temp)) - 1; + temp = value1 >> 19; + temp += ((rrr&1)<<1) - 1; + temp &= mask; + value1 = (value1 & ~(mask << 19)) | (temp << 19); + } + + if (ddd & 2) + { + temp = (value2 >> 12) & 7; + mask = (1 << (9 - temp)) - 1; + temp = value1 >> 9; + temp += ((ddd&1)<<1) - 1; + temp &= mask; + value1 = (value1 & ~(mask << 9)) | (temp << 9); + } + + if (sss & 2) + { + temp = (value2 >> 9) & 7; + mask = (1 << (9 - temp)) - 1; + temp = value1; + temp += ((sss&1)<<1) - 1; + temp &= mask; + value1 = (value1 & ~mask) | temp; + } + + + return value1; +} + +#if 0 +static void ProcessAltiFetch(PasmVarsT *pasmvars) +{ + int32_t instruct = pasmvars->instruct2; + int32_t value = pasmvars->altivalue; + int32_t rrr = (pasmvars->altiflag >> 6) & 7; + int32_t ddd = (pasmvars->altiflag >> 3) & 7; + int32_t sss = pasmvars->altiflag & 7; + + switch (rrr) + { + case 1: + pasmvars->altrflag = 1; + pasmvars->altrvalue = -1; + break; + + case 4: + case 6: + case 7: + pasmvars->altrflag = 1; + pasmvars->altrvalue = (instruct >> 19) & 0x1ff; + break; + + case 5: + instruct = (instruct & 0x3ffff) | (value & ~0x3ffff); + break; + } + + switch (ddd) + { + case 1: + instruct = (instruct & ~0x3fe00) | ((value << 9) & 0x3fe00); + break; + + case 4: + case 5: + case 6: + case 7: + instruct = (instruct & ~0x3fe00) | (value & 0x3fe00); + break; + } + + switch (sss) + { + case 1: + instruct = (instruct & ~0x1ff) | ((value >> 9) & 0x1ff); + break; + + case 4: + case 5: + case 6: + case 7: + instruct = (instruct & ~0x1ff) | (value & 0x1ff); + break; + } + + pasmvars->instruct2 = instruct; + pasmvars->altiflag = 0; +} +#endif + +int32_t pointer_shift(int32_t instruct) +{ + int32_t opcode = (instruct >> 21) & 127; + int32_t czi = (instruct >> 18) & 7; + + if (opcode == OPCODE_WMLONG && (czi >> 1) == 3) return 2; // wmlong + + if (opcode == OPCODE_RDBYTE) return 0; // rdbyte + if (opcode == OPCODE_RDWORD) return 1; // rdword + if (opcode == OPCODE_RDLONG) return 2; // rdlong + + if (opcode == OPCODE_WRBYTE && (czi >> 2) == 0) return 0; // wrbyte + if (opcode == OPCODE_WRWORD && (czi >> 2) == 1) return 1; // wrword + if (opcode == OPCODE_WRLONG && (czi >> 2) == 0) return 2; // wrlong + + return -1; +} + +int32_t ModifyFlag(int cflag, int zflag, int func) +{ + int retval; + switch (func & 15) + { + case 0: + retval = 0; + break; + + case 1: + retval = (cflag ^ 1) & (zflag ^ 1); + break; + + case 2: + retval = (cflag ^ 1) & zflag; + break; + + case 3: + retval = (cflag ^ 1); + break; + + case 4: + retval = cflag & (zflag ^ 1); + break; + + case 5: + retval = (zflag ^ 1); + break; + + case 6: + retval = (cflag != zflag); + break; + + case 7: + retval = (cflag ^ 1) | (zflag ^ 1); + break; + + case 8: + retval = cflag & zflag; + break; + + case 9: + retval = (cflag == zflag); + break; + + case 10: + retval = zflag; + break; + + case 11: + retval = (cflag ^ 1) | zflag; + break; + + case 12: + retval = cflag; + break; + + case 13: + retval = cflag | (zflag ^ 1); + break; + + case 14: + retval = cflag | zflag; + break; + + case 15: + retval = 1; + break; + } + return retval; +} + +int32_t GetMixVal(int32_t mode, int32_t var, int32_t sbyte, int32_t dbyte) +{ + int32_t rval; + + switch (mode & 7) + { + case 0: + rval = 0; + break; + + case 1: + rval = 0xff; + break; + + case 2: + rval = var & 255; + break; + + case 3: + rval = (~var) & 255; + break; + + case 4: + rval = sbyte & 255; + break; + + case 5: + rval = (~sbyte) & 255; + break; + + case 6: + rval = dbyte & 255; + break; + + case 7: + rval = (~dbyte) & 255; + break; + } + return rval; +} + +void CheckSkip(PasmVarsT *pasmvars) +{ + int i; + + if (pasmvars->skip_mode & 1) + { + for (i = 0; i < 7; i++) + { + if (!(pasmvars->skip_mode & 1)) break; + pasmvars->pc++; + pasmvars->skip_mode >>= 1; + } + if (pasmvars->skip_mode & 1) + pasmvars->skip_mask |= 2; + } + pasmvars->skip_mode >>= 1; } int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) @@ -688,44 +1253,119 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) int32_t cflag = pasmvars->cflag; int32_t zflag = pasmvars->zflag; int32_t instruct, pc, cond; - int32_t opcode, value2, value1, zci; - int32_t srcaddr, dstaddr; + int32_t opcode, value2, value1, czi; + int32_t srcaddr, dstaddr, rsltaddr; int32_t result = 0; - int32_t temp, write_zcr, sflag, dflag, psflag, pdflag; - int32_t opcode_zci, indirect, rflag, aflag; + int32_t i, temp, write_czr, sflag = 0, dflag = 0, psflag = 0; + int32_t rflag = 0; int32_t returnflag = 0; int32_t breakflag = 0; - int32_t hubop = 0; + int32_t streamflag = 0; + int32_t pc_incr; + int32_t memflag = pasmvars->memflag; + int32_t post_ret = 0; + int32_t alt_instr = 0; - // Check if multiplier working - if (pasmvars->mulcount) - pasmvars->mulcount--; +#if 0 + // Check serial ports + CheckSerialPorts(pasmvars); +#endif + + // Check interrupt flags + CheckInterruptFlags(pasmvars); + + // Check if cordic engine working + if (pasmvars->cordic_count) + { +//printf("\ncordic_count = %d, cordic_depth = %d\n", pasmvars->cordic_count, pasmvars->cordic_depth); + pasmvars->cordic_count--; + if (!pasmvars->cordic_count) + { + if (--pasmvars->cordic_depth) + pasmvars->cordic_count = 16; + pasmvars->qxreg = pasmvars->qxqueue[0]; + pasmvars->qyreg = pasmvars->qyqueue[0]; + pasmvars->qxposted = 1; + pasmvars->qyposted = 1; + for (i = 0; i < pasmvars->cordic_depth; i++) + { + pasmvars->qxqueue[i] = pasmvars->qxqueue[i+1]; + pasmvars->qyqueue[i] = pasmvars->qyqueue[i+1]; + } + } + } + + // Check if streaming FIFO needs filling + streamflag = CheckStreamFifo(pasmvars); // Check if we can skip further processing if not printing, not waiting // for a pin state and wait flag is greater than 1. if (pasmvars->waitflag > 1 && !pasmvars->printflag && pasmvars->waitmode != WAIT_PIN) { - CheckPrefetch(pasmvars); pasmvars->waitflag--; return 0; } - // Fetch a new instruction and update the pipeline - if (!pasmvars->waitflag) + // Check for cache wait + if (pasmvars->waitflag && pasmvars->waitmode == WAIT_CACHE) { - // Update instruction pipeline and fetch new instruction - pasmvars->instruct4 = pasmvars->instruct3; - pasmvars->instruct3 = pasmvars->instruct2; + if (stream_fifo_level(pasmvars) >= 2) + { + pasmvars->waitflag = 0; + pasmvars->waitmode = 0; + } + else + { + if (pasmvars->printflag) + DebugPasmInstruction2(pasmvars); + return 0; + } + } + + // Fetch a new instruction and update the pipeline + if (!pasmvars->waitflag && pasmvars->phase == 0) // && !pasmvars->rwrep) + { + if (CheckForInterrupt(pasmvars)) + { + if (pasmvars->pc2 & 0xffc00) + pasmvars->pc2 = (pasmvars->pc1 - 4); + else + pasmvars->pc2 = (pasmvars->pc1 - 1); + if (pasmvars->printflag) DebugPasmInstruction2(pasmvars); + pasmvars->phase = 1; + return 0; + } + + //if (pasmvars->pc >= 0x400 && CheckForHubExecWait(pasmvars)) return 0; + pasmvars->instruct2 = pasmvars->instruct1; - if ((pasmvars->pc & 0xfff8) == pasmvars->dcachecogaddr) - pasmvars->instruct1 = pasmvars->dcache[pasmvars->pc & 7]; - else if (pasmvars->pc < 0x200) + +#if 0 + // Modify instruction if previous instruction was ALTI + if (pasmvars->altiflag) ProcessAltiFetch(pasmvars); +#endif + + // Update instruction pipeline and fetch new instruction + if (pasmvars->pc < 0x200) + { + //pasmvars->str_fifo_tail_addr = 0; + CheckSkip(pasmvars); pasmvars->instruct1 = pasmvars->mem[pasmvars->pc]; - else if (pasmvars->pc) - pasmvars->instruct1 = FetchHubInstruction(pasmvars, 0); + } + else if (pasmvars->pc < 0x400) + { + //pasmvars->str_fifo_tail_addr = 0; + CheckSkip(pasmvars); + pasmvars->instruct1 = pasmvars->lut[pasmvars->pc - 0x200]; + } + else +#if 0 + pasmvars->instruct1 = read_stream_fifo(pasmvars, pasmvars->pc); +#else + pasmvars->instruct1 = read_stream_fifo_long(pasmvars); +#endif + // Update PC pipeline and increment PC - pasmvars->pc4 = pasmvars->pc3; - pasmvars->pc3 = pasmvars->pc2; pasmvars->pc2 = pasmvars->pc1; pasmvars->pc1 = pasmvars->pc; if (pasmvars->repcnt && pasmvars->pc >= pasmvars->reptop) @@ -734,136 +1374,153 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) pasmvars->pc = pasmvars->repbot; } else - pasmvars->pc = (pasmvars->pc + 1) & 0xffff; - // Check for REPS instruction in the second stage of the pipeline - if ((pasmvars->instruct2 & 0xffc00000) == 0xfac00000 && !(pasmvars->pc2 & INVALIDATE_INSTR)) - { - pasmvars->repcnt = (pasmvars->instruct2 >> 6) & 0xffff; - pasmvars->repbot = pasmvars->pc; - pasmvars->reptop = (pasmvars->pc + (pasmvars->instruct2 & 63)) & 0xffff; - } + { + if (pasmvars->pc & 0xffc00) + pasmvars->pc = (pasmvars->pc + 4) & ADDR_MASK; + else + pasmvars->pc = (pasmvars->pc + 1) & ADDR_MASK; + } + + if (pasmvars->printflag) { /*printf(" XXX ");*/ DebugPasmInstruction2(pasmvars); } + pasmvars->phase = 1; + return 0; } - // Check for cache wait - if (pasmvars->waitflag && pasmvars->waitmode == WAIT_CACHE) + // Get the instruction and pc + instruct = pasmvars->instruct2; + if (pasmvars->altiflag) { - if (--pasmvars->waitflag == 0) - pasmvars->waitmode = 0; - else - { - if (pasmvars->printflag) DebugPasmInstruction2(pasmvars); - return 0; - } +//static int change_count = 0; +//printf("altiflag set. Changing instruction from %08x", instruct); + instruct = (instruct & 0x0003ffff) | (pasmvars->altivalue << 18); +//printf(" to %08x\n", instruct); +//if (++change_count > 100) spinsim_exit(1); } + pc = pasmvars->pc2; + if (pc & 0xffc00) + pc_incr = 4; + else + pc_incr = 1; - // Get the instruction and pc at the end of the pipeline - instruct = pasmvars->instruct4; - pc = pasmvars->pc4; - - // Return if instruction has been invalidated and not printing - if (pc & INVALIDATE_INSTR) + // Return if instruction has been invalidated or skip bit is set and not printing + if ((pc & INVALIDATE_INSTR) || (pasmvars->skip_mask & 1)) { if (!pasmvars->printflag) { - CheckPrefetch(pasmvars); -#if 0 - if (pasmvars->waitflag) - { - pasmvars->waitflag--; - if (!pasmvars->waitflag) pasmvars->waitmode = 0; - } -#endif + pasmvars->skip_mask >>= 1; + pasmvars->phase = 0; // Change phase to fetch mode return 0; } returnflag = 1; } // Extract bit fields from the instruction - opcode = (instruct >> 25) & 127; - zci = (instruct >> 22) & 7; - cond = (instruct >> 18) & 15; + opcode = (instruct >> 21) & 127; + czi = (instruct >> 18) & 7; + cond = (instruct >> 28) & 15; dstaddr = (instruct >> 9) & 511; srcaddr = instruct & 511; - opcode_zci = (opcode << 3) | zci; + rsltaddr = dstaddr; + + // Check for post return + if (cond == 0 && instruct != 0) + { + cond = 15; + post_ret = 1; + } + + // Replace the source, destination or result address if an alternate is set + if (pasmvars->altsflag) + srcaddr = pasmvars->altsvalue; + if (pasmvars->altdflag) + dstaddr = pasmvars->altdvalue; + if (pasmvars->altrflag) + rsltaddr = pasmvars->altrvalue; // Decode the immediate flags for the source and destination fields - sflag = (opcode_zci >= 0x3ea) | (zci & 1); + if ((czi & 1) && opcode <= 0x6a) + { + if (!pasmvars->augsflag && (instruct & 0x100) && ((opcode >= OPCODE_RDBYTE && + opcode <= OPCODE_RDLONG) || opcode == OPCODE_WRBYTE || (opcode == OPCODE_WRLONG && !(czi&4)) + || (opcode == OPCODE_WMLONG && (czi>>1) == 3))) + sflag = 3; + else if ((opcode >= OPCODE_CALLD && opcode <= OPCODE_CALLPB) || (opcode == OPCODE_JINT && !(czi&4))) + sflag = 2; + else + sflag = 1; + } - dflag = (opcode >= 0x68 && opcode <= 0x7a && (zci & 2)) | - ((opcode_zci & 0x3e2) == 0x302 && opcode_zci < 0x31e) | - (opcode != 0x7f && opcode_zci >= 0x3eb) | - (opcode == 0x7f && srcaddr >= 0x40 && srcaddr <= 0xdc && (zci & 1)) | - (opcode == 0x7f && srcaddr >= 0x100); + dflag = ((czi & 1) && (opcode == 0x6b)) || + ((czi & 2) && (opcode == 0x5d || opcode == 0x5e || (opcode == 0x5f && (czi & 4)) || (opcode >= 0x60 && opcode <= 0x6a))); - // Determine if indirect registers are used - indirect = (!sflag && (srcaddr & 0x1fe) == 0x1f2) | - (!dflag && (dstaddr & 0x1fe) == 0x1f2) | - (opcode_zci == 0x3f0); + // Check for extended address instructions + if (opcode >= 0x6c) + { + if (opcode < 0x78) + { + srcaddr = instruct & 0xfffff; + sflag = (czi >> 2) + 1; + if (opcode >= 0x70) + rsltaddr = 0x1f6 + (opcode & 3); + } + else + { + srcaddr = instruct & 0x7fffff; + sflag = 1; + } + } // Determine if ptra or ptrb are referenced - psflag = ((opcode < 6) | (opcode_zci >= 0x340 && opcode_zci <= 0x34b)) & sflag; - pdflag = (opcode == 0x7f && srcaddr >= 0x085 && srcaddr <= 0x087 && dflag); + psflag = (sflag == 3); // Determine if instruction uses relative address - rflag = (((opcode >= 0x54 && opcode <= 0x57) | - (opcode >= 0x76 && opcode <= 0x77) | - (opcode_zci >= 0x3d8 && opcode_zci <= 0x3e8)) & sflag) | - (opcode_zci == 0x3ea); - - // Determine if instruction is AUGS or AUGD - aflag = (opcode_zci >= 0x3ec && opcode_zci <= 0x3ef); + rflag = (sflag == 2); // Save ptra, ptrb, inda and indb SaveRegisters(pasmvars); - // Handle the indirect registers - if (indirect) CheckIndRegs(pasmvars, instruct, sflag, dflag, &dstaddr, &srcaddr); - - // else return if condition code is not met and - // not using indirect registers and not augx instruction - else if (!((cond >> ((cflag << 1) | zflag)) & 1) && !aflag) + // Return if condition code is not met + if (!((cond >> ((cflag << 1) | zflag)) & 1)) { if (!pasmvars->printflag) { - CheckPrefetch(pasmvars); -#if 0 - if (pasmvars->waitflag) - { - pasmvars->waitflag--; - if (!pasmvars->waitflag) pasmvars->waitmode = 0; - } -#endif + pasmvars->skip_mask >>= 1; + pasmvars->phase = 0; // Change phase to fetch mode return 0; } returnflag = 1; } // Set the flag that controls writing the result, zero flag and carry flag - write_zcr = zci | 1; // Assume writing result + write_czr = czi | 1; // Assume writing result // Get value1 from the destination field - if (pdflag) - value1 = GetPointer(pasmvars, dstaddr, 5); - else if (dflag) + if (dflag) { if (pasmvars->augdflag) value1 = (pasmvars->augdvalue << 9) | dstaddr; else value1 = dstaddr; } - else if ((dstaddr & 0x1f8) == pasmvars->dcachecogaddr) - value1 = pasmvars->dcache[dstaddr & 7]; + else if (dstaddr == REG_PINA) + value1 = pin_val_a; + else if (dstaddr == REG_PINB) + value1 = pin_val_b; + else if (dstaddr == REG_PTRA) + value1 = pasmvars->ptra; + else if (dstaddr == REG_PTRB) + value1 = pasmvars->ptrb; + else if (memflag == 2) + value1 = pasmvars->lut[dstaddr+pasmvars->rwrep]; else - value1 = pasmvars->mem[dstaddr]; + value1 = pasmvars->mem[dstaddr+pasmvars->rwrep]; // Get value2 from the source field - if (psflag) - { - if (opcode < 6) - value2 = GetPointer(pasmvars, srcaddr, opcode >> 1); - else - value2 = GetPointer(pasmvars, srcaddr, (opcode_zci >> 2) & 3); - } + //printf("psflag = %d, sflag = %d, srcaddr = %d\n", psflag, sflag, srcaddr); + if (pasmvars->altsvflag) + value2 = pasmvars->altsvvalue; + else if (psflag) + value2 = GetPointer(pasmvars, srcaddr, pointer_shift(instruct)); else if (sflag) { if (pasmvars->augsflag) @@ -874,19 +1531,22 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) value2 = srcaddr; } else if (srcaddr == REG_PINA) - value2 = pin_val; - else if ((srcaddr & 0x1f8) == pasmvars->dcachecogaddr) - value2 = pasmvars->dcache[srcaddr & 7]; + value2 = pin_val_a; + else if (srcaddr == REG_PINB) + value2 = pin_val_b; + else if (srcaddr == REG_PTRA) + value2 = pasmvars->ptra; + else if (srcaddr == REG_PTRB) + value2 = pasmvars->ptrb; else value2 = pasmvars->mem[srcaddr]; - // Check sflag and dflag and reset augsflag and augdflag if needed - if (sflag) pasmvars->augsflag = 0; - if (dflag) pasmvars->augdflag = 0; + //if (pasmvars->waitmode == WAIT_FLAG) printf("Trace 1 - waitflag = %d\n", pasmvars->waitflag); // Check the wait flag if the return flag is not set if (returnflag) { + if (pasmvars->waitmode == WAIT_FLAG) printf("Trace 1a - waitflag = %d\n", pasmvars->waitflag); if (pasmvars->waitflag) { pasmvars->waitflag--; @@ -894,16 +1554,31 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) } } else - hubop = CheckWaitFlag2(pasmvars, instruct, value1, value2); + CheckWaitFlag2(pasmvars, instruct, value1, value2, streamflag); - if (!hubop) - CheckPrefetch(pasmvars); + //if (pasmvars->waitmode == WAIT_FLAG) printf("Trace 2 - waitflag = %d\n", pasmvars->waitflag); // Print instruction if printflag set if (pasmvars->printflag) DebugPasmInstruction2(pasmvars); // Return if returnflag or waitflag is set - if (returnflag || pasmvars->waitflag) return 0; + if (returnflag || pasmvars->waitflag) + { + if (returnflag) + { + pasmvars->skip_mask >>= 1; + pasmvars->phase = 0; // Change phase to fetch mode + } + return 0; + } + + // Check sflag and dflag and reset augsflag and augdflag if needed + if (!memflag) + { + if (sflag) pasmvars->augsflag = 0; + if (dflag) pasmvars->augdflag = 0; + } + // Check for breakpoint if (pc == pasmvars->breakpnt) @@ -918,136 +1593,389 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) // Decode the opcode and execute the instruction // Set the variables result, zflag and cflag. - // Clear bits within write_zcr to prevent writing variables. + // Clear bits within write_czr to prevent writing variables. switch(opcode >> 3) // Decode the four most significant bits { - case 0: // rdxxxx, rdxxxc, rdaux, rdauxr - if (opcode < 6) - { -#if 0 - // Check if using a ptr register - if (sflag) value2 = GetPointer(pasmvars, srcaddr, opcode >> 1); - -#endif - if (opcode & 1) // cache read - { - int32_t hubaddr = value2 & 0xffffffe0; - if (hubaddr != pasmvars->dcachehubaddr) - { - pasmvars->dcache[0] = LONG(hubaddr); - pasmvars->dcache[1] = LONG(hubaddr+4); - pasmvars->dcache[2] = LONG(hubaddr+8); - pasmvars->dcache[3] = LONG(hubaddr+12); - pasmvars->dcache[4] = LONG(hubaddr+16); - pasmvars->dcache[5] = LONG(hubaddr+20); - pasmvars->dcache[6] = LONG(hubaddr+24); - pasmvars->dcache[7] = LONG(hubaddr+28); - pasmvars->dcachehubaddr = hubaddr; - } - } - } - switch(opcode) + case 0: // rotate and shift + value2 &= 0x1f; // Get five LSB's + switch (opcode) { - uint8_t *bptr; - uint16_t *wptr; + case 0: // ror + result = (((uint32_t)value1) >> value2) | (value1 << (32 - value2)); + if (value2) + value1 >>= (value2 - 1); + cflag = value1 & 1; + break; - case 0: // rdbyte - result = BYTE(value2); - if (pasmvars->printflag > 1) - fprintf(tracefile, ", rdb[%x]", value2); - break; + case 1: // rol + result = (((uint32_t)value1) >> (32 - value2)) | (value1 << value2); + if (value2) + value1 <<= (value2 - 1); + cflag = (value1 >> 31) & 1; + break; - case 1: // rdbytec - bptr = (uint8_t *)pasmvars->dcache; - result = bptr[value2 & 31]; - if (pasmvars->printflag > 1) - fprintf(tracefile, ", rdb[%x]", value2); - break; + case 2: // shr + result = (((uint32_t)value1) >> value2); + if (value2) + value1 >>= (value2 - 1); + cflag = value1 & 1; + break; - case 2: // rdword - result = WORD(value2); - if (pasmvars->printflag > 1) - fprintf(tracefile, ", rdw[%x]", value2); - break; + case 3: // shl + result = (value1 << value2); + if (value2) + value1 <<= (value2 - 1); + cflag = (value1 >> 31) & 1; + break; - case 3: // rdwordc - wptr = (uint16_t *)pasmvars->dcache; - result = wptr[(value2 >> 1) & 15]; - if (pasmvars->printflag > 1) - fprintf(tracefile, ", rdw[%x]", value2); - break; - - case 4: // rdlong - result = LONG(value2); - if (pasmvars->printflag > 1) - fprintf(tracefile, ", rdl[%x]", value2); - break; - - case 5: // rdlongc - result = pasmvars->dcache[(value2 >> 2) & 7]; - if (pasmvars->printflag > 1) - fprintf(tracefile, ", rdl[%x]", value2); - break; - - case 6: // rdaux - case 7: // rdauxr - if ((zci & 1) && (srcaddr & 0x100)) - temp = GetAuxPointer(pasmvars, srcaddr); + case 4: // rcr + if (value2) + { + result = (cflag << 31) | (((uint32_t)value1) >> 1); + result >>= (value2 - 1); + } else - temp = value2 & 0xff; - if (opcode & 1) - temp ^= 0xff; - result = pasmvars->auxram[temp]; + result = value1; + if (value2) + value1 >>= (value2 - 1); + cflag = value1 & 1; + break; + + case 5: // rcl + result = cflag ? (1 << value2) - 1 : 0; + result |= (value1 << value2); + if (value2) + value1 <<= (value2 - 1); + cflag = (value1 >> 31) & 1; + break; + + case 6: // sar + result = value1 >> value2; + if (value2) + value1 >>= (value2 - 1); + cflag = value1 & 1; + break; + + case 7: // sal + result = (value1 << value2); + if (value1 & 1) result |= (1 << value2) - 1; + if (value2) + value1 <<= (value2 - 1); + cflag = (value1 >> 31) & 1; break; } zflag = (result == 0); break; - case 1: // isob, notb, clrb, setbxx - value2 = (value2 & 0x1f); - cflag = (value1 >> value2) & 1; - switch ((value2 >> 5) & 7) - { - case 0: // isob - result = (value1 >> value2) & 1; + case 1: // addxx, subxx + switch (opcode & 7) + { + case 0: // add + result = value1 + value2; + cflag = (((value1 & value2) | ((value1 | value2) & (~result))) >> 31) & 1; + zflag = (result == 0); break; - case 1: // notb - result = value1 ^ (1 << value2); + case 1: // addx + result = value1 + value2 + cflag; + cflag = (((value1 & value2) | ((value1 | value2) & (~result))) >> 31) & 1; + zflag = (result == 0) & zflag; break; - case 2: // clrb - result = value1 & (~(1 << value2)); + case 2: // adds + result = value1 + value2; + cflag = (((~(value1 ^ value2)) & (value1 ^ result)) >> 31) & 1; + zflag = (result == 0); + if (kludge) cflag = 0; break; - case 3: // setb - result = value1 | (1 << value2); + case 3: // addsx + result = value1 + value2 + cflag; + cflag = (((~(value1 ^ value2)) & (value1 ^ result)) >> 31) & 1; + zflag = (result == 0) & zflag; + if (kludge) cflag = 0; break; - case 4: // setbc - result = value1 & (~(1 << value2)); - result |= cflag << value2; + case 4: // sub + result = value1 - value2; + //printf("result = %d, value1 = %d, value2 = %d\n", result, value1, value2); + cflag = ((uint32_t)value1) < ((uint32_t)value2); + zflag = (result == 0); break; - case 5: // setbnc - result = value1 & (~(1 << value2)); - result |= (cflag ^ 1) << value2; + case 5: // subx + result = value1 - value2 - cflag; + if (value2 != 0xffffffff || !cflag) + cflag = ((uint32_t)value1) < ((uint32_t)(value2 + cflag)); + zflag = (result == 0) & zflag; break; - case 6: // setbz - result = value1 & (~(1 << value2)); - result |= zflag << value2; + case 6: // subs + result = value1 - value2; + cflag = (((value1 ^ value2) & (value1 ^ result)) >> 31) & 1; + zflag = (result == 0); + if (kludge) cflag = 0; break; - case 7: // setbnz - result = value1 & (~(1 << value2)); - result |= (zflag ^ 1) << value2; + case 7: // subsx + result = value1 - value2 - cflag; + cflag = (((value1 ^ value2) & (value1 ^ result)) >> 31) & 1; + zflag = (result == 0) & zflag; + if (kludge) cflag = 0; + break; + } + break; + + case 2: // cmpxx, subr, cmpsub + switch (opcode & 7) + { + case 0: // cmp + //printf("\ncmp $%x $%x\n", value1, value2); + result = value1 - value2; + zflag = (result == 0); + cflag = ((uint32_t)value1) < ((uint32_t)value2); + write_czr &= 6; + break; + + case 1: // cmpx + result = value1 - value2 - cflag; + if (value2 != 0xffffffff || !cflag) + cflag = ((uint32_t)value1) < ((uint32_t)(value2 + cflag)); + zflag = (result == 0) & zflag; + write_czr &= 6; + break; + + case 2: // cmps + //printf("\ncmps $%x $%x\n", value1, value2); + result = value1 - value2; + zflag = (result == 0); + cflag = value1 < value2; + write_czr &= 6; + break; + + case 3: // cmpsx + result = value1 - value2 - cflag; + cflag = value1 < ((int64_t)value2 + cflag); + zflag = (result == 0) & zflag; + write_czr &= 6; + break; + + case 4: // cmpr + result = value2 - value1; + zflag = (result == 0); + cflag = ((uint32_t)value2) < ((uint32_t)value1); + write_czr &= 6; + break; + + case 5: // cmpm + result = value1 - value2; + zflag = (result == 0); + cflag = (result >> 31) & 1; + write_czr &= 6; + break; + + case 6: // subr + result = value2 - value1; + cflag = ((uint32_t)value2) < ((uint32_t)value1); + zflag = (result == 0); + break; + + case 7: // cmpsub + cflag = (((uint32_t)value1) >= ((uint32_t)value2)); + result = cflag ? value1 - value2 : value1; + zflag = (result == 0); + break; + } + break; + + case 3: // fge, fle, fges, fles, sumxx + switch (opcode & 7) + { + case 0: // fge + cflag = (((uint32_t)value1) < ((uint32_t)value2)); + result = cflag ? value2 : value1; + break; + + case 1: // fle + cflag = (((uint32_t)value1) > ((uint32_t)value2)); + result = cflag ? value2 : value1; + break; + + case 2: // fges + cflag = (value1 < value2); + result = cflag ? value2 : value1; + break; + + case 3: // fles + cflag = (value1 > value2); + result = cflag ? value2 : value1; + break; + + case 4: // sumc + result = cflag ? value1 - value2 : value1 + value2; + cflag = (~cflag) << 31; + cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; + if (kludge) cflag = 0; + break; + + case 5: // sumnc + result = cflag ? value1 + value2 : value1 - value2; + cflag = cflag << 31; + cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; + if (kludge) cflag = 0; + break; + + case 6: // sumz + result = zflag ? value1 - value2 : value1 + value2; + cflag = (~zflag) << 31; + cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; + if (kludge) cflag = 0; + break; + + case 7: // sumnz + result = zflag ? value1 + value2 : value1 - value2; + cflag = zflag << 31; + cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; + if (kludge) cflag = 0; + break; + + } + zflag = (result == 0); + break; + + case 4: // testb, testbn, bitl, bith, bitc, bitnc, bitz, bitnz, bitrnd, bitnot + //temp = (value2 >> 5) & 7; + value2 = (value2 & 0x1f); + //cflag = (value1 >> value2) & 1; + temp = ((czi >> 1) ^ (czi >> 2)) & 1; + switch (opcode & 7) + { + case 0: // bitl, testb + value2 &= 31; + cflag = zflag = (value1 >> value2) & 1; + if (temp) // testb + write_czr &= 6; + else // bitl + { + write_czr |= 1; + result = value1 & ~(1 << value2); + } + break; + + case 1: // bith, testbn + value2 &= 31; + if (temp) // testbn + { + write_czr &= 6; + cflag = zflag = !((value1 >> value2) & 1); + } + else // bith + { + write_czr |= 1; + cflag = zflag = (value1 >> value2) & 1; + result = value1 | (1 << value2); + } + break; + + case 2: // bitc, testb + value2 &= 31; + if (temp) // testb + { + write_czr &= 6; + cflag &= (value1 >> value2) & 1; + zflag &= (value1 >> value2) & 1; + } + else // bitc + { + write_czr |= 1; + cflag = zflag = (value1 >> value2) & 1; + result = (value1 & ~(1 << value2)) | (pasmvars->cflag << value2); + } + break; + + case 3: // bitnc, testbn + value2 &= 31; + if (temp) // testbn + { + write_czr &= 6; + cflag &= !((value1 >> value2) & 1); + zflag &= !((value1 >> value2) & 1); + } + else // bitnc + { + write_czr |= 1; + cflag = zflag = (value1 >> value2) & 1; + result = (value1 & ~(1 << value2)) | ((!pasmvars->cflag) << value2); + } + break; + + case 4: // bitz, testb + value2 &= 31; + if (temp) //testb + { + write_czr &= 6; + cflag |= (value1 >> value2) & 1; + zflag |= (value1 >> value2) & 1; + } + else // bitz + { + write_czr |= 1; + cflag = zflag = (value1 >> value2) & 1; + result = (value1 & ~(1 << value2)) | (pasmvars->zflag << value2); + } + break; + + case 5: // bitnz, testbn + value2 &= 31; + if (temp) // testbn + { + write_czr &= 6; + cflag |= !((value1 >> value2) & 1); + zflag |= !((value1 >> value2) & 1); + } + else // bitnz + { + write_czr |= 1; + cflag = zflag = (value1 >> value2) & 1; + result = (value1 & ~(1 << value2)) | ((!pasmvars->zflag) << value2); + } + break; + + case 6: // bitrnd, testb + value2 &= 31; + if (temp) // testb + { + write_czr &= 6; + cflag ^= (value1 >> value2) & 1; + zflag ^= (value1 >> value2) & 1; + } + else // bitrnd + { + write_czr |= 1; + cflag = zflag = (value1 >> value2) & 1; + NotImplemented(instruct); + } + break; + + case 7: // bitnot, testbn + value2 &= 31; + if (temp) // testbn + { + write_czr &= 6; + cflag ^= !((value1 >> value2) & 1); + zflag ^= !((value1 >> value2) & 1); + } + else // bitnot + { + write_czr |= 1; + cflag = zflag = (value1 >> value2) & 1; + result = value1 ^ (1 << value2); + } break; } - zflag = (result == 0); break; - case 2: // andn, and, or, xor, muxxx + case 5: // andn, and, or, xor, muxxx switch (opcode & 7) { case 0: // andn @@ -1086,67 +2014,7 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) cflag = parity(result); break; - case 3: // rotate and shift - value2 &= 0x1f; // Get five LSB's - switch (opcode & 7) - { - case 0: // ror - result = (((uint32_t)value1) >> value2) | (value1 << (32 - value2)); - cflag = value1 & 1; - break; - - case 1: // rol - result = (((uint32_t)value1) >> (32 - value2)) | (value1 << value2); - cflag = (value1 >> 31) & 1; - break; - - case 2: // shr - result = (((uint32_t)value1) >> value2); - cflag = value1 & 1; - break; - - case 3: // shl - result = (value1 << value2); - cflag = (value1 >> 31) & 1; - break; - - case 4: // rcr - if (value2) - { - result = (cflag << 31) | (((uint32_t)value1) >> 1); - result >>= (value2 - 1); - } - else - result = value1; - cflag = value1 & 1; - break; - - case 5: // rcl - result = cflag ? (1 << value2) - 1 : 0; - result |= (value1 << value2); - cflag = (value1 >> 31) & 1; - break; - - case 6: // sar - result = value1 >> value2; - cflag = value1 & 1; - break; - - case 7: // rev - cflag = value1 & 1; - value2 = 32 - value2; - result = 0; - while (value2-- > 0) - { - result = (result << 1) | (value1 & 1); - value1 >>= 1; - } - break; - } - zflag = (result == 0); - break; - - case 4: // mov, not abs, negxx + case 6: // mov, not abs, negxx switch (opcode & 7) { case 0: // mov @@ -1155,8 +2023,8 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) break; case 1: // not - cflag = value2 < 0; result = ~value2; + cflag = result < 0; break; case 2: // abs @@ -1165,158 +2033,37 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) break; case 3: // neg - cflag = (value2 >> 31) & 1; result = -value2; + cflag = result < 0; break; case 4: // negc result = cflag ? -value2 : value2; - cflag = (value2 >> 31) & 1; + cflag = result < 0; break; case 5: // negnc result = cflag ? value2 : -value2; - cflag = (value2 >> 31) & 1; + cflag = result < 0; break; case 6: // negz result = zflag ? -value2 : value2; - cflag = (value2 >> 31) & 1; + cflag = result < 0; break; case 7: // negnz result = zflag ? value2 : -value2; - cflag = (value2 >> 31) & 1; + cflag = result < 0; break; } zflag = (result == 0); break; - case 5: // addxx, subxx + case 7: // incmod, decmod, encod, testn, test, anyb switch (opcode & 7) { - case 0: // add - result = value1 + value2; - cflag = (((value1 & value2) | ((value1 | value2) & (~result))) >> 31) & 1; - break; - - case 1: // sub - result = value1 - value2; - cflag = ((uint32_t)value1) < ((uint32_t)value2); - break; - - case 2: // addx - result = value1 + value2 + cflag; - cflag = (((value1 & value2) | ((value1 | value2) & (~result))) >> 31) & 1; - zflag = (result == 0) & zflag; - break; - - case 3: // subx - result = value1 - value2 - cflag; - if (value2 != 0xffffffff || !cflag) - cflag = ((uint32_t)value1) < ((uint32_t)(value2 + cflag)); - zflag = (result == 0) & zflag; - break; - - case 4: // adds - result = value1 + value2; - cflag = (((~(value1 ^ value2)) & (value1 ^ result)) >> 31) & 1; - zflag = (result == 0); - break; - - case 5: // subs - result = value1 - value2; - zflag = (result == 0); - cflag = (((value1 ^ value2) & (value1 ^ result)) >> 31) & 1; - break; - - case 6: // addsx - result = value1 + value2 + cflag; - cflag = (((~(value1 ^ value2)) & (value1 ^ result)) >> 31) & 1; - zflag = (result == 0) & zflag; - break; - - case 7: // subsx - result = value1 - value2 - cflag; - cflag = (((value1 ^ value2) & (value1 ^ result)) >> 31) & 1; - zflag = (result == 0) & zflag; - break; - } - zflag = (result == 0); - break; - - - case 6: // sumxx, min, max, mins, maxs - switch (opcode & 7) - { - case 0: // sumc - result = cflag ? value1 - value2 : value1 + value2; - cflag = (~cflag) << 31; - cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; - break; - - case 1: // sumnc - result = cflag ? value1 + value2 : value1 - value2; - cflag = cflag << 31; - cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; - break; - - case 2: // sumz - result = zflag ? value1 - value2 : value1 + value2; - cflag = (~zflag) << 31; - cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; - break; - - case 3: // sumnz - result = zflag ? value1 + value2 : value1 - value2; - cflag = zflag << 31; - cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; - break; - - case 4: // min - cflag = (((uint32_t)value1) < ((uint32_t)value2)); - zflag = (value2 == 0); - result = cflag ? value2 : value1; - break; - - case 5: // max - cflag = (((uint32_t)value1) < ((uint32_t)value2)); - zflag = (value2 == 0); - result = cflag ? value1 : value2; - break; - - case 6: // mins - cflag = (value1 < value2); - zflag = (value2 == 0); - result = cflag ? value2 : value1; - break; - - case 7: // maxs - cflag = (value1 < value2); - zflag = (value2 == 0); - result = cflag ? value1 : value2; - break; - } - break; - - case 7: // addabs, subabs, incmod, decmod, cmpsub, subr, mul, scl - switch (opcode & 7) - { - case 0: // addabs - cflag = (value2 >> 31) & 1; - value2 = _abs(value2); - result = value1 + value2; - cflag ^= (((value1 & value2) | ((value1 | value2) & (~result))) >> 31) & 1; - break; - - case 1: // subabs - result = _abs(value2); - cflag = ((value2 >> 31) & 1) ^ - (((uint32_t)value1) < ((uint32_t)result)); - result = value1 - result; - break; - - case 2: // incmod + case 0: // incmod cflag = (value1 == value2); if (cflag) result = 0; @@ -1324,7 +2071,7 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) result = value1 + 1; break; - case 3: // decmod + case 1: // decmod cflag = (value1 == 0); if (cflag) result = value2; @@ -1332,2030 +2079,2510 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) result = value1 - 1; break; - case 4: // cmpsub - cflag = (((uint32_t)value1) >= ((uint32_t)value2)); - result = cflag ? value1 - value2 : value1; - zflag = (result == 0); + case 2: // encod + cflag = (value2 == 0); + for (result = 31; result > 0; result--) + { + if (value2 & 0x80000000) break; + value2 <<= 1; + } + if (kludge) cflag = result & 1; break; - case 5: // subr - result = value2 - value1; - cflag = ((uint32_t)value2) < ((uint32_t)value1); - break; - - case 6: // mul - value1 = (value1 << 12) >> 12; - value2 = (value2 << 12) >> 12; - result = value1 * value2; + case 3: // empty + NotImplemented(instruct); break; - case 7: // scl + case 4: // testn + result = value1 & (~value2); + cflag = parity(result); + write_czr &= 6; + break; + + case 5: // test + result = value1 & value2; + cflag = parity(result); + write_czr &= 6; + break; + + case 6: // anyb + result = value1 | value2; + cflag = parity(result); + write_czr &= 6; + break; + + case 7: // empty NotImplemented(instruct); break; } zflag = (result == 0); break; - case 8: // decodex, encod, blmask, onecnt, zercnt, incpar, decpar, splitx, mergex + case 8: // setnib, getnib, rolnib, setbyte, getbyte + write_czr = 1; + temp = (instruct >> 17) & 0x1c; + switch(opcode & 7) + { + case 0: // setnib + case 1: // setnib + if (pasmvars->altnflag) + temp = pasmvars->altnvalue << 2; + result = (value2 & 15) << temp; +//printf("setnib: shift = %d, value = %08x, value1 = %08x, ", temp, result, value1); + temp = ~(15 << temp); + result |= (value1 & temp); +//printf("result = %08x\n", result); + break; + + case 2: // getnib + case 3: // getnib + if (pasmvars->altnflag) + temp = pasmvars->altnvalue << 2; + result = (value2 >> temp) & 15; + break; + + case 4: // rolnib + case 5: // rolnib + if (pasmvars->altnflag) + temp = pasmvars->altnvalue << 2; + result = (value1 << 4) | ((value2 >> temp) & 15); + break; + + case 6: // setbyte + if (pasmvars->altnflag) + temp = pasmvars->altnvalue << 2; + temp = (temp << 1) & 0x18; + result = (value2 & 0xff) << temp; + temp = ~(0xff << temp); + result |= (value1 & temp); + break; + + case 7: // getbyte + if (pasmvars->altnflag) + temp = pasmvars->altnvalue << 2; + temp = (temp << 1) & 0x18; + result = (value2 >> temp) & 0xff; + break; + } + break; + + case 9: // rolbyte, setword, getword, rolword, altxx, setx, decod, bmaks, zerox, signx, muxnits, muxnibs, muxq, movbyts + write_czr = 1; + temp = (instruct >> 16) & 0x18; switch(opcode&7) { - case 0: // decod2 - result = 1 << (value1 & 3); - result |= result << 4; - result |= result << 8; - result |= result << 16; - break; + case 0: // rolbyte + if (pasmvars->altnflag) + temp = pasmvars->altnvalue << 3; + result = (value1 << 8) | ((value2 >> temp) & 255); + break; - case 1: // decod3 - result = 1 << (value1 & 7); - result |= result << 8; - result |= result << 16; - break; - - case 2: // decod4 - result = 1 << (value1 & 0xf); - result |= result << 16; - break; - - case 3: // decod5 - result = 1 << (value1 & 0x1f); - break; - - case 4: // encod, blmask - if (!(zci & 2)) // encod + case 1: // setword, getword + if (pasmvars->altnflag) + temp = pasmvars->altnvalue << 3; + temp = (temp << 1) & 0x10; + write_czr &= 3; + if (czi & 4) // getword { - for (result = 32; result > 0; result--) + result = (value2 >> temp) & 0xffff; + } + else // setword + { + result = (value2 & 0xffff) << temp; + temp = ~(0xffff << temp); + result |= (value1 & temp); + } + break; + + case 2: // rolword, altsn, altgn + write_czr = 1; + switch(czi >> 1) + { + case 0: // rolword + case 1: // rolword + if (pasmvars->altnflag) + temp = pasmvars->altnvalue; + else + temp = (czi & 2); + if (temp) + result = (value1 << 16) | (((unsigned int)value2) >> 16); + else + result = (value1 << 16) | (value2 & 0xffff); + break; + + case 2: // altsn + pasmvars->altrflag = pasmvars->altnflag = pasmvars->altdflag = 1; + pasmvars->altnvalue = (value1 & 7); + pasmvars->altrvalue = pasmvars->altdvalue = ((value1 >> 3) + value2) & 511; + result = value1 + ((value2 << (31 - 17)) >> (31 - 8)); +//printf("altsn: n = %x, d = %x, r = %x\n", pasmvars->altnvalue, pasmvars->altdvalue, result); + alt_instr = 1; + break; + + case 3: // altgn + pasmvars->altnflag = pasmvars->altsflag = 1; + pasmvars->altnvalue = (value1 & 7); + pasmvars->altsvalue = ((value1 >> 3) + value2) & 511; + result = value1 + (value2 << ((31 - 17)) >> (31 - 8)); +//printf("altgn: n = %x, s = %x, r = %x\n", pasmvars->altnvalue, pasmvars->altsvalue, result); + alt_instr = 1; + break; + } + break; + + case 3: // altsb, altgb, altsw, altgw + write_czr = 1; + switch(czi >> 1) + { + case 0: // altsb + pasmvars->altrflag = pasmvars->altnflag = pasmvars->altdflag = 1; + pasmvars->altnvalue = (value1 & 3); + pasmvars->altrvalue = pasmvars->altdvalue = ((value1 >> 2) + value2) & 511; + result = value1 + (value2 << ((31 - 17)) >> (31 - 8)); + alt_instr = 1; + break; + + case 1: // altgb + pasmvars->altnflag = pasmvars->altsflag = 1; + pasmvars->altnvalue = (value1 & 3); + pasmvars->altsvalue = ((value1 >> 2) + value2) & 511; + result = value1 + (value2 << ((31 - 17)) >> (31 - 8)); + alt_instr = 1; + break; + + case 2: // altsw + pasmvars->altrflag = pasmvars->altnflag = pasmvars->altdflag = 1; + pasmvars->altnvalue = (value1 & 1); + pasmvars->altrvalue = pasmvars->altdvalue = ((value1 >> 1) + value2) & 511; + result = value1 + (value2 << ((31 - 17)) >> (31 - 8)); + alt_instr = 1; + break; + + case 3: // altgw + pasmvars->altnflag = pasmvars->altsflag = 1; + pasmvars->altnvalue = (value1 & 1); + pasmvars->altsvalue = ((value1 >> 1) + value2) & 511; + result = value1 + (value2 << ((31 - 17)) >> (31 - 8)); + alt_instr = 1; + break; + } + break; + + case 4: // altr, altd, alts, altb + write_czr = 1; + switch (czi >> 1) + { + case 0: // altr + pasmvars->altrflag = 1; + pasmvars->altrvalue = (value1 + value2) & 511; + result = value1 + (value2 << ((31 - 17)) >> (31 - 8)); +#if 0 + pasmvars->phase = 0; + return breakflag; +#else + alt_instr = 1; + break; +#endif + + case 1: // altd + pasmvars->altdflag = pasmvars->altrflag = 1; + pasmvars->altdvalue = pasmvars->altrvalue = (value1 + value2) & 511; + result = value1 + (value2 << ((31 - 17)) >> (31 - 8)); +#if 0 + pasmvars->phase = 0; + return breakflag; +#else + alt_instr = 1; + break; +#endif + + case 2: // alts + pasmvars->altsflag = 1; + pasmvars->altsvalue = (value1 + value2) & 511; + //printf("\nALTS: %x\n", pasmvars->altsvalue); + result = value1 + (value2 << ((31 - 17)) >> (31 - 8)); +#if 0 + pasmvars->phase = 0; + return breakflag; +#else + alt_instr = 1; + break; +#endif + + case 3: // altb + pasmvars->altdflag = pasmvars->altrflag = 1; + pasmvars->altdvalue = pasmvars->altrvalue = ((value1 >> 5) + value2) & 511; + result = value1 + (value2 << ((31 - 17)) >> (31 - 8)); +#if 0 + pasmvars->phase = 0; + return breakflag; +#else + alt_instr = 1; + break; +#endif + } + break; + + case 5: // alti, setr, setd, sets + write_czr = 1; + switch (czi >> 1) + { + case 0: // alti + if (value2 & (1 << 2)) + { + pasmvars->altsflag = 1; + pasmvars->altsvalue = value1 & 511; + } + if (value2 & (1 << 5)) + { + pasmvars->altrflag = pasmvars->altdflag = 1; + pasmvars->altrvalue = pasmvars->altdvalue = (value1 >> 9) & 511; + } + if (value2 & (1 << 8)) + { + if (((value2 >> 6) & 7) == 5) + { + pasmvars->altiflag = 1; + pasmvars->altivalue = (uint32_t)value1 >> 18; + } + else + { + pasmvars->altrflag = 1; + pasmvars->altrvalue = (value1 >> 19) & 511; + } + } + else if (((value2 >> 6) & 7) == 1) + { + pasmvars->altrflag = 1; + pasmvars->altrvalue = -1; + } + + result = ProcessAltiIncrement(value1, value2); +#if 0 + pasmvars->phase = 0; + return breakflag; +#else + alt_instr = 1; + break; +#endif + + case 1: // setr + result = (value1 & 0xf007ffff) | ((value2 & 0x1ff) << 19); + break; + + case 2: // setd + result = (value1 & 0xfffc01ff) | ((value2 & 0x1ff) << 9); + break; + + case 3: // sets + result = (value1 & 0xfffffe00) | (value2 & 0x1ff); + break; + } + break; + + case 6: // decod, bmask, zerox, signx + write_czr = 1; + switch (czi >> 1) + { + case 0: // decod + result = 1 << (value2 & 0x1f); + break; + + case 1: // bmask + result = ~(0xfffffffe << (value2 & 0x1f)); + break; + + case 2: // zerox + result = value1 & ~(0xfffffffe << (value2 & 31)); + break; + + case 3: // signx + temp = 31 - (value2 & 31); + result = (value1 << temp) >> temp; + break; + } + break; + + case 7: // muxnits, muxnibs, muxq, movbyts + write_czr = 1; + switch (czi >> 1) + { + case 0: // muxnits + temp = 3; + for (i = 0; i < 16; i++) + { + if (value2 & temp) + value1 = (value1 & ~temp) | (value2 & temp); + temp <<= 2; + } + result = value1; + break; + + case 1: // muxnibs + temp = 15; + for (i = 0; i < 8; i++) + { + if (value2 & temp) + value1 = (value1 & ~temp) | (value2 & temp); + temp <<= 4; + } + result = value1; + break; + + case 2: // muxq + temp = pasmvars->qreg; + result = (value1 & ~temp) | (value2 & temp); + break; + + case 3: // movbyts + { + unsigned char *ptr1 = (unsigned char *)&value1; + unsigned char *ptr2 = (unsigned char *)&result; + ptr2[0] = ptr1[value2 & 3]; + ptr2[1] = ptr1[(value2 >> 2) & 3]; + ptr2[2] = ptr1[(value2 >> 4) & 3]; + ptr2[3] = ptr1[(value2 >> 6) & 3]; + } + } + break; + } + zflag = (result == 0); + break; + + case 10: // testx, anyb, waitcnt, addctx, wmlong, calld, rdlut + write_czr &= 6; + switch(opcode & 7) + { + case 0: // mul, muls + write_czr = 3; + if (czi&4) // muls + { + value1 = (value1 << 16) >> 16; + value2 = (value2 << 16) >> 16; + result = value1 * value2; + } + else // mul + { + value1 &= 0xffff; + value2 &= 0xffff; + result = value1 * value2; + } + zflag = (result == 0); + break; + + case 1: // sclu, scl + write_czr &= 2; + if (czi&4) // scl + { + value1 = (value1 << 16) >> 16; + value2 = (value2 << 16) >> 16; + result = (value1 * value2) >> 14; + } + else // sclu + { + value1 &= 0xffff; + value2 &= 0xffff; + result = (uint32_t)(value1 * value2) >> 16; + } + alt_instr = 1; + pasmvars->altsvflag = 1; + pasmvars->altsvvalue = result; + zflag = (result == 0); + break; + + case 2: // addpix, mulpix, blnpix, mixpix + write_czr = 1; + switch (czi >> 1) + { + int32_t dmix, smix; + + case 0: // addpix + for (i = 0; i < 4; i++) + { + temp = (value1 & 255) + (value2 &255); + if (temp > 255) temp = 255; + result = ((uint32_t)result >> 8) | (temp << 24); + value1 >>= 8; + value2 >>= 8; + } + break; + + case 1: // mulpix + for (i = 0; i < 4; i++) + { + temp = (value1 & 255) * (value2 &255); + temp += (temp + 255) >> 8; + result = ((uint32_t)result >> 8) | (temp << 24); + value1 >>= 8; + value2 >>= 8; + } + break; + + case 2: // blnpix + smix = (pasmvars->blnpix_var & 255); + dmix = (smix ^ 255); + for (i = 0; i < 4; i++) + { + temp = (value1 & 255) * dmix; + temp += (value2 & 255) * smix; + temp = (temp + 255) >> 8; + result = ((uint32_t)result >> 8) | (temp << 24); + value1 >>= 8; + value2 >>= 8; + } + break; + + case 3: // mixpix + for (i = 0; i < 4; i++) + { + smix = GetMixVal(pasmvars->mixpix_mode, pasmvars->blnpix_var, value2, value1); + dmix = GetMixVal(pasmvars->mixpix_mode >> 3, pasmvars->blnpix_var, value2, value1); + temp = (value1 & 255) * dmix; + temp += (value2 & 255) * smix; + temp = (temp + 255) >> 8; + result = ((uint32_t)result >> 8) | (temp << 24); + value1 >>= 8; + value2 >>= 8; + } + break; + } + break; + + case 3: // addct1, addct2, addct3, wmlong + write_czr = 1; + switch (czi >> 1) + { + case 0: // addct1 + result = value1 + value2; + pasmvars->cntreg1 = result; + pasmvars->intflags &= ~(1 << 1); + break; + + case 1: // addct2 + result = value1 + value2; + pasmvars->cntreg2 = result; + pasmvars->intflags &= ~(1 << 2); + break; + + case 2: // addct3 + result = value1 + value2; + pasmvars->cntreg3 = result; + pasmvars->intflags &= ~(1 << 3); + break; + + case 3: // wmlong +if (streamflag) printf("\nSTREAM COLLISION\n"); + value2 += pasmvars->rwrep << 2; + write_czr = 0; + if (value2 >= 0xfff80 && value2 < 0xfffc0) + wrl_flags0 |= 1 << ((value2 >> 2) & 15); + result = read_unaligned_long(value2); + if ((value1 & 0xff) != 0xff) + result = (result & ~0xff) | (value1 & 0xff); + if ((value1 & 0xff) != 0xff00) + result = (result & ~0xff00) | (value1 & 0xff00); + if ((value1 & 0xff) != 0xff0000) + result = (result & ~0xff0000) | (value1 & 0xff0000); + if ((value1 & 0xff) != 0xff000000) + result = (result & ~0xff000000) | (value1 & 0xff000000); + write_unaligned_long(value2, result); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", wrl[%x] = %x", value2, value1); + if (memflag) + { + if (pasmvars->qreg & 0x1ff) + { + pasmvars->rwrep++; + pasmvars->qreg--; + } + else + { + pasmvars->rwrep = 0; + pasmvars->memflag = 0; + if (sflag) pasmvars->augsflag = 0; + if (dflag) pasmvars->augdflag = 0; + } + } + break; + } + write_czr |= 1; + break; + + case 4: // rqpin, rdpin + NotImplemented(instruct); + break; + + case 5: // rdlut + result = pasmvars->lut[value1 & 0x1ff]; + zflag = (result == 0); + write_czr |= 1; + break; + + case 6: // rdbyte + write_czr |= 1; +if (streamflag) printf("\nSTREAM COLLISION\n"); + result = BYTE(value2); + zflag = (result == 0); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", rdb[%x]", value2); + break; + + case 7: // rdword + write_czr |= 1; +if (streamflag) printf("\nSTREAM COLLISION\n"); + result = read_unaligned_word(value2); + zflag = (result == 0); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", rdw[%x]", value2); + break; + } + break; + + case 11: // rdlong, calld, ijxx, djxx, tjxx, callpa, callpb, jxxx, jnxxx, setpat + switch(opcode&7) + { +#if 1 + case 0: // rdlong + write_czr |= 1; +if (streamflag) printf("\nSTREAM COLLISION\n"); + rsltaddr += pasmvars->rwrep; + value2 += pasmvars->rwrep << 2; + if (value2 >= 0xfff80 && value2 < 0xfffc0) + { +#ifdef DEBUG_STUFF + printf("\n%d: RDLONG %8.8x\n", pasmvars->cogid, value2); +#endif + rdl_flags0 |= 1 << ((value2 >> 2) & 15); + } + result = read_unaligned_long(value2); + zflag = (result == 0); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", rdl[%x]", value2); +#if 0 + if (pasmvars->printflag > 1) + fprintf(tracefile, "(%x)", result); +#endif + if (memflag) + { + if (pasmvars->qreg & 0x1ff) + { + pasmvars->rwrep++; + pasmvars->qreg--; + } + else + { + pasmvars->rwrep = 0; + pasmvars->memflag = 0; + if (sflag) pasmvars->augsflag = 0; + if (dflag) pasmvars->augdflag = 0; + } + } + break; + + case 1: // calld + write_czr |= 1; + if (pasmvars->printflag > 1) fprintf(tracefile, ", CALLD"); + if (write_czr == 7) + { + if (srcaddr == REG_IRET1) + pasmvars->intstate &= ~2; + else if (srcaddr == REG_IRET2) + pasmvars->intstate &= ~4; + else if (srcaddr == REG_IRET3) + pasmvars->intstate &= ~8; + } + if (rflag) + { + value2 = (value2 << 23) >> 23; + pasmvars->pc = (pc + pc_incr * (1 + value2)) & ADDR_MASK; + } + else + pasmvars->pc = value2 & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + result = (pc + pc_incr) | (pasmvars->zflag << 21) | (pasmvars->cflag << 20); + cflag = (result >> 20) & 1; + zflag = (result >> 21) & 1; + check_hubexec_mode(pasmvars); + break; + + case 2: // ijz, ijnz, ijs, ijns + write_czr = 1; + result = value1 + 1; + zflag = (result == 0); + cflag = (result == 0); + // Determine if we should jump + if (czi & 4) // ijs, ijns + { + if (((result >> 31) & 1) != ((czi >> 1) & 1)) + { + value2 = (value2 << 23) >> 23; + pasmvars->pc = (pc + pc_incr * (1 + value2)) & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + check_hubexec_mode(pasmvars); + } + } + else // ijz, ijnz + { + if (zflag != ((czi >> 1) & 1)) + { + value2 = (value2 << 23) >> 23; + pasmvars->pc = (pc + pc_incr * (1 + value2)) & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + check_hubexec_mode(pasmvars); + } + } + break; + + case 3: // djz, djnz, djs, djns + case 4: // tjz, tjnz, tjs, tjns + if ((opcode & 7) == 3) + { + value1--; + cflag = (value1 == -1); + write_czr = 1; + } + else + { + write_czr = 0; + } + result = value1; + zflag = (result == 0); + // Determine if we should jump + if (czi & 4) // djs, djns, tjs, tjns + { + if (((result >> 31) & 1) != ((czi >> 1) & 1)) + { + value2 = (value2 << 23) >> 23; + pasmvars->pc = (pc + pc_incr * (1 + value2)) & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + check_hubexec_mode(pasmvars); + } + } + else // djz, djnz, tjz, tjnz + { + if (zflag != ((czi >> 1) & 1)) + { + value2 = (value2 << 23) >> 23; + pasmvars->pc = (pc + pc_incr * (1 + value2)) & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + check_hubexec_mode(pasmvars); + } + } + break; + + case 5: // empty + NotImplemented(instruct); + write_czr |= 1; + break; + + case 6: // callpa, callpb + if (czi&4) + rsltaddr = REG_PB; + else + rsltaddr = REG_PA; + write_czr = 1; + result = value1; + pasmvars->pc = value1 & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + pc += pc_incr; + pc |= (pasmvars->zflag << 21) | (pasmvars->cflag << 20); + pasmvars->retstack[pasmvars->retptr] = pc; + pasmvars->retptr = (pasmvars->retptr + 1) & 7; + if (pasmvars->retptr == 0) + printf("return stack overflow%s", NEW_LINE); + check_hubexec_mode(pasmvars); + break; + + case 7: // setpat, jint, jnint, ... + write_czr = 0; + if (czi&4) // setpat + NotImplemented(instruct); + else // jint, jnint, .... + { + temp = (value1 & 15); + temp = (pasmvars->intflags >> temp) & 1; + if (value1 & 16) temp ^= 1; + if (temp) + { + if (instruct & 0x40000) + pasmvars->pc = value2 & 0xfffff; + else + { + value2 = (value2 << 23) >> 23; + pasmvars->pc = (pc + pc_incr * (1 + value2)) & ADDR_MASK; + } + pasmvars->pc1 |= INVALIDATE_INSTR; + check_hubexec_mode(pasmvars); + } + } + break; +#else + case 0: // rdbyte +if (streamflag) printf("\nSTREAM COLLISION\n"); + result = BYTE(value2); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", rdb[%x]", value2); + break; + + case 1: // rdword +if (streamflag) printf("\nSTREAM COLLISION\n"); + result = read_unaligned_word(value2); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", rdw[%x]", value2); + break; + + case 2: // rdlong +if (streamflag) printf("\nSTREAM COLLISION\n"); + rsltaddr += pasmvars->rwrep; + value2 += pasmvars->rwrep << 2; + if (value2 >= 0xfff80 && value2 < 0xfffc0) + { +#ifdef DEBUG_STUFF + printf("\n%d: RDLONG %8.8x\n", pasmvars->cogid, value2); +#endif + rdl_flags0 |= 1 << ((value2 >> 2) & 15); + } + result = read_unaligned_long(value2); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", rdl[%x]", value2); +#if 0 + if (pasmvars->printflag > 1) + fprintf(tracefile, "(%x)", result); +#endif + if (memflag) + { + if (pasmvars->qreg & 0x1ff) + { + pasmvars->rwrep++; + pasmvars->qreg--; + } + else + { + pasmvars->rwrep = 0; + pasmvars->memflag = 0; + if (sflag) pasmvars->augsflag = 0; + if (dflag) pasmvars->augdflag = 0; + } + } + break; + + case 3: // addpix, mulpix, blnpix, mixpix + switch (czi >> 1) + { + case 0: // addpix + NotImplemented(instruct); + break; + + case 1: // mulpix + NotImplemented(instruct); + break; + + case 2: // blnpix + NotImplemented(instruct); + break; + + case 3: // mixpix + NotImplemented(instruct); + break; + } + break; + + case 4: + NotImplemented(instruct); + break; + + case 5: + NotImplemented(instruct); + break; + + case 6: // setpae, setpan + write_czr &= 6; + pasmvars->pinpatmode = 1 + ((czi >> 2) & 1); + pasmvars->pinpatmask = value1; + pasmvars->pinpattern = value2; + break; + + case 7: // setpbe, setpbn + write_czr &= 6; + pasmvars->pinpatmode = 3 + ((czi >> 2) & 1); + pasmvars->pinpatmask = value1; + pasmvars->pinpattern = value2; + break; +#endif + } + zflag = (result == 0); + break; + + case 12: // wrpin, wxpin, wypin, wrlut, wrxxxx, rdfast, wrfast, fblock, xinit, xzero, xcont, rep, coginit + switch(opcode & 7) + { + case 0: // wrpin, wxpin + if (czi&4) // wxpin + NotImplemented(instruct); + else // wrpin + NotImplemented(instruct); + break; + + case 1: // wypin, wrlut + if (czi&4) // wrlut + { + result = value2; + pasmvars->lut[value1 & 0x1ff] = value2; + temp = pasmvars->cogid ^ 1; + if (PasmVars[temp].share_lut) + PasmVars[temp].lut[value1 & 0x1ff] = value2; + } + else // wypin + NotImplemented(instruct); + break; + + case 2: // wrbyte, wrword + if (czi & 4) // wrword + { +if (streamflag) printf("\nSTREAM COLLISION\n"); + write_czr = 0; + write_unaligned_word(value2, value1); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", wrw[%x] = %x", value2, value1); + } + else // wrbyte + { +if (streamflag) printf("\nSTREAM COLLISION\n"); + write_czr = 0; + BYTE(value2) = value1; + if (pasmvars->printflag > 1) + fprintf(tracefile, ", wrb[%x] = %x", value2, value1); + } + break; + + case 3: // wrlong, rdfast + if (czi & 4) // rdfast + { + write_czr = 0; + value1 = (value1 & 0x3fff) << 6; + value2 &= 0xfffff; + start_fast_mode(pasmvars, value2, value2 + value1, 1); + } + else // wrlong + { + value2 += pasmvars->rwrep << 2; +if (streamflag) printf("\nSTREAM COLLISION\n"); + write_czr = 0; + if (value2 >= 0xfff80 && value2 < 0xfffc0) + { +#ifdef DEBUG_STUFF + printf("\n%d: WRLONG %8.8x\n", pasmvars->cogid, value2); +#endif + wrl_flags0 |= 1 << ((value2 >> 2) & 15); + } + write_unaligned_long(value2, value1); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", wrl[%x] = %x", value2, value1); + if (memflag) + { + if (pasmvars->qreg & 0x1ff) + { + pasmvars->rwrep++; + pasmvars->qreg--; + } + else + { + pasmvars->rwrep = 0; + pasmvars->memflag = 0; + if (sflag) pasmvars->augsflag = 0; + if (dflag) pasmvars->augdflag = 0; + } + } + } + break; + + case 4: // wrfast, fblock + if (czi & 4) // fblock + { + int mode = pasmvars->str_fifo_mode; + write_czr = 0; + value1 = (value1 & 0x3fff) << 6; + value2 &= 0xfffff; + start_fast_mode(pasmvars, value2, value2 + value1, mode); + } + else // wrfast + { + write_czr = 0; + value1 = (value1 & 0x3fff) << 6; + value2 &= 0xfffff; + start_fast_mode(pasmvars, value2, value2 + value1, 2); + } + break; + + case 5: // xinit, xzero + if (czi & 4) // xzero + NotImplemented(instruct); + else // xinit + NotImplemented(instruct); + break; + + case 6: // xcont, rep + if (czi & 4) // rep + { + pasmvars->repcnt = value2; + pasmvars->repbot = pasmvars->pc; + pasmvars->reptop = (pasmvars->pc + value1) & ADDR_MASK; + } + else // xcont + NotImplemented(instruct); + break; + + case 7: // coginit + if (value1 & 0x10) + { + for (result = 0; result < 16; result++) + { + if (!PasmVars[result].state) break; + } + if (result == 16) result = -1; + } + else + result = value1 & 15; + //printf("\ncoginit: cog = %d, value1 = %8.8x, value2 = %8.8x\n", result, value1, value2); + if (result != -1) + { + StartPasmCog2(&PasmVars[result], pasmvars->qreg, (value2 & ADDR_MASK), result, value1 & 0x20); + UpdatePins2(); + // Return without saving if we restarted this cog + if (result == pasmvars->cogid) return breakflag; + cflag = 1; + } + else + cflag = 0; + write_czr &= 6; + break; + } + zflag = (result == 0); + break; + + case 13: // qxxx, jmp, call, calla, callb, misc + switch(opcode & 7) + { + case 0: // qmul, qdiv + write_czr &= 6; + i = start_cordic(pasmvars); + if (czi & 4) // qdiv + { + uint64_t d_value1 = (uint32_t)value1; + uint64_t d_value2 = (uint32_t)value2; + d_value1 |= (uint64_t)(uint32_t)pasmvars->qreg << 32; + if (value2) + { + uint64_t r_value1 = d_value1 / d_value2; + uint64_t r_value2 = d_value1 % d_value2; + if (r_value1 > 0xffffffffu) + { + pasmvars->qxqueue[i] = 0xffffffff - pasmvars->qreg + value2; + pasmvars->qyqueue[i] = value1 + pasmvars->qreg; + } + else + { + pasmvars->qxqueue[i] = r_value1; + pasmvars->qyqueue[i] = r_value2; + } + } + else + { + pasmvars->qxqueue[i] = 0xffffffff - pasmvars->qreg; + pasmvars->qyqueue[i] = value1; + } + } + else // qmul + { + uint64_t d_value1 = (uint32_t)value1; + uint64_t d_value2 = (uint32_t)value2; + d_value1 *= d_value2; + pasmvars->qxqueue[i] = d_value1 & 0xffffffff; + pasmvars->qyqueue[i] = (d_value1 >> 32) & 0xffffffff; + } + break; + + case 1: // qfrac, qsqrt + write_czr &= 6; + i = start_cordic(pasmvars); + if (czi & 4) // qsqrt + { + uint64_t d_value1 = (uint32_t)value2; + d_value1 = (d_value1 << 32) | (uint32_t)value1; + pasmvars->qxqueue[i] = sqrt64(d_value1); + pasmvars->qyqueue[i] = 0; + } + else // qfrac + { +#if 0 + if (value2) + { + double x = (double)value1 + ((double)(uint32_t)pasmvars->qreg / 4294967296.0); + double y = (double)value2; + double z = x/y; + z -= floor(z); + pasmvars->qxqueue[i] = z * 4294967296.0; + pasmvars->qyqueue[i] = 0; + } + else + { + pasmvars->qxqueue[i] = 0xffffffff - value1; + pasmvars->qyqueue[i] = pasmvars->qreg; + } +#else + uint64_t d_value1 = (uint32_t)value1; + uint64_t d_value2 = (uint32_t)value2; + d_value1 = (d_value1 << 32) | (uint32_t)pasmvars->qreg; + if (value2) + { + uint64_t r_value1 = d_value1 / d_value2; + uint64_t r_value2 = d_value1 % d_value2; + if (r_value1 > 0xffffffffu) + { + pasmvars->qxqueue[i] = 0xffffffff - value1 + value2; + pasmvars->qyqueue[i] = value1 + pasmvars->qreg; + } + else + { + pasmvars->qxqueue[i] = r_value1; + pasmvars->qyqueue[i] = r_value2; + } + } + else + { + pasmvars->qxqueue[i] = 0xffffffff - value1; + pasmvars->qyqueue[i] = pasmvars->qreg; + } +#endif + } + break; + + case 2: // qrotate, qvector + write_czr &= 6; + i = start_cordic(pasmvars); + if (czi & 4) // qvector + { +#if 0 + double x = (double)value1; + double y = pasmvars->qreg; + double angle = (double)value2; +#else + double x = (double)value1; + double y = (double)value2; +#endif + double rho, theta; + rho = sqrt(x*x + y*y) + 0.5; + theta = atan2(y, x); + pasmvars->qxqueue[i] = rho; + theta *= 4294967296.0 / (2.0 * 3.14159265359); + if (theta >= 0.0) + theta += 0.5; + else + theta -= 0.5; + pasmvars->qyqueue[i] = theta; + } + else // qrotate + { + double x = (double)value1; + double y = pasmvars->qreg; + double angle = (double)value2; + angle *= 2.0 * 3.14159265359 / 4294967296.0; + pasmvars->qxqueue[i] = cos(angle) * x - sin(angle)*y; + pasmvars->qyqueue[i] = sin(angle) * x + cos(angle)*y; + } + break; + + case 3: // misc + srcaddr = instruct & 511; // Ensure alts doesn't modify + //printf("MISC: srcaddr = 0x%x\n", srcaddr); + if (srcaddr == 0x24) srcaddr = (instruct & 0x3ffff); + switch (srcaddr) + { + case 0: // clkset + NotImplemented(instruct); + break; + + case 1: // cogid + result = pasmvars->cogid; + break; + + case 3: // cogstop + for (result = 0; result < 8; result++) { - if (value2 & 0x80000000) break; - value2 <<= 1; + if (!PasmVars[result].state) break; } - } - else // blmask - { - value1 = (value1 & 0x1f) + 1; - if (value1 == 32) - result = 0xffffffff; - else - result = (1 << value1) - 1; - } - break; + cflag = (result == 8); + result = value1 & 7; + zflag = (result == 0); + PasmVars[result].state = 0; + UpdatePins2(); + // Return without saving if we stopped this cog + if (result == pasmvars->cogid) return breakflag; + break; - case 5: // onecnt, zercnt - if (!(zci & 2)) // onecnt - { - for (result = 0; value1; value1 <<= 1) - { - if (value1 & 0x80000000) result++; - } - } - else // zercnt - { - for (result = 32; value1; value1 <<= 1) - { - if (value1 & 0x80000000) result--; - } - } - break; + case 4: // locknew + for (result = 0; result < 16; result++) + { + if (!lockalloc[result]) break; + } + if (result == 16) + { + cflag = 1; + result = 15; + } + else + { + cflag = 0; + lockalloc[result] = 1; + } + zflag = (result == 0); + break; - case 6: // incpat, decpat - if (!(zci & 4)) // incpat - { - NotImplemented(instruct); - } - else // decpat - { - NotImplemented(instruct); - } - break; + case 5: // lockret + for (result = 0; result < 16; result++) + { + if (!lockalloc[result]) break; + } + cflag = (result == 16); + result = value1 & 15; + zflag = (result == 0); + lockalloc[result] = 0; + break; - case 7: //splitb, mergeb, splitw, mergew - switch (zci >> 1) - { - int i; - case 0: // splitb + case 6: // lockclr + result = value1 & 15; + zflag = (result == 0); + cflag = lockstate[result] & 1; + lockstate[result] = 0; + break; + + case 7: // lockset + result = value1 & 15; + zflag = (result == 0); + cflag = lockstate[result] & 1; + lockstate[result] = -1; + break; + + case 14: // qlog + write_czr &= 6; + i = start_cordic(pasmvars); + { + double x = (double)(unsigned int)value1; + x = log(x)/log(2.0); + x = x * 134217728.0 + 0.5; + if (x >= 4294967296.0) + x = 4294967295.0; + result = (unsigned int)x; + } + pasmvars->qxqueue[i] = result; + pasmvars->qyqueue[i] = 0; + break; + + case 15: // qexp + write_czr &= 6; + i = start_cordic(pasmvars); + if (value1 == 0xffffffff) + result = 0xffffffe8; + else + { + double x = (double)(unsigned int)value1; + x = pow(2.0, x / 134217728.0) + 0.5; + result = (unsigned int)x; + } + pasmvars->qxqueue[i] = result; + pasmvars->qyqueue[i] = 0; + break; + + case 16: // rfbyte + result = read_stream_fifo_byte(pasmvars); + zflag = (result == 0); + break; + + case 17: // rfword + result = read_stream_fifo_word(pasmvars); + zflag = (result == 0); + break; + + case 18: // rflong + result = read_stream_fifo_long(pasmvars); + zflag = (result == 0); + break; + + case 19: // wfbyte + write_czr = 0; + write_stream_fifo_byte(pasmvars, value1); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", fifo = %2.2x", value1); + break; + + case 20: // wfword + write_czr = 0; + write_stream_fifo_word(pasmvars, value1); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", fifo = %4.4x", value1); + break; + + case 21: // wflong + write_czr = 0; + write_stream_fifo_long(pasmvars, value1); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", fifo = %8.8x", value1); + break; + + case 22: // setq + write_czr &= 6; + pasmvars->qreg = value1; + pasmvars->memflag = 1; + pasmvars->phase = 0; + pasmvars->skip_mask >>= 1; + //printf("qreg = %d\n", value1); + return breakflag; + break; + + case 23: // setq2 + write_czr &= 6; + pasmvars->qreg = value1; + pasmvars->memflag = 2; + pasmvars->phase = 0; + pasmvars->skip_mask >>= 1; + //printf("qreg = %d\n", value1); + return breakflag; + break; + + case 24: // getqx + pasmvars->qxposted = 0; + result = pasmvars->qxreg; + break; + + case 25: // getqy + pasmvars->qyposted = 0; + result = pasmvars->qyreg; + break; + + case 26: // getct + result = GetCnt(); + break; + + case 27: // getrnd + result = rand(); + break; + + case 28: // setdacs NotImplemented(instruct); break; - case 1: // mergeb + case 29: // setxfrq NotImplemented(instruct); break; - case 2: // splitw - for (i = 0; i < 16; i++) + case 30: // getxcos + NotImplemented(instruct); + break; + + case 31: // getxsin + NotImplemented(instruct); + break; + + case 32: // setse1 + write_czr &= 6; + NotImplemented(instruct); + break; + + case 33: // setse2 + write_czr &= 6; + NotImplemented(instruct); + break; + + case 34: // setse3 + write_czr &= 6; + NotImplemented(instruct); + break; + + case 35: // setse4 + write_czr &= 6; + NotImplemented(instruct); + break; + + case 0x0024: // pollint + case 0x0224: // pollct1 + case 0x0424: // pollct2 + case 0x0624: // pollct3 + case 0x0824: // pollse1 + case 0x0a24: // pollse2 + case 0x0c24: // pollse3 + case 0x0e24: // pollse4 + case 0x1024: // pollpat + case 0x1224: // pollfbw + case 0x1424: // pollxmt + case 0x1624: // pollxfi + case 0x1824: // pollxro + case 0x1a24: // pollxlr + case 0x1c24: // pollatn + case 0x1e24: // pollqmt + temp = 1 << ((srcaddr >> 9) & 15); + cflag = ((pasmvars->intflags & temp) != 0); + pasmvars->intflags &= ~temp; + write_czr &= 6; + break; + + case 0x2024: // waitint + case 0x2224: // waitct1 + case 0x2424: // waitct2 + case 0x2624: // waitct3 + case 0x2824: // waitse1 + case 0x2a24: // waitse2 + case 0x2c24: // waitse3 + case 0x2e24: // waitse4 + case 0x3024: // waitpat + case 0x3224: // waitfbw + case 0x3424: // waitxmt + case 0x3624: // waitxfi + case 0x3824: // waitxro + case 0x3a24: // waitxrl + case 0x3c24: // waitatn + temp = 1 << ((srcaddr >> 9) & 15); + pasmvars->intflags &= ~temp; + write_czr &= 6; + break; + + case 0x4024: // allowi + pasmvars->intstate &= ~1; + write_czr &= 6; + break; + + case 0x4224: // stalli + pasmvars->intstate |= 1; + write_czr &= 6; + break; + + case 0x4424: // trgint1 + case 0x4624: // trgint2 + case 0x4824: // trgint3 + NotImplemented(instruct); + break; + + case 0x4a24: // nixint1 + case 0x4c24: // nixint2 + case 0x4e24: // nixint3 + NotImplemented(instruct); + break; + + case 37: // setint1 + pasmvars->intenable1 = value1; + write_czr &= 6; + break; + + case 38: // setint2 + pasmvars->intenable2 = value1; + write_czr &= 6; + break; + + case 39: // setint3 + pasmvars->intenable3 = value1; + write_czr &= 6; + break; + + case 40: // waitx + write_czr &= 6; + break; + + case 42: // push + pasmvars->retstack[pasmvars->retptr] = value1; + pasmvars->retptr = (pasmvars->retptr + 1) & 7; + if (pasmvars->retptr == 0) + printf("return stack overflow%s", NEW_LINE); + break; + + case 43: // pop + pasmvars->retptr = (pasmvars->retptr - 1) & 7; + pasmvars->retstack[pasmvars->retptr] = value1; + if (pasmvars->retptr == 7) + printf("return stack underflow%s", NEW_LINE); + break; + + case 44: // jmp + pasmvars->pc = value1 & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + check_hubexec_mode(pasmvars); + write_czr &= 6; + break; + + case 45: // call, ret + //if (pasmvars->printflag > 1) fprintf(tracefile, ", CALL or RET %x", result); + if (instruct & 0x40000) // ret + { + pasmvars->retptr = (pasmvars->retptr - 1) & 7; + result = pasmvars->retstack[pasmvars->retptr]; + if (pasmvars->retptr == 7) + printf("return stack underflow%s", NEW_LINE); + cflag = (result >> 20) & 1; + zflag = (result >> 21) & 1; + pasmvars->pc = result & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + if (pasmvars->printflag > 1) fprintf(tracefile, ", RET %x", result); + } + else // call + { + pasmvars->pc = value1 & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + pc += pc_incr; + pc |= (pasmvars->zflag << 21) | (pasmvars->cflag << 20); + pasmvars->retstack[pasmvars->retptr] = pc; + pasmvars->retptr = (pasmvars->retptr + 1) & 7; + if (pasmvars->retptr == 0) + printf("return stack overflow%s", NEW_LINE); + } + write_czr &= 6; + check_hubexec_mode(pasmvars); + break; + + case 46: // calla, reta + if (instruct & 0x40000) // reta + { + pasmvars->ptra = (pasmvars->ptra - 4) & ADDR_MASK; + result = read_unaligned_long(pasmvars->ptra); + cflag = (result >> 20) & 1; + zflag = (result >> 21) & 1; + pasmvars->pc = result & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + } + else // calla + { + pasmvars->pc = value1 & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + pc += pc_incr; + pc |= (pasmvars->zflag << 21) | (pasmvars->cflag << 20); + write_unaligned_long(pasmvars->ptra, pc); + pasmvars->ptra = (pasmvars->ptra + 4) & ADDR_MASK; + } + write_czr &= 6; + check_hubexec_mode(pasmvars); + break; + + case 47: // callb, retb + if (instruct & 0x40000) // retb + { + pasmvars->ptrb = (pasmvars->ptrb - 4) & ADDR_MASK; + result = read_unaligned_long(pasmvars->ptrb); + cflag = (result >> 20) & 1; + zflag = (result >> 21) & 1; + pasmvars->pc = result & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + } + else // callb + { + pasmvars->pc = value1 & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + pc += pc_incr; + pc |= (pasmvars->zflag << 21) | (pasmvars->cflag << 20); + write_unaligned_long(pasmvars->ptrb, pc); + pasmvars->ptrb = (pasmvars->ptrb + 4) & ADDR_MASK; + } + write_czr &= 6; + check_hubexec_mode(pasmvars); + break; + + case 48: // jmprel + write_czr &= 6; + if (pc & 0xfffffc00) + pasmvars->pc = (pc + 4 + value1) & ADDR_MASK; + else + pasmvars->pc = (pc + 1 + (value1 >> 2)) & 0x3ff; + pasmvars->pc1 |= INVALIDATE_INSTR; + check_hubexec_mode(pasmvars); + break; + + case 49: // skip + pasmvars->skip_mode = 0; + pasmvars->skip_mask = value1; + pasmvars->phase = 0; // Change phase to fetch mode + return breakflag; + break; + + case 50: // skipf + if (pasmvars->pc < 0x400) + { + pasmvars->skip_mode = (uint32_t)value1 >> 1; + pasmvars->skip_mask = value1 & 1; + } + else + { + pasmvars->skip_mode = 0; + pasmvars->skip_mask = value1; + } + pasmvars->phase = 0; // Change phase to fetch mode + return breakflag; + break; + + case 51: // execf + pasmvars->skip_mode = (value1 >> 8) & 0x7ffffe; + pasmvars->skip_mask = 0; + pasmvars->pc = (value1 & 0x3ff); + pasmvars->pc1 |= INVALIDATE_INSTR; + check_hubexec_mode(pasmvars); + pasmvars->phase = 0; // Change phase to fetch mode + return breakflag; + break; + + case 52: // getptr + if (pasmvars->str_fifo_mode == 2) + result = pasmvars->str_fifo_tail_addr; + else + result = pasmvars->str_fifo_head_addr; + zflag = (result = 0); + break; + + case 53: // getint + result = pasmvars->intflags; + result |= (pasmvars->intstate & 2) << 15; + result |= (pasmvars->intstate & 6) << 16; + result |= (pasmvars->intstate & 12) << 17; + result |= (pasmvars->intstate & 8) << 18; + zflag = (result = 0); + break; + + case 54: // setbrk + NotImplemented(instruct); + break; + + case 55: // setluts + pasmvars->share_lut = (value1 & 1); + break; + + case 56: // setcy + NotImplemented(instruct); + break; + + case 57: // setci + NotImplemented(instruct); + break; + + case 58: // setcq + NotImplemented(instruct); + break; + + case 59: // setcfrq + NotImplemented(instruct); + break; + + case 60: // setcmod + NotImplemented(instruct); + break; + + case 61: // setpix + pasmvars->mixpix_mode = value1 & 63; + break; + + case 62: // setpiv + pasmvars->blnpix_var = value1 & 255; + break; + + case 63: // cogatn + for (i = 0; i < 16; i++) + { + if ((value1 & 1) && PasmVars[i].state) + PasmVars[i].intflags |= (1 << 14); + value1 >>= 1; + } + break; + + case 64: // dirl, testp + if (((czi>>2) ^ (czi>>1)) & 1) // testp + { + NotImplemented(instruct); + } + else // dirl + { + if (value1 & 32) + { + rsltaddr = REG_DIRB; + result = pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31)); + } + else + { + rsltaddr = REG_DIRA; + result = pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31)); + } + } + break; + + case 65: // dirh, testpn + if (((czi>>2) ^ (czi>>1)) & 1) // testpn + { + NotImplemented(instruct); + } + else // dirh + { + if (value1 & 32) + { + rsltaddr = REG_DIRB; + result = pasmvars->mem[REG_DIRB] | (1 << (value1 & 31)); + } + else + { + rsltaddr = REG_DIRA; + result = pasmvars->mem[REG_DIRA] | (1 << (value1 & 31)); + } + } + break; + + case 66: // dirc, testp + if (((czi>>2) ^ (czi>>1)) & 1) // testp + { + NotImplemented(instruct); + } + else // dirl + { + if (value1 & 32) + { + rsltaddr = REG_DIRB; + result = (pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31))) | (pasmvars->cflag << (value1 & 31)); + } + else + { + rsltaddr = REG_DIRA; + result = (pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31))) | (pasmvars->cflag << (value1 & 31)); + } + } + break; + + case 67: // dirnc, testpn + if (((czi>>2) ^ (czi>>1)) & 1) // testpn + { + NotImplemented(instruct); + } + else // dirh + { + if (value1 & 32) + { + rsltaddr = REG_DIRB; + result = (pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31))) | ((pasmvars->cflag ^ 1) << (value1 & 31)); + } + else + { + rsltaddr = REG_DIRA; + result = (pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31))) | ((pasmvars->cflag ^ 1) << (value1 & 31)); + } + } + break; + + case 68: // dirz, testp + if (((czi>>2) ^ (czi>>1)) & 1) // testp + { + NotImplemented(instruct); + } + else // dirl + { + if (value1 & 32) + { + rsltaddr = REG_DIRB; + result = (pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31))) | (pasmvars->zflag << (value1 & 31)); + } + else + { + rsltaddr = REG_DIRA; + result = (pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31))) | (pasmvars->zflag << (value1 & 31)); + } + } + break; + + case 69: // dirnz, testpn + if (((czi>>2) ^ (czi>>1)) & 1) // testpn + { + NotImplemented(instruct); + } + else // dirh + { + if (value1 & 32) + { + rsltaddr = REG_DIRB; + result = (pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31))) | ((pasmvars->zflag ^ 1) << (value1 & 31)); + } + else + { + rsltaddr = REG_DIRA; + result = (pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31))) | ((pasmvars->zflag ^ 1) << (value1 & 31)); + } + } + break; + + case 70: // dirrnd, testp + if (((czi>>2) ^ (czi>>1)) & 1) // testp + { + NotImplemented(instruct); + } + else // dirl + { + NotImplemented(instruct); + } + break; + + case 71: // dirnot, testpn + if (((czi>>2) ^ (czi>>1)) & 1) // testpn + { + NotImplemented(instruct); + } + else // dirh + { + if (value1 & 32) + { + rsltaddr = REG_DIRB; + result = pasmvars->mem[REG_DIRB] ^ (1 << (value1 & 31)); + } + else + { + rsltaddr = REG_DIRA; + result = pasmvars->mem[REG_DIRA] ^ (1 << (value1 & 31)); + } + } + break; + + case 72: // outl + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31)); + } + break; + + case 73: // outh + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_OUTB] | (1 << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_OUTA] | (1 << (value1 & 31)); + } + break; + + case 74: // outc + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | (pasmvars->cflag << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | (pasmvars->cflag << (value1 & 31)); + } + break; + + case 75: // outnc + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | ((pasmvars->cflag ^ 1) << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | ((pasmvars->cflag ^ 1) << (value1 & 31)); + } + break; + + case 76: // outz + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | (pasmvars->zflag << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | (pasmvars->zflag << (value1 & 31)); + } + break; + + case 77: // outnz + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | ((pasmvars->zflag ^ 1) << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | ((pasmvars->zflag ^ 1) << (value1 & 31)); + } + break; + + case 78: // outrnd + NotImplemented(instruct); + break; + + case 79: // outnot + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_OUTB] ^ (1 << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_OUTB] ^ (1 << (value1 & 31)); + } + break; + + case 80: // fltl + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31)); + } + break; + + case 81: // flth + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = pasmvars->mem[REG_OUTB] | (1 << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = pasmvars->mem[REG_OUTA] | (1 << (value1 & 31)); + } + break; + + case 82: // fltc + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | (pasmvars->cflag << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | (pasmvars->cflag << (value1 & 31)); + } + break; + + case 83: // fltnc + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | ((pasmvars->cflag ^ 1) << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | ((pasmvars->cflag ^ 1) << (value1 & 31)); + } + break; + + case 84: // fltz + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | (pasmvars->zflag << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | (pasmvars->zflag << (value1 & 31)); + } + break; + + case 85: // fltnz + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | ((pasmvars->zflag ^ 1) << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | ((pasmvars->zflag ^ 1) << (value1 & 31)); + } + break; + + case 86: // fltrnd + NotImplemented(instruct); + break; + + case 87: // fltnot + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = pasmvars->mem[REG_OUTB] ^ (1 << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] & ~(1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = pasmvars->mem[REG_OUTA] ^ (1 << (value1 & 31)); + } + break; + + case 88: // drvl + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31)); + } + break; + + case 89: // drvh + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = pasmvars->mem[REG_OUTB] | (1 << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = pasmvars->mem[REG_OUTA] | (1 << (value1 & 31)); + } + break; + + case 90: // drvc + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | (pasmvars->cflag << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | (pasmvars->cflag << (value1 & 31)); + } + break; + + case 91: // drvnc + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | ((pasmvars->cflag ^ 1) << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | ((pasmvars->cflag ^ 1) << (value1 & 31)); + } + break; + + case 92: // drvz + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | (pasmvars->zflag << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | (pasmvars->zflag << (value1 & 31)); + } + break; + + case 93: // drvnz + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = (pasmvars->mem[REG_OUTB] & ~(1 << (value1 & 31))) | ((pasmvars->zflag ^ 1) << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = (pasmvars->mem[REG_OUTA] & ~(1 << (value1 & 31))) | ((pasmvars->zflag ^ 1) << (value1 & 31)); + } + break; + + case 94: // drvrnd + NotImplemented(instruct); + break; + + case 95: // drvnot + if (value1 & 32) + { + rsltaddr = REG_OUTB; + result = pasmvars->mem[REG_DIRB] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRB, result); + pasmvars->mem[REG_DIRB] = result; + result = pasmvars->mem[REG_OUTB] ^ (1 << (value1 & 31)); + } + else + { + rsltaddr = REG_OUTA; + result = pasmvars->mem[REG_DIRA] | (1 << (value1 & 31)); + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cmem[%x] = %x", REG_DIRA, result); + pasmvars->mem[REG_DIRA] = result; + result = pasmvars->mem[REG_OUTA] ^ (1 << (value1 & 31)); + } + break; + + case 96: // splitb + for (i = 0; i < 8; i++) { result = (result << 1) | - ((value1 >> 15)&0x10000) | ((value1 >> 30)&1); + ((value1 >> 7)&0x1000000) | ((value1 >> 14)&0x10000) | + ((value1 >> 21)&0x100) | ((value1 >> 28)&1); + value1 <<= 4; + } + break; + + case 97: // mergeb + for (i = 0; i < 8; i++) + { + result = (result << 4) | + ((value1 >> 28) & 8) | ((value1 >> 21) & 4) | + ((value1 >> 14) & 2) | ((value1 >> 7) & 1); value1 <<= 1; } break; - case 3: // mergew + case 98: // splitw + for (i = 0; i < 16; i++) + { + result = (result << 1) | + ((value1 >> 15)&0x10000) | ((value1 >> 30)&1); + value1 <<= 2; + } + break; + + case 99: // mergew for (i = 0; i < 16; i++) { result = (result << 2) | ((value1 >> 30) & 2) | ((value1 >> 15) & 1); value1 <<= 1; } - break; + break; + + case 100: // seussf + result = seuss(value1, 1); + break; + + case 101: // seussr + result = seuss(value1, 0); + break; + + case 102: // rgbsqz + result = (((value1 >> 27) & 0x1f) << 11) | (((value1 >> 18) & 0x3f) << 5) | ((value1 >> 11) & 0x1f); + break; + + case 103: // rgbexp + result = (((value1 >> 11) & 0x1f) << 27) | (((value1 >> 13) & 7) << 24) | + (((value1 >> 5) & 0x3f) << 18) | (((value1 >> 9) & 3) << 16) | + ((value1 & 0x1f) << 11) | (((value1 >> 2) & 7) << 8); + break; + + case 104: // xoro32 + NotImplemented(instruct); + break; + + case 105: // rev + for (value2 = 0; value2 < 32; value2++) + { + result = (result << 1) | (value1 & 1); + value1 >>= 1; + } + break; + + case 106: // rczr + result = (cflag << 31) | (zflag << 30) | ((uint32_t)value1 >> 2); + zflag = value1 & 1; + cflag = (value1 >> 1) & 1; + break; + + case 107: // rczl + result = (value1 << 2) | (cflag << 1) | zflag; + zflag = (value1 >> 30) & 1; + cflag = (value1 >> 31) & 1; + break; + + case 108: // wrc + result = cflag; + break; + + case 109: // wrnc + result = cflag ^ 1; + break; + + case 110: // wrz + result = zflag; + break; + + case 111: // wrnz, modcz + if (czi&1) // modcz + { + write_czr &= 6; + temp = ModifyFlag(cflag, zflag, value1 >> 4); + zflag = ModifyFlag(cflag, zflag, value1); + cflag = temp; + } + else // wrnz + result = zflag ^ 1; + break; + + case 112: // rfvar + result = temp = 0; + do + { + result |= read_stream_fifo_byte(pasmvars) << temp; + temp += 7; + } while ((temp < 28) && (result & (1 << temp))); + cflag = 0; + zflag = (result == 0); + break; + + case 113: // rfvars + result = temp = 0; + do + { + result |= read_stream_fifo_byte(pasmvars) << temp; + temp += 7; + } while ((temp < 28) && (result & (1 << temp))); + temp = 31 - temp; + result = (result << temp) >> temp; + cflag = (result >> 31) & 1; + zflag = (result == 0); + break; + + default: + NotImplemented(instruct); + break; } - break; + break; + + case 4: // jmp +//printf("jmp %d %x\n", rflag, instruct & ADDR_MASK); + if (rflag) + { +#ifdef OLD_WAY + pasmvars->pc = (pc + pc_incr * (1 + instruct)) & ADDR_MASK; +#else + if (pc & 0xfffffc00) + pasmvars->pc = (pc + 4 + instruct) & ADDR_MASK; + else + pasmvars->pc = (pc + 1 + (instruct >> 2)) & 0x3ff; +#endif + } + else + pasmvars->pc = instruct & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + write_czr &= 6; + check_hubexec_mode(pasmvars); + break; + + case 5: // call + if (rflag) + { +#ifdef OLD_WAY + pasmvars->pc = (pc + pc_incr * (1 + instruct)) & ADDR_MASK; +#else + if (pc & 0xfffffc00) + pasmvars->pc = (pc + 4 + instruct) & ADDR_MASK; + else + pasmvars->pc = (pc + 1 + (instruct >> 2)) & 0x3ff; +#endif + } + else + pasmvars->pc = instruct & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + pc += pc_incr; + pc |= (pasmvars->zflag << 21) | (pasmvars->cflag << 20); + pasmvars->retstack[pasmvars->retptr] = pc; + pasmvars->retptr = (pasmvars->retptr + 1) & 7; + if (pasmvars->retptr == 0) + printf("return stack overflow%s", NEW_LINE); + write_czr &= 6; + check_hubexec_mode(pasmvars); + break; + + case 6: // calla + if (rflag) + { +#ifdef OLD_WAY + pasmvars->pc = (pc + pc_incr * (1 + instruct)) & ADDR_MASK; +#else + if (pc & 0xfffffc00) + pasmvars->pc = (pc + 4 + instruct) & ADDR_MASK; + else + pasmvars->pc = (pc + 1 + (instruct >> 2)) & 0x3ff; +#endif + } + else + pasmvars->pc = instruct & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + pc += pc_incr; + pc |= (pasmvars->zflag << 21) | (pasmvars->cflag << 20); + write_unaligned_long(pasmvars->ptra, pc); + pasmvars->ptra = (pasmvars->ptra + 4) & ADDR_MASK; + write_czr &= 6; + check_hubexec_mode(pasmvars); + break; + + case 7: // callb + if (rflag) + { +#ifdef OLD_WAY + pasmvars->pc = (pc + pc_incr * (1 + instruct)) & ADDR_MASK; +#else + if (pc & 0xfffffc00) + pasmvars->pc = (pc + 4 + instruct) & ADDR_MASK; + else + pasmvars->pc = (pc + 1 + (instruct >> 2)) & 0x3ff; +#endif + } + else + pasmvars->pc = instruct & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + pc += pc_incr; + pc |= (pasmvars->zflag << 21) | (pasmvars->cflag << 20); + write_unaligned_long(pasmvars->ptrb, pc); + pasmvars->ptrb = (pasmvars->ptrb + 4) & ADDR_MASK; + write_czr &= 6; + check_hubexec_mode(pasmvars); + break; } break; - - case 9: // getnib, setbin, getword, setword, setwrds, rolnib, ... - switch (opcode & 7) - { - case 0: // getnib, setnib - case 1: - case 2: - case 3: - temp = (instruct >> 22) & 0x1c; - if (!(zci&2)) // getnib - { - result = (value1 >> temp) & 15; - } - else // setnib - { - result = (value2 & 15) << temp; - temp = ~(15 << temp); - result |= (value1 & temp); - } - break; - - case 4: // getword, setword - temp = (instruct >> 20) & 16; - if (!(zci&2)) // getword - { - result = (value1 >> temp) & 0xffff; - } - else // setword - { - result = (value2 & 0xffff) << temp; - temp = ~(0xffff << temp); - result |= (value1 & temp); - } - break; - - case 5: // setwrds, rolnib, rolbyte, rolword - NotImplemented(instruct); - break; - - case 6: // sets, setd, setx, seti - switch (zci >> 1) - { - case 0: // sets - result = (value1 & 0xfffffe00) | (value2 &0x1ff); - break; - - case 1: // setd - result = (value1 & 0xfffc01ff) | ((value2 &0x1ff) << 9); - break; - - case 2: // setx - result = (value1 & 0xff83ffff) | ((value2 &0x1f) << 18); - NotImplemented(instruct); - break; - - case 3: // seti - result = (value1 & 0x007fffff) | ((value2 &0x1ff) << 23); - break; - } - write_zcr &= 1; - break; - - case 7: - write_zcr &= 3; - if (!(zci & 4)) // cognew - { - // Look for next available cog - for (result = 0; result < 8; result++) - { - if (!PasmVars[result].state) break; - } - if (result == 8) // None available - { - cflag = 1; - result = 7; - } - else // Start cog - { - cflag = 0; - StartPasmCog2(&PasmVars[result], (value2 & 0x3ffff), (value1 & 0x3fffc), result); - } - } - else // waitcnt - { - if (value1 != GetCnt()) - { - printf("ERROR: waitcnt value1 = %8.8x, cnt = %8.8x\n", value1, GetCnt()); - spinsim_exit(1); - } - result = value1 + value2; - zflag = (result == 0); - cflag = (((value1 & value2) | ((value1 | value2) & (~result))) >> 31) & 1; - } - break; - } - break; - - case 10: // getbyte, setbyte, movbyts, xxxxrgb, xxxpix, jmpxx, xjxx - switch (opcode & 7) - { - case 0: // getbyte, setbyte - case 1: - temp = (instruct >> 21) & 0x18; - if (!(zci&2)) // getbyte - { - result = (value1 >> temp) & 255; - } - else // setbyte - { - result = (value2 & 255) << temp; - temp = ~(255 << temp); - result |= (value1 & temp); - } - break; - - case 2: // setbyts, movbyts, packrgb, unpkrgb - NotImplemented(instruct); - break; - - case 3: // addpix, mulpix, blnpix, mixpix - NotImplemented(instruct); - break; - - case 4: // jmpsw - case 5: // jmpswd - if (zci&1) - pasmvars->pc = (pc + value2) & 0xffff; - else - pasmvars->pc = value2 & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct & 0x20000)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - pc++; - } - else - pc += 4; - result = pc; - zflag = (result == 0); - cflag = 0; - break; - - case 6: // ijz, ijzd, ijnz, ijnzd - result = value1 + 1; - zflag = (result == 0); - cflag = (result == 0); - // Determine if we should jump - if (zflag != (zci >> 2)) - { - if (instruct & 0x00400000) - pasmvars->pc = (pc + value2) & 0xffff; - else - pasmvars->pc = value2 & 0xffff; - // Invalidate the instruction pipeline if non-delayed jump - if (!(zci & 2)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - } - write_zcr &= 1; - break; - - case 7: // djz, djzd, djnz, djnzd - result = value1 - 1; - zflag = (result == 0); - cflag = (result == -1); - // Determine if we should jump - if (zflag != (zci >> 2)) - { - if (instruct & 0x00400000) - pasmvars->pc = (pc + value2) & 0xffff; - else - pasmvars->pc = value2 & 0xffff; - // Invalidate the instruction pipeline if non-delayed jump - if (!(zci & 2)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - } - write_zcr &= 1; - break; - } - break; - - case 11: // testxx, cmpxx - write_zcr &= 6; - switch (opcode & 7) - { - case 0: // testb - NotImplemented(instruct); - break; - - case 1: // testn - result = value1 & (~value2); - zflag = (result == 0); - cflag = parity(result); - break; - - case 2: // test - result = value1 & value2; - zflag = (result == 0); - cflag = parity(result); - break; - - case 3: // cmp - //printf("\ncmp $%x $%x\n", value1, value2); - result = value1 - value2; - zflag = (result == 0); - cflag = ((uint32_t)value1) < ((uint32_t)value2); - break; - - case 4: // cmpx - result = value1 - value2 - cflag; - if (value2 != 0xffffffff || !cflag) - cflag = ((uint32_t)value1) < ((uint32_t)(value2 + cflag)); - zflag = (result == 0) & zflag; - break; - - case 5: // cmps - //printf("\ncmps $%x $%x\n", value1, value2); - result = value1 - value2; - zflag = (result == 0); - cflag = value1 < value2; - break; - - case 6: // cmpsx - result = value1 - value2 - cflag; - cflag = (((value1 ^ value2) & (value1 ^ result)) >> 31) & 1; - zflag = (result == 0) & zflag; - break; - - case 7: // cmpr - NotImplemented(instruct); - break; - } - break; - - case 12: // coginit, waitvid, waitpeq, waitpne - switch (opcode & 7) - { - case 0: // coginit, waitvid - case 1: - case 2: - case 3: - if (!(zci&2)) // coginit - { - result = (instruct >> 24) & 7; - //printf("\ncoginit: cog = %d, value1 = %8.8x, dflag = %d\n", result, value1, dflag); - StartPasmCog2(&PasmVars[result], (value2 & 0x3ffff), (value1 & 0x3fffc), result); - UpdatePins2(); - // Return without saving if we restarted this cog - if (result == pasmvars->cogid) return breakflag; - } - else // waitvid - { - NotImplemented(instruct); - } - write_zcr = 0; - break; - - case 4: // waitpeq - case 5: - case 6: // waitpne - case 7: - write_zcr &= 2; - cflag = !CheckWaitPin(pasmvars, instruct, value1, value2); - break; - } - break; - - case 13: // wrxxx, frac, setaccx, macx, mul32x, div32x, div64x - temp = ((opcode & 7) << 1) | (zci >> 2); - -#if 0 - if (temp <= 2) - { - // Check if using a ptr register - if (sflag) value2 = GetPointer(pasmvars, srcaddr, temp & 3); - } - -#endif - switch (temp) - { - case 0: // wrbyte - BYTE(value2) = value1; - if (pasmvars->printflag > 1) - fprintf(tracefile, ", wrb[%x] = %x", value2, value1); - break; - - case 1: // wrword - WORD(value2) = value1; - if (pasmvars->printflag > 1) - fprintf(tracefile, ", wrw[%x] = %x", value2, value1); - break; - - case 2: // wrlong - LONG(value2) = value1; - if (pasmvars->printflag > 1) - fprintf(tracefile, ", wrl[%x] = %x", value2, value1); - break; - - case 3: // frac - if (value2) - { - pasmvars->divq = ((uint64_t)value1 << 32) / (uint64_t)value2; - pasmvars->divr = ((uint64_t)value1 << 32) % (uint64_t)value2; - } - else - { - pasmvars->divq = 0; - pasmvars->divr = 0; - } - break; - - case 4: // wraux - case 5: // wrauxr - if ((zci & 1) && (srcaddr & 0x100)) - temp = GetAuxPointer(pasmvars, srcaddr); - else - temp = value2 & 0xff; - if (opcode & 1) - temp ^= 0xff; - pasmvars->auxram[temp] = value1; - break; - - case 6: // setacca - pasmvars->acca = (((int64_t)value1) << 32) | (uint64_t)value2; - break; - - case 7: // setaccb - pasmvars->accb = (((int64_t)value1) << 32) | (uint64_t)value2; - break; - - case 8: // maca - value1 = (value1 << 12) >> 12; - value2 = (value2 << 12) >> 12; - pasmvars->acca += (int64_t)value1 * (int64_t)value2; - break; - - case 9: // macb - value1 = (value1 << 12) >> 12; - value2 = (value2 << 12) >> 12; - pasmvars->accb += (int64_t)value1 * (int64_t)value2; - break; - - case 10: // mul32 - pasmvars->mulcount = 17; - pasmvars->mul = (int64_t)value1 * (int64_t)value2; - break; - - case 11: // mul32u - pasmvars->mulcount = 17; - pasmvars->mul = (uint64_t)value1 * (uint64_t)value2; - break; - - case 12: // div32 - pasmvars->mulcount = 17; - if (value2) - { - pasmvars->divq = value1 / value2; - pasmvars->divr = value1 % value2; - } - else - { - pasmvars->divq = 0; - pasmvars->divr = 0; - } - break; - - case 13: // div32u - pasmvars->mulcount = 17; - if (value2) - { - pasmvars->divq = (uint32_t)value1 / (uint32_t)value2; - pasmvars->divr = (uint32_t)value1 % (uint32_t)value2; - } - else - { - pasmvars->divq = 0; - pasmvars->divr = 0; - } - break; - - case 14: // div64 - pasmvars->mulcount = 17; - if (pasmvars->divisor) - { - pasmvars->divq = ((((int64_t)value1) << 32) | (uint64_t)value2) / (int64_t)pasmvars->divisor; - pasmvars->divr = ((((int64_t)value1) << 32) | (uint64_t)value2) % (int64_t)pasmvars->divisor; - } - else - { - pasmvars->divq = 0; - pasmvars->divr = 0; - } - break; - - case 15: // div64u - pasmvars->mulcount = 17; - if (pasmvars->divisor) - { - pasmvars->divq = ((((uint64_t)value1) << 32) | (uint64_t)value2) / (uint64_t)pasmvars->divisor; - pasmvars->divr = ((((uint64_t)value1) << 32) | (uint64_t)value2) % (uint64_t)pasmvars->divisor; - } - else - { - pasmvars->divq = 0; - pasmvars->divr = 0; - } - break; - } - write_zcr = 0; - break; - - case 14: // sqrt64, qsincos, qarctan, qrotate, setserx, setctrs, ... - temp = ((opcode & 7) << 1) | (zci >> 2); - switch (temp) - { - case 0: // sqrt64 - write_zcr = 0; - pasmvars->mulcount = 32; - pasmvars->sqrt = sqrt64(((uint64_t)value1<<32) | (uint32_t)value2); - break; - - case 1: // qsincos - NotImplemented(instruct); - break; - - case 2: // qartan - NotImplemented(instruct); - break; - - case 3: // qrotate - NotImplemented(instruct); - break; - - case 4: // setsera - NotImplemented(instruct); - break; - - case 5: // setserb - NotImplemented(instruct); - break; - - case 6: // setctrs - NotImplemented(instruct); - break; - - case 7: // setwavs - NotImplemented(instruct); - break; - - case 8: // setfrqs - NotImplemented(instruct); - break; - - case 9: // setphss - NotImplemented(instruct); - break; - - case 10: // addphss - NotImplemented(instruct); - break; - - case 11: // subphss - NotImplemented(instruct); - break; - - case 12: // jp - case 13: // jpd - if (value1 < 32 && ((pin_val >> value1) & 1)) - { - if (instruct & 0x10000) - pasmvars->pc = (pc + value2) & 0xffff; - else - pasmvars->pc = value2 & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct & 1)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - } - break; - - case 14: // jnp - case 15: // jnpd - if (value1 < 32 && !((pin_val >> value1) & 1)) - { - if (instruct & 0x10000) - pasmvars->pc = (pc + value2) & 0xffff; - else - pasmvars->pc = value2 & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct & 1)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - } - break; - } - write_zcr = 0; - break; - - case 15: - switch(opcode & 7) + case 14: // calld, loc + rsltaddr = (opcode & 3) + 0x1f6; + if (opcode & 4) // loc { - case 0: // cfgpins - case 1: // cfgpins, jmptask - NotImplemented(instruct); - break; - - case 2: // setxft, setmix - NotImplemented(instruct); - break; - - case 3: // jz, jzd, jnz, jnzd - if ((value1 == 0) != (zci >> 2)) - { - if (instruct & 0x00400000) - pasmvars->pc = (pc + value2) & 0xffff; - else - pasmvars->pc = value2 & 0xffff; - // Invalidate the instruction pipeline if non-delayed jump - if (!(zci & 2)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - } - write_zcr = 0; - break; - - case 4: // locbase, locbyte, locword, loclong - if (sflag) - result = (pc + value2) << 2; - else - result = value2 << 2; - switch (zci >> 1) - { - case 0: // locbase - result &= 0x3ffff; - break; - - case 1: // locbyte - result = (result + value1) & 0x3ffff; - break; - - case 2: // locword - result = (result + (value1 << 1)) & 0x3ffff; - break; - - case 3: // loclong - result = (result + (value1 << 2)) & 0x3ffff; - break; - } - break; - - case 5: // jmplist, locinst, reps, augs, augd - switch (zci) - { - case 0: // jmplist - case 1: - NotImplemented(instruct); - break; - - case 2: // locinst - NotImplemented(instruct); - break; - - case 3: // reps - break; - - case 4: // augs - case 5: - pasmvars->augsflag = 1; - pasmvars->augsvalue = instruct & 0x007fffff; - break; - - case 6: // augd - case 7: - pasmvars->augdflag = 1; - pasmvars->augdvalue = instruct & 0x007fffff; - break; - } - write_zcr = 0; - break; - - case 6: // fixindx, setindx, locptrx, jmpx, callx, callax, callbx, callxx, callyx - switch (zci) - { - case 0: // fixindx, setindx - switch (cond & 3) // Handle inda - { - case 0: - break; - - case 1: // fixinda - pasmvars->inda = srcaddr; - if (srcaddr < dstaddr) - { - pasmvars->indabot = srcaddr; - pasmvars->indatop = dstaddr; - } - else - { - pasmvars->indabot = dstaddr; - pasmvars->indatop = srcaddr; - } - break; - - case 2: // setindb #addr - pasmvars->inda = srcaddr; - pasmvars->indabot = 0; - pasmvars->indatop = 511; - break; - - case 3: // setindb ++/--delt - pasmvars->inda = (pasmvars->inda + ((srcaddr << 23) >> 23)) & 511; - pasmvars->indabot = 0; - pasmvars->indatop = 511; - break; - } - switch (cond >> 2) // Handle indb - { - case 0: - break; - - case 1: // fixindb - pasmvars->indb = srcaddr; - if (srcaddr < dstaddr) - { - pasmvars->indbbot = srcaddr; - pasmvars->indbtop = dstaddr; - } - else - { - pasmvars->indbbot = dstaddr; - pasmvars->indbtop = srcaddr; - } - break; - - case 2: // setindb #addr - pasmvars->indb = dstaddr; - pasmvars->indbbot = 0; - pasmvars->indbtop = 511; - break; - - case 3: // setindb ++/--delt - pasmvars->indb = (pasmvars->indb + ((dstaddr << 23) >> 23)) & 511; - pasmvars->indbbot = 0; - pasmvars->indbtop = 511; - } - break; - - case 1: // locptra, locptrb - switch ((instruct >> 16) & 3) - { - case 0: // locptra #abs - pasmvars->ptra = (instruct & 0xffff) << 2; - break; - - case 1: // locptra @rel - pasmvars->ptra = ((pc + instruct) & 0xffff) << 2; - break; - - case 2: // locptrb #abs - pasmvars->ptrb = (instruct & 0xffff) << 2; - break; - - case 3: // locptrb @rel - pasmvars->ptrb = ((pc + instruct) & 0xffff) << 2; - break; - } - break; - - case 2: // jmp, jmpd - if (instruct & 0x10000) - pasmvars->pc = (pc + instruct) & 0xffff; - else - pasmvars->pc = instruct & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct & 0x20000)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - break; - - case 3: // call, calld - if (instruct & 0x10000) - pasmvars->pc = (pc + instruct) & 0xffff; - else - pasmvars->pc = instruct & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct & 0x20000)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - pc++; - } - else - pc += 4; - pc |= (pasmvars->zflag << 17) | (pasmvars->cflag << 16); - pasmvars->retstack[pasmvars->retptr] = pc; - pasmvars->retptr = (pasmvars->retptr + 1) & 3; - if (pasmvars->retptr == 0) - printf("return stack overflow\n"); - break; - - case 4: // calla, callad - if (instruct & 0x10000) - pasmvars->pc = (pc + instruct) & 0xffff; - else - pasmvars->pc = instruct & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct & 0x20000)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - pc++; - } - else - { - pc += 4; - } - pc |= (pasmvars->zflag << 17) | (pasmvars->cflag << 16); - LONG(pasmvars->ptra) = pc; - pasmvars->ptra = (pasmvars->ptra + 4) & 0x3ffff; - break; - - case 5: // callb, callbd - if (instruct & 0x10000) - pasmvars->pc = (pc + instruct) & 0xffff; - else - pasmvars->pc = instruct & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct & 0x20000)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - pc++; - } - else - { - pc += 4; - } - pc |= (pasmvars->zflag << 17) | (pasmvars->cflag << 16); - LONG(pasmvars->ptrb) = pc; - pasmvars->ptrb = (pasmvars->ptrb + 4) & 0x3ffff; - break; - - case 6: // callx, callxd - if (instruct & 0x10000) - pasmvars->pc = (pc + instruct) & 0xffff; - else - pasmvars->pc = instruct & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct & 0x20000)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - pc++; - } - else - pc += 4; - pc |= (pasmvars->zflag << 17) | (pasmvars->cflag << 16); - pasmvars->auxram[pasmvars->ptrx] = pc; - pasmvars->ptrx = (pasmvars->ptrx + 1) & AUX_MASK; - break; - - case 7: // cally, callyd - if (instruct & 0x10000) - pasmvars->pc = (pc + instruct) & 0xffff; - else - pasmvars->pc = instruct & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct & 0x20000)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - pc++; - } - else - pc += 4; - pc |= (pasmvars->zflag << 17) | (pasmvars->cflag << 16); - pasmvars->auxram[pasmvars->ptry^255] = pc; - pasmvars->ptry = (pasmvars->ptry + 1) & AUX_MASK; - break; - } - write_zcr = 0; - break; - - case 7: - if ((instruct&511) >= 0x40 && (instruct&511) <= 0xdc) - { - write_zcr &= 6; - if (zci & 1) - value1 = (instruct >> 9) & 511; - } - - switch ((instruct >> 6) & 7) - { - case 0: - switch (instruct & 511) - { - case 0x000: // cogid - result = pasmvars->cogid; - break; - - case 0x001: // taskid - NotImplemented(instruct); - break; - - case 0x002: // locknew - for (result = 0; result < 8; result++) - { - if (!lockalloc[result]) break; - } - if (result == 8) - { - cflag = 1; - result = 7; - } - else - { - cflag = 0; - lockalloc[result] = 1; - } - zflag = (result == 0); - break; - - case 0x003: // getlfsr - NotImplemented(instruct); - break; - - case 0x004: // getcnt - result = GetCnt(); - zflag = (result == 0); - cflag = 0; - break; - - case 0x005: // getcntx - NotImplemented(instruct); - break; - - case 0x006: // getacal - result = pasmvars->acca & 0xffffffff; - break; - - case 0x007: // getacah - result = pasmvars->acca >> 32; - break; - - case 0x008: // getacbl - result = pasmvars->accb & 0xffffffff; - break; - - case 0x009: // getacbh - result = pasmvars->accb >> 32; - break; - - case 0x00a: // getptra - result = pasmvars->ptra; - zflag = (result == 0); - cflag = 0; - break; - - case 0x00b: // getptrb - result = pasmvars->ptrb; - zflag = (result == 0); - cflag = 0; - break; - - case 0x00c: // getptrx - result = pasmvars->ptrx; - break; - - case 0x00d: // getptry - result = pasmvars->ptry; - break; - - case 0x00e: // serina - NotImplemented(instruct); - break; - - case 0x00f: // serinb - NotImplemented(instruct); - break; - - case 0x010: // getmull - result = pasmvars->mul & 0xffffffff; - zflag = (result == 0); - cflag = 0; - break; - - case 0x011: // getmulh - result = (pasmvars->mul >> 32) & 0xffffffff; - zflag = (result == 0); - cflag = 0; - break; - - case 0x012: // getdivq - result = pasmvars->divq; - zflag = (result == 0); - cflag = 0; - break; - - case 0x013: // getdivr - result = pasmvars->divr; - zflag = (result == 0); - cflag = 0; - break; - - case 0x014: // getsqrt - result = pasmvars->sqrt; - zflag = (result == 0); - cflag = 0; - break; - - case 0x015: // getqx - NotImplemented(instruct); - break; - - case 0x016: // getqy - NotImplemented(instruct); - break; - - case 0x017: // getqz - NotImplemented(instruct); - break; - - case 0x018: // getphsa - NotImplemented(instruct); - break; - - case 0x019: // getphza - NotImplemented(instruct); - break; - - case 0x01a: // getcosa - NotImplemented(instruct); - break; - - case 0x01b: // getsina - NotImplemented(instruct); - break; - - case 0x01c: // getphsb - NotImplemented(instruct); - break; - - case 0x01d: // getphzb - NotImplemented(instruct); - break; - - case 0x01e: // getcosb - NotImplemented(instruct); - break; - - case 0x01f: // getsinb - NotImplemented(instruct); - break; - - case 0x020: // pushzc - result = (value1 << 2) | (zflag << 1) | cflag; - zflag = (value1 >> 31) & 1; - cflag = (value1 >> 30) & 1; - break; - - case 0x021: // popzc - result = ((value1 >> 2) & 0x3fffffff) | (zflag << 31) | (cflag << 30); - zflag = (value1 >> 1) & 1; - cflag = value1 & 1; - break; - - case 0x022: // subcnt - result = GetCnt() - value1; - cflag = (result >> 31) & 1; - zflag = (result == 0); - NotImplemented(instruct); - break; - - case 0x023: // getpix - NotImplemented(instruct); - break; - - case 0x024: // binbcd - NotImplemented(instruct); - break; - - case 0x025: // bcdbin - NotImplemented(instruct); - break; - - case 0x026: // bingry - result = value1 ^ ((value1 >> 1) & 0x7fffffff); - break; - - case 0x027: // grybin - NotImplemented(instruct); - break; - - case 0x028: // eswap4 - NotImplemented(instruct); - break; - - case 0x029: // eswap8 - NotImplemented(instruct); - break; - - case 0x02a: // seussf - result = seuss(value1, 1); - break; - - case 0x02b: // seussr - result = seuss(value1, 0); - break; - - case 0x02c: // incd - result = value1 + 0x200; - zflag = (result == 0); - write_zcr &= 5; - break; - - case 0x02d: // decd - result = value1 - 0x200; - zflag = (result == 0); - write_zcr &= 5; - break; - - case 0x02e: // incds - result = value1 + 0x201; - zflag = (result == 0); - write_zcr &= 5; - break; - - case 0x02f: // decds - result = value1 - 0x201; - zflag = (result == 0); - write_zcr &= 5; - break; - - case 0x030: // pop - pasmvars->retptr = (pasmvars->retptr - 1) & 3; - pasmvars->retstack[pasmvars->retptr] = value1; - if (pasmvars->retptr == 3) - printf("return stack underflow\n"); - break; - - default: - NotImplemented(instruct); - break; - } - break; - - case 1: // repd - if ((instruct & 0xffc3fe00) == 0xfe03fe00) - { - pasmvars->repcnt = 1; - pasmvars->repforever = 1; - } - else - pasmvars->repcnt = value1; - pasmvars->repbot = (pasmvars->pc) & 0xffff; - pasmvars->reptop = (pasmvars->pc + (srcaddr & 63)) & 0xffff; - break; - - case 2: - write_zcr = 0; - switch (instruct & 511) - { - case 0x080: // clkset - result = value1 & 0x1ff; - if (result & 0x100) - { - RebootProp(); - return breakflag; - } - break; - - case 0x081: // cogstop - PasmVars[value1&7].state = 0; - UpdatePins2(); - break; - - case 0x082: // lockset - result = value1 & 7; - cflag = lockstate[result] & 1; - lockstate[result] = -1; - write_zcr = (zci & 2); - break; - - case 0x083: // lockclr - result = value1 & 7; - cflag = lockstate[result] & 1; - lockstate[result] = 0; - write_zcr = (zci & 2); - break; - - case 0x084: // lockret - for (result = 0; result < 8; result++) - { - if (!lockalloc[result]) break; - } - cflag = (result == 8); - result = value1 & 7; - zflag = (result == 0); - lockalloc[result] = 0; - break; - - case 0x085: // rdwidec - case 0x086: // rdwide -#if 0 - // Check if using a ptr register - if (zci & 1) value1 = GetPointer(pasmvars, dstaddr, 5); -#endif - value1 &= 0xffffffe0; - if (!(instruct & 1) || value1 != pasmvars->dcachehubaddr) - { - pasmvars->dcache[0] = LONG(value1); - pasmvars->dcache[1] = LONG(value1+4); - pasmvars->dcache[2] = LONG(value1+8); - pasmvars->dcache[3] = LONG(value1+12); - pasmvars->dcache[4] = LONG(value1+16); - pasmvars->dcache[5] = LONG(value1+20); - pasmvars->dcache[6] = LONG(value1+24); - pasmvars->dcache[7] = LONG(value1+28); - pasmvars->dcachehubaddr = value1; - } -#if 0 - fprintf(tracefile, "rdwide(%8.8x) %8.8x %8.8x %8.8x %8.8x\n", - value1, pasmvars->dcache[0], pasmvars->dcache[1], - pasmvars->dcache[2], pasmvars->dcache[3]); -#endif - write_zcr = 0; - break; - - case 0x087: // wrwide -#if 0 - // Check if using a ptr register - if (zci & 1) value1 = GetPointer(pasmvars, dstaddr, 5); -#endif - value1 &= 0xffffffe0; - LONG(value1) = pasmvars->dcache[0]; - LONG(value1+4) = pasmvars->dcache[1]; - LONG(value1+8) = pasmvars->dcache[2]; - LONG(value1+12) = pasmvars->dcache[3]; - LONG(value1+16) = pasmvars->dcache[4]; - LONG(value1+20) = pasmvars->dcache[5]; - LONG(value1+24) = pasmvars->dcache[6]; - LONG(value1+28) = pasmvars->dcache[7]; -#if 0 - fprintf(tracefile, "wrwide(%8.8x) %8.8x %8.8x %8.8x %8.8x\n", - value1, pasmvars->dcache[0], pasmvars->dcache[1], - pasmvars->dcache[2], pasmvars->dcache[3]); -#endif - write_zcr = 0; - break; - - case 0x088: // getp - if (value1 < 32) - cflag = (pin_val >> value1) & 1; - else - cflag = 1; - zflag = cflag ^ 1; - write_zcr = (zci & 6); - break; - - case 0x089: // getnp - if (value1 < 32) - zflag = (pin_val >> value1) & 1; - else - zflag = 1; - cflag = zflag ^ 1; - write_zcr = (zci & 6); - break; - - case 0x08a: // serouta - NotImplemented(instruct); - break; - - case 0x08b: // seroutb - NotImplemented(instruct); - break; - - case 0x08c: // cmpcnt - result = GetCnt() - value1; - cflag = (result >> 31) & 1; - zflag = (result == 0); - write_zcr = (zci & 6); - break; - - case 0x08d: // waitpx - NotImplemented(instruct); - break; - - case 0x08e: // waitpr - NotImplemented(instruct); - break; - - case 0x08f: // waitpf - NotImplemented(instruct); - break; - - case 0x090: // setzc - zflag = (value1 >> 1) & 1; - cflag = value1 & 1; - write_zcr = (zci & 6); - break; - - case 0x091: // setmap - NotImplemented(instruct); - break; - - case 0x092: // setxch - NotImplemented(instruct); - break; - - case 0x093: // settask - NotImplemented(instruct); - break; - - case 0x094: // setrace - NotImplemented(instruct); - break; - - case 0x095: // saracca - pasmvars->acca >>= (value1 & 63); - write_zcr = 0; - break; - - case 0x096: // saraccb - pasmvars->accb >>= (value1 & 63); - write_zcr = 0; - break; - - case 0x097: // saraccs - pasmvars->acca >>= (value1 & 63); - pasmvars->accb >>= (value1 & 63); - write_zcr = 0; - break; - - case 0x098: // setptra - pasmvars->ptra = value1 & 0x3ffff; - write_zcr = 0; - break; - - case 0x099: // setptrb - pasmvars->ptrb = value1 & 0x3ffff; - write_zcr = 0; - break; - - case 0x09a: // addptra - pasmvars->ptra = (pasmvars->ptra + value1) & 0x3ffff; - write_zcr = 0; - break; - - case 0x09b: // addptrb - pasmvars->ptrb = (pasmvars->ptrb + value1) & 0x3ffff; - break; - - case 0x09c: // subptra - pasmvars->ptra = (pasmvars->ptra - value1) & 0x3ffff; - break; - - case 0x09d: // subptrb - pasmvars->ptrb = (pasmvars->ptrb - value1) & 0x3ffff; - break; - - case 0x09e: // setwide - pasmvars->dcachecogaddr = value1 & 0x1f8; - break; - - case 0x09f: // setwidz - NotImplemented(instruct); - break; - - case 0x0a0: // setptrx - pasmvars->ptrx = value1 & 255; - break; - - case 0x0a1: // setptry - pasmvars->ptry = value1 & 255; - break; - - case 0x0a2: // addptrx - pasmvars->ptrx = (pasmvars->ptrx + value1) & 255; - break; - - case 0x0a3: // addptry - pasmvars->ptry = (pasmvars->ptry + value1) & 255; - break; - - case 0x0a4: // subptrx - pasmvars->ptrx = (pasmvars->ptrx - value1) & 255; - break; - - case 0x0a5: // subptry - pasmvars->ptry = (pasmvars->ptry - value1) & 255; - break; - - case 0x0a6: // passcnt - NotImplemented(instruct); - break; - - case 0x0a7: // wait - write_zcr = 0; - break; - - case 0x0a8: // offp - write_zcr = 1; - dstaddr = ((value1 & 127) >> 5) + REG_DIRA; - pasmvars->mem[dstaddr] &= ~(1 << (value1 & 31)); - dstaddr = ((value1 & 127) >> 5) + REG_OUTA; - result = pasmvars->mem[dstaddr] & ~(1 << (value1 & 31)); - break; - - case 0x0a9: // notp - write_zcr = 1; - dstaddr = ((value1 & 127) >> 5) + REG_DIRA; - pasmvars->mem[dstaddr] |= (1 << (value1 & 31)); - dstaddr = ((value1 & 127) >> 5) + REG_OUTA; - result = pasmvars->mem[dstaddr] ^ (1 << (value1 & 31)); - break; - - case 0x0aa: // clrp - write_zcr = 1; - dstaddr = ((value1 & 127) >> 5) + REG_DIRA; - pasmvars->mem[dstaddr] |= (1 << (value1 & 31)); - dstaddr = ((value1 & 127) >> 5) + REG_OUTA; - result = pasmvars->mem[dstaddr] & ~(1 << (value1 & 31)); - break; - - case 0x0ab: // setp - write_zcr = 1; - dstaddr = ((value1 & 127) >> 5) + REG_DIRA; - pasmvars->mem[dstaddr] |= (1 << (value1 & 31)); - dstaddr = ((value1 & 127) >> 5) + REG_OUTA; - result = pasmvars->mem[dstaddr] | (1 << (value1 & 31)); - break; - - case 0x0ac: // setpc - write_zcr = 1; - dstaddr = ((value1 & 127) >> 5) + REG_DIRA; - pasmvars->mem[dstaddr] |= (1 << (value1 & 31)); - dstaddr = ((value1 & 127) >> 5) + REG_OUTA; - if (pasmvars->cflag) - result = pasmvars->mem[dstaddr] | (1 << (value1 & 31)); - else - result = pasmvars->mem[dstaddr] & ~(1 << (value1 & 31)); - break; - - case 0x0ad: // setpnc - write_zcr = 1; - dstaddr = ((value1 & 127) >> 5) + REG_DIRA; - pasmvars->mem[dstaddr] |= (1 << (value1 & 31)); - dstaddr = ((value1 & 127) >> 5) + REG_OUTA; - if (!pasmvars->cflag) - result = pasmvars->mem[dstaddr] | (1 << (value1 & 31)); - else - result = pasmvars->mem[dstaddr] & ~(1 << (value1 & 31)); - break; - - case 0x0ae: // setpz - write_zcr = 1; - dstaddr = ((value1 & 127) >> 5) + REG_DIRA; - pasmvars->mem[dstaddr] |= (1 << (value1 & 31)); - dstaddr = ((value1 & 127) >> 5) + REG_OUTA; - if (pasmvars->zflag) - result = pasmvars->mem[dstaddr] | (1 << (value1 & 31)); - else - result = pasmvars->mem[dstaddr] & ~(1 << (value1 & 31)); - break; - - case 0x0af: // setpnz - write_zcr = 1; - dstaddr = ((value1 & 127) >> 5) + REG_DIRA; - pasmvars->mem[dstaddr] |= (1 << (value1 & 31)); - dstaddr = ((value1 & 127) >> 5) + REG_OUTA; - if (!pasmvars->zflag) - result = pasmvars->mem[dstaddr] | (1 << (value1 & 31)); - else - result = pasmvars->mem[dstaddr] & ~(1 << (value1 & 31)); - break; - - case 0x0b0: // div64d - write_zcr = 0; - pasmvars->divisor = value1; - break; - - case 0x0b1: // sqrt32 - write_zcr = 0; - pasmvars->mulcount = 16; - pasmvars->sqrt = sqrt32(value1); - break; - - case 0x0b2: // qlog - NotImplemented(instruct); - break; - - case 0x0b3: // qexp - NotImplemented(instruct); - break; - - case 0x0b4: // setqi - NotImplemented(instruct); - break; - - case 0x0b5: // setqz - NotImplemented(instruct); - break; - - case 0x0b6: // cfgdacs - NotImplemented(instruct); - break; - - case 0x0b7: // setdacs - NotImplemented(instruct); - break; - - case 0x0b8: // cfgdac0 - NotImplemented(instruct); - break; - - case 0x0b9: // cfgdac1 - NotImplemented(instruct); - break; - - case 0x0ba: // cfgdac2 - NotImplemented(instruct); - break; - - case 0x0bb: // cfgdac3 - NotImplemented(instruct); - break; - - case 0x0bc: // setdac0 - NotImplemented(instruct); - break; - - case 0x0bd: // setdac1 - NotImplemented(instruct); - break; - - case 0x0be: // setdac2 - NotImplemented(instruct); - break; - - case 0x0bf: // setdac3 - NotImplemented(instruct); - break; - - default: - NotImplemented(instruct); - break; - } - break; - - case 3: - write_zcr = 0; - switch (instruct & 511) - { - case 0x0c0: // setctra - NotImplemented(instruct); - break; - - case 0x0c1: // setwava - NotImplemented(instruct); - break; - - case 0x0c2: // setfrqa - NotImplemented(instruct); - break; - - case 0x0c3: // setphsa - NotImplemented(instruct); - break; - - case 0x0c4: // addphsa - NotImplemented(instruct); - break; - - case 0x0c5: // subphsa - NotImplemented(instruct); - break; - - case 0x0c6: // setvid - NotImplemented(instruct); - break; - - case 0x0c7: // setvidy - NotImplemented(instruct); - break; - - case 0x0c8: // setctrb - NotImplemented(instruct); - break; - - case 0x0c9: // setwavb - NotImplemented(instruct); - break; - - case 0x0ca: // setfrqb - NotImplemented(instruct); - break; - - case 0x0cb: // setphsb - NotImplemented(instruct); - break; - - case 0x0cc: // addphsb - NotImplemented(instruct); - break; - - case 0x0cd: // subphsb - NotImplemented(instruct); - break; - - case 0x0ce: // setvidi - NotImplemented(instruct); - break; - - case 0x0cf: // setvidq - NotImplemented(instruct); - break; - - case 0x0d0: // setpix - NotImplemented(instruct); - break; - - case 0x0d1: // setpixz - NotImplemented(instruct); - break; - - case 0x0d2: // setpixu - NotImplemented(instruct); - break; - - case 0x0d3: // setpixv - NotImplemented(instruct); - break; - - case 0x0d4: // setpixa - NotImplemented(instruct); - break; - - case 0x0d5: // setpixr - NotImplemented(instruct); - break; - - case 0x0d6: // setpixg - NotImplemented(instruct); - break; - - case 0x0d7: // setpixb - NotImplemented(instruct); - break; - - case 0x0d8: // setpora - NotImplemented(instruct); - break; - - case 0x0d9: // setporb - NotImplemented(instruct); - break; - - case 0x0da: // setporc - NotImplemented(instruct); - break; - - case 0x0db: // setpord - NotImplemented(instruct); - break; - - case 0x0dc: // push - pasmvars->retstack[pasmvars->retptr] = value1; - pasmvars->retptr = (pasmvars->retptr + 1) & 3; - if (pasmvars->retptr == 0) - printf("return stack overflow\n"); - break; - - case 0x0f4: // jmp - case 0x0f5: // jmpd - pasmvars->pc = value1 & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct&1)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - break; - - case 0x0f6: // call - case 0x0f7: // calld - pasmvars->pc = value1 & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct&1)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - pc++; - } - else - pc += 4; - pc |= (pasmvars->zflag << 17) | (pasmvars->cflag << 16); - pasmvars->retstack[pasmvars->retptr] = pc; - pasmvars->retptr = (pasmvars->retptr + 1) & 3; - if (pasmvars->retptr == 0) - printf("return stack overflow\n"); - break; - - case 0x0f8: // calla - NotImplemented(instruct); - break; - - case 0x0f9: // callad - NotImplemented(instruct); - break; - - case 0x0fa: // callb - NotImplemented(instruct); - break; - - case 0x0fb: // callbd - NotImplemented(instruct); - break; - - case 0x0fc: // callx - NotImplemented(instruct); - break; - - case 0x0fd: // callxd - NotImplemented(instruct); - break; - - case 0x0fe: // cally - NotImplemented(instruct); - break; - - case 0x0ff: // callyd - NotImplemented(instruct); - break; - - default: - NotImplemented(instruct); - break; - } - break; - - case 4: - write_zcr &= 6; - switch (instruct & 511) - { - case 0x100: // reta - case 0x101: // retad - pasmvars->ptra = (pasmvars->ptra - 4) & 0x3ffff; - result = LONG(pasmvars->ptra); - cflag = (result >> 16) & 1; - zflag = (result >> 17) & 1; - pasmvars->pc = result & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct&1)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - break; - - case 0x102: // retb - case 0x103: // retbd - pasmvars->ptrb = (pasmvars->ptrb - 4) & 0x3ffff; - result = LONG(pasmvars->ptrb); - cflag = (result >> 16) & 1; - zflag = (result >> 17) & 1; - pasmvars->pc = result & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct&1)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - break; - - case 0x104: // retx - case 0x105: // retxd - pasmvars->ptrx = (pasmvars->ptrx - 1) & AUX_MASK; - result = pasmvars->auxram[pasmvars->ptrx]; - cflag = (result >> 16) & 1; - zflag = (result >> 17) & 1; - pasmvars->pc = result & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct&1)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - break; - - case 0x106: // rety - case 0x107: // retyd - pasmvars->ptry = (pasmvars->ptry - 1) & AUX_MASK; - result = pasmvars->auxram[pasmvars->ptry^255]; - cflag = (result >> 16) & 1; - zflag = (result >> 17) & 1; - pasmvars->pc = result & 0xffff; - // Invalidate the instruction pipeline if non-delayed - if (!(instruct&1)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - break; - - case 0x108: // ret - case 0x109: // retd - pasmvars->retptr = (pasmvars->retptr - 1) & 3; - result = pasmvars->retstack[pasmvars->retptr]; - cflag = (result >> 16) & 1; - zflag = (result >> 17) & 1; - pasmvars->pc = result & 0xffff; - if (pasmvars->retptr == 3) - printf("return stack underflow\n"); - // Invalidate the instruction pipeline if non-delayed - if (!(instruct&1)) - { - pasmvars->pc1 |= INVALIDATE_INSTR; - pasmvars->pc2 |= INVALIDATE_INSTR; - pasmvars->pc3 |= INVALIDATE_INSTR; - } - break; - - case 0x10a: // polctra - NotImplemented(instruct); - break; - - case 0x10b: // polctrb - NotImplemented(instruct); - break; - - case 0x10c: // polvid - NotImplemented(instruct); - break; - - case 0x10d: // capctra - NotImplemented(instruct); - write_zcr = 0; - break; - - case 0x10e: // capctrb - NotImplemented(instruct); - write_zcr = 0; - break; - - case 0x10f: // capctrs - NotImplemented(instruct); - write_zcr = 0; - break; - - case 0x110: // setpixw - NotImplemented(instruct); - write_zcr = 0; - break; - - case 0x111: // clracca - pasmvars->acca = 0; - write_zcr = 0; - break; - - case 0x112: // clraccb - pasmvars->accb = 0; - write_zcr = 0; - break; - - case 0x113: // clraccs - pasmvars->acca = 0; - pasmvars->accb = 0; - write_zcr = 0; - break; - - case 0x114: // chkptrx - zflag = (pasmvars->ptrx == 0); - cflag = (pasmvars->ptrx >> 7) & 1; - break; - - case 0x115: // chkptry - zflag = (pasmvars->ptry == 0); - cflag = (pasmvars->ptry >> 7) & 1; - break; - - case 0x116: // synctra - NotImplemented(instruct); - write_zcr = 0; - break; - - case 0x117: // synctrb - NotImplemented(instruct); - write_zcr = 0; - break; - - case 0x118: // dcachex - pasmvars->dcachehubaddr = 0xffffffff; - write_zcr = 0; - break; - - case 0x119: // icachex - pasmvars->icachehubaddr[0] = 0xffffffff; - pasmvars->icachehubaddr[1] = 0xffffffff; - pasmvars->icachehubaddr[2] = 0xffffffff; - pasmvars->icachehubaddr[3] = 0xffffffff; - write_zcr = 0; - break; - - case 0x11a: // icachep - pasmvars->prefetch = 1; - write_zcr = 0; - break; - - case 0x11b: // icachen - pasmvars->prefetch = 0; - write_zcr = 0; - break; - - default: - NotImplemented(instruct); - write_zcr = 0; - break; - } - break; - - default: - NotImplemented(instruct); - break; - } + if (czi & 4) + result = (pc + value2) << 2; + else + result = value2 << 2; + result &= ADDR_MASK; } + else // calld + { + if (czi & 4) + pasmvars->pc = (pc + pc_incr + instruct) & ADDR_MASK; + else + pasmvars->pc = instruct & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + result = (pc + pc_incr) | (pasmvars->zflag << 21) | (pasmvars->cflag << 20); + cflag = (result >> 20) & 1; + zflag = (result >> 21) & 1; + write_czr |= 1; + check_hubexec_mode(pasmvars); + } + break; + + case 15: // augs, augd + if (opcode & 4) // augd + { + pasmvars->augdflag = 1; + pasmvars->augdvalue = instruct & 0x007fffff; + } + else // augs + { + pasmvars->augsflag = 1; + pasmvars->augsvalue = instruct & 0x007fffff; + } + write_czr &= 6; + break; } // Conditionally update flags and write result - if (write_zcr & 4) + if (write_czr & 2) { pasmvars->zflag = zflag; if (pasmvars->printflag > 1) fprintf(tracefile, ", z = %d", zflag); } - if (write_zcr & 2) + if (write_czr & 4) { pasmvars->cflag = cflag; if (pasmvars->printflag > 1) fprintf(tracefile, ", c = %d", cflag); } - if (write_zcr & 1) + if ((write_czr & 1) && rsltaddr != -1) { pasmvars->lastd = result; - if ((dstaddr & 0x1f8) == pasmvars->dcachecogaddr) - { - pasmvars->dcache[dstaddr & 7] = result; + if (memflag == 2) + { + pasmvars->lut[rsltaddr] = result; if (pasmvars->printflag > 1) - fprintf(tracefile, ", dcache[%d] = %x", dstaddr & 7, result); - } - else - { - pasmvars->mem[dstaddr] = result; + fprintf(tracefile, ", clut[%x] = %x", rsltaddr, result); + } + else if (rsltaddr == REG_PTRA) + { + pasmvars->ptra = result; if (pasmvars->printflag > 1) - fprintf(tracefile, ", cram[%x] = %x", dstaddr, result); - } + fprintf(tracefile, ", ptra = %x", result); + } + else if (rsltaddr == REG_PTRB) + { + pasmvars->ptrb = result; + if (pasmvars->printflag > 1) + fprintf(tracefile, ", ptrb = %x", result); + } + else + { + pasmvars->mem[rsltaddr] = result; + if (pasmvars->printflag > 1) + fprintf(tracefile, ", cram[%x] = %x", rsltaddr, result); + } // Check if we need to update the pins - if (dstaddr >= REG_OUTA && dstaddr <= REG_DIRD) UpdatePins2(); + if (rsltaddr >= REG_DIRA && rsltaddr <= REG_OUTB) UpdatePins2(); } +#if 0 if (pasmvars->waitflag) { fprintf(tracefile, "XXXXXXXXXX BAD XXXXXXXXXXXXXXX\n"); pasmvars->waitflag--; if (!pasmvars->waitflag) pasmvars->waitmode = 0; } +#endif + + // Check for post return + if (post_ret) + { + pasmvars->retptr = (pasmvars->retptr - 1) & 7; + temp = pasmvars->retstack[pasmvars->retptr]; + if (pasmvars->retptr == 7) + printf("return stack underflow%s", NEW_LINE); + pasmvars->pc = temp & ADDR_MASK; + pasmvars->pc1 |= INVALIDATE_INSTR; + if (pasmvars->printflag > 1) fprintf(tracefile, ", RET %x", temp); + } + + // Clear the alternate register flags + //if (pasmvars->altsflag) printf("\nClear altsflag\n"); + if (!alt_instr) + { + pasmvars->altiflag = 0; + pasmvars->altsflag = 0; + pasmvars->altdflag = 0; + pasmvars->altrflag = 0; + pasmvars->altnflag = 0; + pasmvars->altsvflag = 0; + } + + if (!pasmvars->rwrep) + { + pasmvars->phase = 0; // Change phase to fetch mode + pasmvars->qreg = 0; + pasmvars->memflag = 0; + pasmvars->skip_mask >>= 1; + } + return breakflag; } /* diff --git a/pfth103_p2/bufser.fth b/pfth103_p2/bufser.fth deleted file mode 100755 index 53e6bc4..0000000 --- a/pfth103_p2/bufser.fth +++ /dev/null @@ -1,89 +0,0 @@ -\ Define data space that will be used by the serial cog -create bufserstack 40 allot \ Data stack space -create bufserreturn 40 allot \ Return stack space -create bufserbuffer 32 allot \ Serial buffer -create bufserrdindex 0 , \ Read index -create bufserwrindex 0 , \ Write index - -\ This word runs in a separate cog and writes input characters into the buffer -: bufsercog - bufserbuffer - begin - getchar over bufserwrindex @ + c! - bufserwrindex dup @ 1 + 31 and swap ! - again ; - -\ This word replaces the old KEY word with one that reads from the buffer -: bufkey - bufserrdindex @ - begin - dup - bufserwrindex @ - - - until - bufserbuffer + c@ - bufserrdindex dup @ 1 + 31 and swap ! - ; - -\ This is the cog configuration structure used by the serial cog -create bufserconfig \ Forth cog config structure - ' bufsercog >body , \ Get execution token for TOGGLE - bufserstack , \ Initial value of stack ptr - bufserstack , \ Empty value for stack ptr - bufserreturn , \ Initial value of return ptr - bufserreturn , \ Empty value for return ptr - -\ This word starts a cog running the BUFSER word -: startbufsercog forth @ bufserconfig cognew ; - -\ This word starts the serial cog and sets key to the new one -: bufser startbufsercog ['] bufkey is key ; - -\ Buffer the serial input into memory until an ESC is received -: bufferit here 256 + dup - ." Buffering serial input to memory" cr - ." Enter to exit" cr - begin - key dup 27 <> - while - over c! 1+ - repeat - drop over - - \ over infile cog! -; - -\ Determine the length of the current line -: linelen ( addr count -- addr len ) - >r dup ( addr ptr ) ( left ) - begin - r@ 0 <= - if over - r> drop exit then - dup c@ dup 10 = swap 13 = or - if over - r> drop exit then - 1+ r> 1- >r - again -; - -\ Variables to store the buffer address and count -variable evalbufaddr -variable evalbufcount - -\ Evaluate a buffer containing multiple lines -: evalbuf ( addr count ) - evalbufcount ! - evalbufaddr ! - begin - evalbufcount @ 0 > - while - evalbufaddr @ evalbufcount @ - linelen - dup 1+ dup - evalbufaddr @ + evalbufaddr ! - evalbufcount @ swap - evalbufcount ! - over over type cr - evaluate - repeat -; - -\ Redefine switch to use buffered serial -\ : switch bufser ; diff --git a/pfth103_p2/changes.txt b/pfth103_p2/changes.txt deleted file mode 100755 index 05e8826..0000000 --- a/pfth103_p2/changes.txt +++ /dev/null @@ -1,94 +0,0 @@ - Changes in pfth 1.03 - -1. Added umod and u/ words - -2. Fixed the #, udmod and ud/ words to handle numbers with the MSB set. - These changes fix a problem with the u. word when the MSB is set. - -3. Fixed the putch PASM routine so that it only writes P30 in OUTA - - - Changes in pfth 1.02 - -1. Added ospfth.spin, which runs under Spinix - -2. Added support for two simultaneous open files - -3. Added bye and reboot words - -4. Added sub-directory support - -5. Added CD word to change the working directory - -6. Modified the s" word to work in the interpretation mode - -7. Added a kernel word to make constants and values more efficient - - - Changes in pfth 1.00 - -1. Fixed the FOR and NEXT words - -2. Fixed a bug in UNLOOP - -3. Added create-file, file-write, file-line, file-flush, file-close and - delete-file - -4. Added linux.fth that implements simple ls, rm, cp and cat commands - -5. Added sdutils.fth that contains some file utilities - -6. Added TED -- a tiny text editor - -7. Changed SAVE to EESAVE in i2c.fth - - - Changes in pfth 0.89 - -1. Changed the dictionary word structure to use 16-bit pointers instead of 32 - bits. - -2. Changed the execution list in compiled words to use 16-bit execution tokens - instead of 32 bits. - -3. Changed the positions of the code pointer and DOES pointer to make the inner - loop more efficient. It now runs about 25% faster. - -4. Changed SEE back to printing "_lit" for numbers. - -5. Implemented a _loop kernel word to make DO-LOOP faster. - - - Changes in pfth 0.83 - -1. Changed SEE in see.fth so that it doesn't print "_lit" for numbers - -2. Added bufser.fth that contains a buffered serial driver - -3. Added a BUFFERIT word in bufser.fth that reads the serial input into memory - until it encounters an "ESC" character. - -4. Added an EVALBUF word in bufser.fth that will evaluate multiple lines in a - memory buffer - -5. Updated the readme.txt file with text contributed by Loopy - -6. Updated the readme.txt file with a description of the dictionary word format - -7. Added a SAVE word to i2c.fth that will write the current hub RAM image to - EEPROM. Note: The small Spin program at the end of memory must have been - preserved for this to boot properly. - -8. Changed the CR word so that it emits both a carriage return and a line feed. - -9. Added CASE, ENDCASE, OF and ENDOF to init.fth - -10. Improved WAITCNT and MS in propwords.fth. Removed INA! - -11. Fixed LIST-FILES in sd.fth to skip over deleted files in the directory - -12. Changed the execution token so that it points to the code pointer instead - of the beginning of the word in the dictionary - -13. Made KEY a deferred word so that it can be re-assigned to the serial input - after reading the FILEs in memory diff --git a/pfth103_p2/chess.fth b/pfth103_p2/chess.fth deleted file mode 100755 index d832ffb..0000000 --- a/pfth103_p2/chess.fth +++ /dev/null @@ -1,608 +0,0 @@ -\ This program was written by Lennart Benschop and converted to ANS Forth by -\ by Jeff Fox. It was further modified by Dave Hein to run under pfth. The -\ orignal source is available at http://www.ultatechnology.com/chess.html. - -HEX - -: scroll cr ; -: cls page ; -: key? 1 ; -: off false swap ! ; -: >defer 2 + w@ 4 - ; - -3 constant maxlevel -create bp0 -maxlevel 1 + c0 * allot - -variable bpv - -: bp bpv @ ; -: b@ bpv @ + c@ ; -: b! bpv @ + c! ; - -: boardvar create , - does> c@ bpv @ + ; - 0c boardvar start - 0d boardvar castlew - 0e boardvar castleb - 0f boardvar ep - 1c boardvar starting - 1d boardvar piece - 1e boardvar best - 1f boardvar farther? - 2c boardvar wlcastle? - 2d boardvar blcastle? - 2e boardvar check - 2f boardvar pawnmove - 3c boardvar kingw - 3d boardvar kingb - 3e boardvar inpassing - 3f boardvar advance - 4c boardvar valuew - 5c boardvar alfa - 6c boardvar beta - 7c boardvar (eval) - 8c boardvar highest - 9c boardvar cutoff - ac boardvar valueb - bc boardvar played - -variable level - -variable lastcnt - -: +level - bp dup c0 + c0 cmove - c0 bpv +! 1 level +! ; - -: -level - -c0 bpv +! -1 level +! ; - - -create symbols - - CHAR . , CHAR p , CHAR k , CHAR b , - CHAR r , CHAR q , CHAR K , - -create values - 0 , 40 , c0 , c0 , 140 , 240 , 3000 , - -: .board - cls - 0 0 at-xy 20 spaces - cr 2 spaces - [CHAR] H 1 + [CHAR] A do i emit 2 spaces loop - bp 20 + 8 0 do - cr 20 spaces - cr [CHAR] 8 i - emit - 0a 2 do space - dup i + c@ dup - 07 and cells symbols + 1 type - dup 80 and if ." W" drop else - if ." B" else ." ." then - then - loop - 10 + - loop cr drop ; - -: .pos - 10 /mod - swap 2 - [CHAR] A + emit - [CHAR] 8 2 + swap - emit ; - -\ constants that indicate the directions on the board --11 constant nw -0f constant no - 0f constant zw 11 constant zo --10 constant n 10 constant z - -1 constant w 1 constant o - -create spring --12 , -21 , -1f , -0e , 12 , 21 , 1f , 0e , - -defer tmove - -defer attacktest - -: mine? - b@ dup 0= 0= swap 80 and start c@ = and ; - -variable movits - -: moveit - starting c@ best c! 1 farther? c! - begin - best c@ over + dup best c! - dup mine? over b@ 87 = or 0= - farther? c@ and while - tmove - b@ 0= farther? c! - repeat - drop drop - 1 movits +! ; - -: Bishop - no nw zo zw moveit moveit moveit moveit ; - -: Rook - n o z w moveit moveit moveit moveit ; - -: Queen - n o z w no nw zo zw 8 0 do moveit loop ; - -: Knight - 8 0 do - i cells spring + @ - starting c@ + dup best c! - dup mine? swap b@ 87 = or 0= - if tmove then - loop ; - -: ?castle - start c@ 80 = if castlew else castleb then c@ check c@ 0= and ; - -: ?lcastle - start c@ 80 = if wlcastle? else blcastle? then c@ check c@ 0= and ; - -: king - n o z w no nw zo zw 8 0 do - starting c@ + dup best c! - dup mine? swap b@ 87 = or 0= - if tmove then - loop - ?castle if 28 start c@ if 70 + then - dup bp + 1- @ 0= - if - dup 1- attacktest 0= - if - best c! tmove - else drop then - else drop then - then - ?lcastle if 24 start c@ if 70 + then - dup bp + @ over bp + 1- @ or 0= - if - dup 1 + attacktest 0= - if - best c! tmove - else drop then - else drop then - then ; - -: Pawnrow - start c@ if negate then ; - -: Pawnz - dup best c! - f0 and start c@ if 20 else 90 then = - if 6 2 do i advance c! tmove loop - else tmove then - 0 pawnmove c! 0 inpassing c! 0 advance c! ; - -: Pawn - starting c@ z Pawnrow + - dup b@ if - drop - else - dup Pawnz - z Pawnrow + dup b@ if - drop - else - starting c@ f0 and - start c@ if 80 else 30 then = - if starting c@ 0f and pawnmove c! - Pawnz - else drop - then - then - then - zw zo 2 0 do - Pawnrow starting c@ + - dup f0 and start c@ if 40 else 70 then = - over 0f and ep c@ = and - if 1 inpassing c! - dup Pawnz - then - dup b@ dup 0= 2 pick mine? or - swap 87 = or - if drop else Pawnz then - loop ; - -create pieces - - ' noop , ' Pawn , ' Knight , ' Bishop , ' Rook , ' Queen , ' king , - -: piecemove -\ using above jump table for each type of piece - jump table uses , (CELLS) - piece c@ cells pieces + @ execute ; - -: ?piecemove - starting c@ dup mine? if - b@ 07 and piece c! - 0 pawnmove c! 0 inpassing c! 0 advance c! - piecemove - else drop then ; - -: allmoves - [char] . emit - start c@ 0= if - 22 starting c! - 8 0 do - 8 0 do - ?piecemove starting c@ 1 + starting c! - loop - starting c@ 8 + starting c! - loop - else - 92 starting c! - 8 0 do - 8 0 do - ?piecemove starting c@ 1 + starting c! - loop - starting c@ 18 - starting c! - loop - then ; - -variable attack - -: ?attack - best c@ dup mine? 0= - swap b@ 07 and piece c@ = and - attack @ or attack ! ; - -: attacked? - attack off 0 7 1 do - i piece c! - piecemove - attack @ if drop 1 leave then - loop ; - -variable starting' -variable best' -variable start' -variable tmove' - -: settest - starting c@ starting' c! - best c@ best' c! - start c@ start' c! - ['] tmove >defer tmove' ! - ['] ?attack is tmove ; - -: po@ - starting' c@ starting c! - best' c@ best c! - start' c@ start c! - tmove' @ is tmove ; - -: changecolor - start c@ 80 xor start c! ; - -variable endf -variable playlevel -variable #legal -variable selected -variable compcolor -variable move# - -create bp1 c0 allot - -: endgame? - start c@ if valueb else valuew then @ c1 < ; - -: evalboard - valueb @ valuew @ - start c@ if negate then - 55 mine? 1 and + 56 mine? 1 and + 65 mine? 1 and + 66 mine? 1 and + - changecolor 55 mine? + 56 mine? + 65 mine? + 66 mine? + changecolor - - endgame? if - start c@ if kingb else kingw then c@ - dup f0 and dup 20 = swap 90 = or 7 and - swap 0f and dup 2 = swap 9 = or 7 and + + - then ; - -: ?check - settest - start c@ if kingw else kingb then c@ - starting c! attacked? check c! - po@ ; - -: (attacktest) - ['] tmove >defer ['] ?attack <> if - settest - starting c! - attacked? - po@ - else drop true - then ; - -' (attacktest) is attacktest - -variable seed - -: rnd - seed @ 743 * 43 + dup seed ! ; -\ 1 ; - -: domove - best c@ b@ 7 and cells values + @ negate start c@ - if valueb else valuew then +! - starting c@ b@ best c@ b! - 0 starting c@ b! - advance c@ if - advance c@ dup cells values + @ 40 - start c@ - if valueb else valueb then +! - start c@ or best c@ b! - then - piece c@ 4 = if - starting c@ 0f and 2 = - if - 0 start c@ if wlcastle? else blcastle? then c! - then - starting c@ 0f and 9 = - if - 0 start c@ if castlew else castleb then c! - then - then - piece c@ 6 = if - 0 0 start c@ if castlew else castleb then dup >r c! - r> 1f + c! - best c@ starting c@ - 2 = - if - 4 start c@ or best c@ 1- b! - 0 best c@ 1 + b! - then - best c@ starting c@ - -2 = - if - 4 start c@ or best c@ 1 + b! - 0 best c@ 2 - b! - then - best c@ start c@ if kingw else kingb then c! - then - inpassing c@ if - 0 best c@ n Pawnrow + b! - -40 start c@ if valueb else valuew then +! - then - pawnmove c@ ep c! ; - -: deeper - cutoff @ - invert if - +level - domove - ?check check c@ if -level exit then - -1 played c0 - ! - level @ playlevel @ = if - evalboard - (eval) c0 - ! - else - alfa @ highest ! - alfa @ negate beta @ negate alfa ! beta ! - changecolor - 0 played ! - allmoves - played @ 0= if - ?check check c@ if -2000 highest ! else 0 highest ! then - then - highest @ negate - (eval) c0 - ! - then - -level - (eval) @ highest @ max - highest ! - highest @ beta @ > if TRUE cutoff ! then - - - then ; - -: analyse - +level - domove - ?check check c@ 0= if - 1 #legal +! - changecolor - ['] tmove >defer - ['] deeper is tmove - 0 played ! - allmoves - is tmove - played @ 0= if - ?check check c@ if -2000 highest ! else 0 highest ! then - then - highest @ beta c0 - @ = if - rnd 2000 > if #legal @ selected ! then - then - highest @ beta c0 - @ < if - #legal @ selected ! - highest @ beta c0 - ! - then - then - -level ; - -: select - +level - domove - ?check check c@ 0= if - 1 #legal +! - #legal @ selected @ = if - bp bp1 c0 cmove - starting c@ .pos ." -" best c@ .pos space - then - then - -level ; - -: against - +level - domove - ?check check c@ 0= if - 1 #legal +! - then - -level ; - -: compmove - .board - ['] analyse is tmove - 0 #legal ! - -4000 alfa ! 4000 beta ! - -\ 0 18 at-xy cr - scroll - - \ 28 spaces - start c@ if 1 move# +! move# @ 3 .r space else 4 spaces then - ?check check c@ if ." Check" then - 1 selected ! - allmoves - #legal @ 0= if - check c@ if - ." mate" - else - ." Pat" - then - TRUE endf ! - else - ['] select is tmove - 0 #legal ! - allmoves - bp1 bp0 c0 cmove - changecolor - ['] against is tmove - 0 #legal ! - allmoves - ?check check c@ if ." Check" then - #legal @ 0= if - check c@ if - ." mate" - else - ." Pat" - then - TRUE endf ! - then - then - .board ; - -variable startingm -variable bestm -variable personmove - -: legal - startingm @ starting c@ = - bestm @ best c@ = and - personmove @ advance c@ = and - if - +level - domove - ?check check c@ 0= if - 1 #legal ! - bp bp1 c0 cmove - then - -level - then ; - -create inputbuf 6 allot - -: inpos - dup inputbuf + c@ [CHAR] A - - dup 8 u< - rot inputbuf + 1 + c@ [CHAR] 1 - - dup 8 u< rot and - swap 7 swap - 10 * rot + 22 + ; - -: promote - 0 6 2 do over symbols i cells + c@ = if drop i then loop ; - -: person - begin - .board - - scroll - - \ 28 spaces - start c@ if 1 move# +! move# @ 3 .r else 3 spaces then - - inputbuf 5 expect cr - - \ [char] X emit inputbuf 5 type [char] X emit - - inputbuf c@ [CHAR] Q = if quit then - 0 inpos startingm ! - 2 inputbuf + c@ [CHAR] - = and - 3 inpos bestm ! - and - bestm @ f0 and start c@ if 20 else 90 then = - startingm b@ 07 and 1 = and - if - ." What piece? " 0 0 begin drop drop key promote dup until - personmove ! emit - else - 0 personmove ! - then - if - ['] legal is tmove - 0 #legal ! - startingm c@ starting c! ?piecemove - #legal @ - else - 0 - then - dup 0= start c@ and if -1 move# +! then - until - bp1 bp0 c0 cmove - changecolor - - cr - - .board ; - -: setmove - compcolor @ 0< start c@ 80 = = if compmove else person then ; - -variable manVsMachine - -: askcolor - manVSmachine @ - if ." Do you want White Y/N" - key dup [CHAR] Y = swap [CHAR] y = or - if 1 else -1 then compcolor ! - then ; - -: asklevel - cr ." Level? 2-" - maxlevel . key [CHAR] 0 - 2 max maxlevel min playlevel ! - cls ; - -: init - 0 level ! bp0 bpv ! - bp c0 87 fill - 4 2 3 6 5 3 2 4 8 0 do bp 22 + i + c! loop - bp 32 + 8 01 fill - bp 42 + 8 00 fill bp 52 + 8 00 fill - bp 62 + 8 00 fill bp 72 + 8 00 fill - bp 82 + 8 81 fill - 84 82 83 86 85 83 82 84 8 0 do bp 92 + i + c! loop - 1 castlew c! 1 castleb c! 0 ep c! 1 wlcastle? c! 1 blcastle? c! 0 advance c! - 80 start c! 96 kingw c! 26 kingb c! - askcolor cr asklevel - 0 move# ! 0 endf ! - 0 check c! 9c0 valuew ! 9c0 valueb ! ; - -: play - begin setmove endf @ until ; - -: games - begin init play again ; - -: autoplay - begin setmove compcolor @ negate compcolor ! key? if quit then endf @ until ; - -: auto - init -1 compcolor ! autoplay ; - -: chess - cls - ." ANS Forth Chess" cr - ." Do you want to play against the computer? Y/N" cr - begin rnd drop key? until key - dup [CHAR] Y = swap [CHAR] y = or dup manVsMachine ! - if games else auto then ; - -decimal diff --git a/pfth103_p2/chkcore.fth b/pfth103_p2/chkcore.fth deleted file mode 100755 index 139e90e..0000000 --- a/pfth103_p2/chkcore.fth +++ /dev/null @@ -1,145 +0,0 @@ -( This program checks for all 133 ANS Forth core words ) - -: checkword 1 + ' 0 = - if swap 1 + swap source type ." failed" 13 emit 10 emit then ; - -: checkdone swap dup - if swap dup rot rot swap - . ." out of " - else drop ." All " then - . ." ANS Forth core words implemented" 13 emit 10 emit ; - -0 0 -checkword ! -checkword # -checkword #> -checkword #S -checkword ' -checkword ( -checkword * -checkword */ -checkword */MOD -checkword + -checkword +! -checkword +LOOP -checkword , -checkword - -checkword . -checkword ." -checkword / -checkword /MOD -checkword 0< -checkword 0= -checkword 1+ -checkword 1- -checkword 2! -checkword 2* -checkword 2/ -checkword 2@ -checkword 2DROP -checkword 2DUP -checkword 2OVER -checkword 2SWAP -checkword : -checkword ; -checkword < -checkword <# -checkword = -checkword > -checkword >BODY -checkword >IN -checkword >NUMBER -checkword >R -checkword ?DUP -checkword @ -checkword ABORT -checkword ABORT" -checkword ABS -checkword ACCEPT -checkword ALIGN -checkword ALIGNED -checkword ALLOT -checkword AND -checkword BASE -checkword BEGIN -checkword BL -checkword C! -checkword C, -checkword C@ -checkword CELL+ -checkword CELLS -checkword CHAR -checkword CHAR+ -checkword CHARS -checkword CONSTANT -checkword COUNT -checkword CR -checkword CREATE -checkword DECIMAL -checkword DEPTH -checkword DO -checkword DOES> -checkword DROP -checkword DUP -checkword ELSE -checkword EMIT -checkword ENVIRONMENT? -checkword EVALUATE -checkword EXECUTE -checkword EXIT -checkword FILL -checkword FIND -checkword FM/MOD -checkword HERE -checkword HOLD -checkword I -checkword IF -checkword IMMEDIATE -checkword INVERT -checkword J -checkword KEY -checkword LEAVE -checkword LITERAL -checkword LOOP -checkword LSHIFT -checkword M* -checkword MAX -checkword MIN -checkword MOD -checkword MOVE -checkword NEGATE -checkword OR -checkword OVER -checkword POSTPONE -checkword QUIT -checkword R> -checkword R@ -checkword RECURSE -checkword REPEAT -checkword ROT -checkword RSHIFT -checkword S" -checkword S>D -checkword SIGN -checkword SM/REM -checkword SOURCE -checkword SPACE -checkword SPACES -checkword STATE -checkword SWAP -checkword THEN -checkword TYPE -checkword U. -checkword U< -checkword UM* -checkword UM/MOD -checkword UNLOOP -checkword UNTIL -checkword VARIABLE -checkword WHILE -checkword WORD -checkword XOR -checkword [ -checkword ['] -checkword [CHAR] -checkword ] -checkdone diff --git a/pfth103_p2/chkcorex.fth b/pfth103_p2/chkcorex.fth deleted file mode 100755 index b0c2717..0000000 --- a/pfth103_p2/chkcorex.fth +++ /dev/null @@ -1,57 +0,0 @@ -( This program checks for all 45 ANS Forth core ext words ) - -: checkword 1 + ' 0 = - if swap 1 + swap source type ." failed" 13 emit 10 emit then ; - -: checkdone swap dup - if swap dup rot rot swap - . ." out of " - else drop ." All " then - . ." ANS Forth core ext words implemented" 13 emit 10 emit ; - -0 0 -checkword #tib -checkword .( -checkword .r -checkword 0<> -checkword 0> -checkword 2>r -checkword 2r> -checkword 2r@ -checkword :noname -checkword <> -checkword ?do -checkword again -checkword c" -checkword case -checkword compile, -checkword convert -checkword endcase -checkword endof -checkword erase -checkword expect -checkword false -checkword hex -checkword marker -checkword nip -checkword of -checkword pad -checkword parse -checkword pick -checkword query -checkword refill -checkword restore-input -checkword roll -checkword save-input -checkword source-id -checkword span -checkword tib -checkword to -checkword true -checkword tuck -checkword u.r -checkword u> -checkword value -checkword within -checkword [compile] -checkword \ -checkdone diff --git a/pfth103_p2/comus.fth b/pfth103_p2/comus.fth deleted file mode 100755 index b876b67..0000000 --- a/pfth103_p2/comus.fth +++ /dev/null @@ -1,29 +0,0 @@ -( Useful non-standard words ) -: @+ dup cell+ swap @ ; -: !+ over ! cell+ ; -: c@+ dup char+ swap c@ ; -: c!+ over c! char+ ; -: between 1+ within ; -: bounds over + swap ; -: buffer: create allot ; -: cell 4 ; -: cell- cell - ; -: not 0= ; -: parse-word bl word count ; -: perform @ execute ; -: >= < 0= ; -: <= > 0= ; -: -rot rot rot ; -: 2- 2 - ; -: 2+ 2 + ; -: 3dup dup 2over rot ; -: 4dup 2over 2over ; -: noop ; -: off false swap ! ; -: on true swap ! ; -: for ['] >r compile, ['] _lit compile, 0 compile, ['] >r compile, here ; - immediate -: next ['] _lit compile, 1 compile, ['] _loop compile, ['] _jz compile, - compile, ['] r> compile, ['] r> compile, ['] 2drop compile, ; immediate -: zstrlen dup begin dup c@ while 1+ repeat swap - ; -: zcount dup zstrlen ; diff --git a/pfth103_p2/fds.fth b/pfth103_p2/fds.fth deleted file mode 100755 index 5a58de4..0000000 --- a/pfth103_p2/fds.fth +++ /dev/null @@ -1,88 +0,0 @@ -\ ############################################################################ -\ # fds.fth - This program implements a full duplex serial port. It is base -\ # on the FullDuplexSerial Spin object. -\ # -\ # Copyright (c) 2012 Dave Hein -\ # MIT Licensed -\ ############################################################################ - -0 value fds_cog -create fds_vars 68 allot - -: fds_var create , does> @ fds_vars + ; - - 0 fds_var rx_head - 4 fds_var rx_tail - 8 fds_var tx_head -12 fds_var tx_tail -16 fds_var rx_pin -20 fds_var tx_pin -24 fds_var rxtx_mode -28 fds_var bit_ticks -32 fds_var buffer_ptr -36 fds_var rx_buffer -52 fds_var tx_buffer - -hex - -create fds_entry - a0bca9f0 , 80fca810 , 08bcaa54 , a0fcb201 , 2cbcb255 , 80fca804 , 08bcaa54 , - a0fcbe01 , 2cbcbe55 , 80fca804 , 08bcae54 , 80fca804 , 08bcb054 , 80fca804 , - 08bcb454 , a0bcc05a , 80fcc010 , 627cae04 , 617cae02 , 689be85f , 68abec5f , - a0fcc833 , 5cbcbc64 , 627cae01 , 613cb3f2 , 5c640016 , a0fcb809 , a0bcba58 , - 28fcba01 , 80bcbbf1 , 80bcba58 , 5cbcbc64 , a0bca85d , 84bca9f1 , c17ca800 , - 5c4c001f , 613cb3f2 , 30fcb601 , e4fcb81e , 28fcb617 , 60fcb6ff , 627cae01 , - 6cd4b6ff , 08bcabf0 , 80bcaa5a , 003cb655 , 84bcaa5a , 80fcaa01 , 60fcaa0f , - 083cabf0 , 5c7c0016 , 5cbcc85e , a0bca9f0 , 80fca808 , 08bcaa54 , 80fca804 , - 08bcac54 , 863caa56 , 5c680033 , 80bcac60 , 00bcc256 , 84bcac60 , 80fcac01 , - 60fcac0f , 083cac54 , 68fcc300 , 2cfcc202 , 68fcc201 , a0fcc40b , a0bcc7f1 , - 627cae04 , 617cae02 , 6ce0c201 , 29fcc201 , 70abe85f , 7497ec5f , 80bcc658 , - 5cbcc85e , a0bca863 , 84bca9f1 , c17ca800 , 5c4c004d , e4fcc446 , 5c7c0033 , - -decimal - -: fds_start ( rxpin txpin mode baudrate ... ) - >r - fds_vars 16 0 fill - rxtx_mode ! tx_pin ! rx_pin ! - clkfreq@ r> / bit_ticks ! - rx_buffer buffer_ptr ! - 0 dira! - fds_entry rx_head cognew - 1+ dup to fds_cog -; - -: fds_stop fds_cog if fds_cog 1- cogstop 0 to fds_cog then ; - -: fds_rxcheck rx_tail @ rx_head @ 2dup . . cr = - if - -1 - else - rx_tail @ rx_buffer + c@ - rx_tail @ 1+ 15 and rx_tail ! - then -; - -: fds_rx begin fds_rxcheck dup -1 = while drop repeat ; - -: fds_tx begin tx_tail @ tx_head @ 1+ 15 and <> until - tx_head @ tx_buffer + c! - tx_head @ 1+ 15 and tx_head ! - rxtx_mode @ 8 and if fds_rx then -; - -: fdstest - ." Type 'q' to quit" cr - 31 30 0 115200 fds_start - drop - begin - fds_rx - dup [char] q <> - while - [char] < fds_tx fds_tx [char] > fds_tx - repeat - drop - fds_stop - 1 30 lshift dira! -; - diff --git a/pfth103_p2/i2c.fth b/pfth103_p2/i2c.fth deleted file mode 100755 index 0c8852d..0000000 --- a/pfth103_p2/i2c.fth +++ /dev/null @@ -1,217 +0,0 @@ -\ ############################################################################ -\ # i2c.fth - This program reads and writes EEPROMs using the I2C protocol. -\ # This program is based on Mike Green's basic_i2c_driver, which is found in -\ # the Parallax Object Exchange (OBEX). -\ # -\ # The first parameter for all routines is the pin number of the clock. It -\ # is assumed that the data pin number is one greater than the clock pin -\ # number. -\ # -\ # Copyright (c) 2012 Dave Hein -\ # MIT Licensed -\ ############################################################################ - -: i2c_dira_sda_high dup dira@ or dira! ; -: i2c_outa_sda_high dup outa@ or outa! ; -: i2c_dira_scl_high over dira@ or dira! ; -: i2c_outa_scl_high over outa@ or outa! ; -: i2c_dira_sda_low dup invert dira@ and dira! ; -: i2c_outa_sda_low dup invert outa@ and outa! ; -: i2c_dira_scl_low over invert dira@ and dira! ; -: i2c_outa_scl_low over invert outa@ and outa! ; - -\ This routine should be called before calling any of the other ones to ensure -\ that the EEPROM is in a known ready state. -: i2c_init ( scl ... ) - 1 swap lshift dup 2* \ sda := scl + 1 - i2c_outa_scl_high \ outa[scl] := 1 - i2c_dira_scl_high \ dira[scl] := 1 - i2c_dira_sda_low \ dira[sda] := 0 - 9 0 do \ repeat 9 - i2c_outa_scl_low \ outa[scl] := 0 - i2c_outa_scl_high \ outa[scl[ := 1 - dup ina@ and if leave then \ if ina[sda] quit - loop - 2drop -; - -\ This routine sends a start bit -: i2c_start ( scl ... ) - 1 swap lshift dup 2* \ sda := scl + 1 - i2c_outa_scl_high \ outa[scl]~~ - i2c_dira_scl_high \ dira[scl]~~ - i2c_outa_sda_high \ outa[sda]~~ - i2c_dira_sda_high \ dira[sda]~~ - i2c_outa_sda_low \ outa[sda]~ - i2c_outa_scl_low \ outa[scl]~ - 2drop -; - -\ This routine sends a stop bit -: i2c_stop ( scl ... ) - 1 swap lshift dup 2* \ sda := scl + 1 - i2c_outa_scl_high \ outa[scl]~~ - i2c_outa_sda_high \ outa[sda]~~ - i2c_dira_scl_low \ dira[scl]~ - i2c_dira_sda_low \ dira[sda]~ - 2drop -; - -\ This routine sends one byte and returns the ACK bit -: i2c_write ( scl data ... ackbit ) - 23 lshift swap \ data <<= 23 - 1 swap lshift dup 2* \ sda := scl + 1 - 8 0 do \ repeat 8 - rot 2* dup 0< - if - rot rot - i2c_outa_sda_high \ outa[sda] := 1 - else - rot rot - i2c_outa_sda_low \ outa[sda] := 0 - then - i2c_outa_scl_high \ outa[scl]~~ - i2c_outa_scl_low \ dira[scl]~ - loop - i2c_dira_sda_low \ dira[sda]~ - i2c_outa_scl_high \ outa[scl]~~ - dup ina@ and >r \ ackbit := ina[sda] - i2c_outa_scl_low \ outa[scl]~ - i2c_outa_sda_low \ outa[sda]~ - i2c_dira_sda_high \ dira[sda]~~ - 2drop drop - r> \ return ackbit -; - -\ This routine reads one byte from the EEPROM -: i2c_read ( scl ackbit ... data ) - >r \ save ackbit - 0 swap \ data := 0 - 1 swap lshift dup 2* \ sda := scl + 1 - i2c_dira_sda_low \ dira[sda]~ - 8 0 do \ repeat 8 - i2c_outa_scl_high \ outa[scl]~~ - rot 2* \ data <<= 1 - over ina@ and if 1 or then \ if ina[sda] data |= 1 - rot rot - i2c_outa_scl_low \ outa[scl]~ - loop - r> if - i2c_outa_sda_high \ outa[sda]~~ - else - i2c_outa_sda_low \ outa[sda]~ - then - i2c_dira_sda_high \ dira[sda]~~ - i2c_outa_scl_high \ outa[scl]~~ - i2c_outa_scl_low \ outa[scl]~ - i2c_outa_sda_low \ outa[sda]~ - 2drop \ return data -; - -\ This routine reads up to one page of data from the EEPROM -: i2c_readpage ( scl devsel addrreg dataptr count ... ackbit ) - >r >r \ Move count and dataptr to the return stack - dup 15 rshift 14 and rot or \ Assemble the devsel byte - dup >r rot dup dup >r \ Copy devsel and scl to the return stack - i2c_start \ Send a start bit - swap \ Arrange the scl and devsel on the stack - i2c_write drop \ Send the devsel byte - dup 8 rshift 255 and r@ swap \ Extract the second address byte - i2c_write drop \ Send the second address byte - 255 and r@ swap \ Extract the third address byte - i2c_write drop \ Send it - r@ \ Get the scl from the return stack - i2c_start \ Send a start bit - r> r> 1 or over >r \ Get the scl and devsel byte and set the LSB - i2c_write drop \ Send the devsel byte - r> r> r> 1 ?do \ Get scl, dataptr and count and start do loop - over 0 i2c_read over c! 1+ \ Read a byte from the EEPROM and save it - loop - over 1 i2c_read swap c! \ Read the last byte from the EEPROM - i2c_stop \ Send a stop bit - 0 \ Return the ack bit -; - -variable i2c_var - -\ This routine reads a byte from the specified address -: i2c_readbyte ( scl devsel addrreg ) - i2c_var 1 i2c_readpage drop i2c_var c@ ; - -\ This routine reads a word from the specified address -: i2c_readword ( scl devsel addrreg ) - 0 i2c_var ! i2c_var 2 i2c_readpage drop i2c_var @ ; - -\ This routine reads a long from the specified address -: i2c_readlong ( scl devsel addrreg ) - i2c_var 4 i2c_readpage drop i2c_var @ ; - -\ This routine writes up to one page of data to the EEPROM -: i2c_writepage ( scl devsel addrreg dataptr count ... ackbit ) - >r >r \ ( scl devsel addrreg ) r( count dataptr ) - dup 15 rshift 14 and rot or \ ( scl addrreg devsel ) - rot dup >r \ ( addrreg devsel scl ) r( count dataptr scl ) - i2c_start \ ( addrreg devsel ) r( count dataptr scl ) - r@ swap \ ( addrreg slc devsel ) r( count dataptr scl ) - i2c_write drop \ ( addrreg ) r( count dataptr scl ) - dup 8 rshift 255 and r@ swap - i2c_write drop - 255 and r@ swap - i2c_write drop - r> r> r> 0 ?do - 2dup c@ i2c_write drop 1+ - loop - drop - i2c_stop - 0 -; - -\ This routine writes a byte to the specified address -: i2c_writebyte ( scl devsel addrreg data ) - i2c_var ! i2c_var 1 i2c_writepage drop ; - -\ This routine writes a word to the specified address -: i2c_writeword ( scl devsel addrreg data ) - i2c_var ! i2c_var 2 i2c_writepage drop ; - -\ This routine writes a long to the specified address -: i2c_writelong ( scl devsel addrreg data ) - i2c_var ! i2c_var 4 i2c_writepage drop ; - -\ This routine returns a zero if the EEPROM is ready after a write -\ Otherwise it returns a non-zero value -: i2c_writewait ( scl devsel addrreg ) - 15 rshift 14 and or - over i2c_start - over >r i2c_write - r> i2c_stop -; - -\ This word will be run at startup -: startup - 1 30 lshift dup outa! dira! - pfthversion type cr -; - -\ Set up the cog config struct -: setupconfig - 496 cog@ \ Get config struct address from PAR - dup 16 + @ \ Get the address of the return stack - 4 + over 12 + ! \ Add four and set initial address of return stack - ['] interpret >body \ Get the address of the Forth interpreter - over 16 + @ ! \ Write it to the return stack - ['] startup >body \ Get the address of the startup word - swap ! \ Write it to the intial value of the program counter -; - -\ Save the hub RAM to EEPROM -: eesave - setupconfig - 28 i2c_init - 512 0 - do - 28 160 i 64 * dup 64 i2c_writepage drop - begin 28 160 0 i2c_writewait 0= until - i 7 and 7 = if [char] . emit then - loop -; diff --git a/pfth103_p2/init.fth b/pfth103_p2/init.fth deleted file mode 100755 index da598ec..0000000 --- a/pfth103_p2/init.fth +++ /dev/null @@ -1,400 +0,0 @@ -: link>flags 2 + ; -: immediate 81 last @ link>flags c! ; -: \ 100 word drop ; immediate - -\ The above lines implement the words to allow for "\" comments -\ All numbers are in hex at this point. - -\ DEFINE CELL SIZE -: cellsize 4 ; -: cellmask 3 ; -: compsize 2 ; -: compmask 1 ; - -\ BASIC STACK WORDS -: rot 2 roll ; -: over 1 pick ; -: 2dup over over ; -: 2drop drop drop ; -: 2swap 3 roll 3 roll ; -: 2over 3 pick 3 pick ; - -\ WORD HEADER ACCESSORS -: >does 2 + ; -: >body 4 + ; -: name>xt dup c@ + 4 + 0 4 - and ; -: link>name 3 + ; -: link>xt link>name name>xt ; -: link>does link>xt 2 + ; -: link>body link>xt 4 + ; - -\ DEFINE BASIC WORD BUILDERS -: source tib #tib @ ; -\ : compile, , ; -: ' 20 word find 0 = 0 = and ; -: _does r> dup >r 2 + last @ link>does w! ; -: _setjmp 0a last @ link>flags c! ; -: literal 0 compile, compile, ; immediate - last @ link>body dup @ swap 2 + w! \ Patch in address of _lit -: postpone ' compile, ; immediate -: ['] ' postpone literal ; immediate -: [compile] ' postpone literal ['] compile, compile, ; immediate -: does> [compile] _does [compile] exit ; immediate - -\ CONDITIONAL EXECUTION AND LOOPING -: if ['] _jz compile, here 2 allot ; immediate -: else ['] _jmp compile, here 2 + swap w! here 2 allot ; immediate -: then here swap w! ; immediate -: begin here ; immediate -: until ['] _jz compile, compile, ; immediate -: again ['] _jmp compile, compile, ; immediate -: while ['] _jz compile, here 2 allot ; immediate -: repeat ['] _jmp compile, here 2 + swap w! compile, ; immediate -: do ['] _lit compile, here 2 allot ['] drop compile, - ['] swap compile, ['] >r compile, ['] >r compile, here ; immediate -: ?do ['] 2dup compile, ['] > compile, ['] _jz compile, here 2 allot - ['] swap compile, ['] >r compile, ['] >r compile, here ; immediate -\ : _loop r> swap r> + r> dup >r swap dup >r > 0 = swap >r ; -: loop ['] _lit compile, 1 compile, ['] _loop compile, ['] _jz compile, compile, ['] r> compile, - ['] r> compile, here swap w! ['] 2drop compile, ; immediate -: +loop ['] _loop compile, ['] _jz compile, compile, ['] r> compile, - ['] r> compile, here swap w! ['] 2drop compile, ; immediate -: leave r> r> drop r> dup >r >r >r ; -: i r> r> dup >r swap >r ; -: j r> r> r> r> dup >r swap >r swap >r swap >r ; - -\ DEFINE >FLAGS AND >LINK -: >flags begin 1 - dup c@ 80 and until ; -: >link >flags 2 - ; - -\ DEFINE DEFER AND IS -\ Change code pointer from varfunc to deferfunc -: defer create last @ link>xt dup w@ 3 + swap w! ; -: is state @ - if [compile] >body ' >does postpone literal [compile] w! - else >body ' >does w! - then ; immediate - -\ REDEFINE REFILL AS A DEFERRED WORD -' refill -defer refill -is refill - -\ DEFINE "(" COMMENT WORD NOW THAT WE CAN LOOP -: ( begin - #tib @ >in @ - ?do tib i + c@ 29 = if i 1 + >in ! r> r> drop drop exit then loop - refill 0 = - until ; immediate - -( PAD AND PRINT SUPPORT ) -create pad 100 allot -create printptr 4 allot -: _d2a dup 0a < if 30 else 57 then + ; -: _a2d dup 30 < - if - drop 0 1 - - else - dup 39 > - if - dup 41 < - if - drop 0 1 - - else - dup 5a > - if - dup 61 < - if - drop 0 1 - - else - dup 7a > - if - drop 0 1 - - else - 57 - - then - then - else - 37 - - then - then - else - 30 - - then - then - dup base @ < 0 = - if - drop 0 1 - - then -; -: c!-- dup >r c! r> 1 - ; -: cprint printptr @ c! printptr @ 1 - printptr ! ; - -( DOUBLE WORDS ) -: s>d 0 pick 0 < ; -: m* * s>d ; -: um* * 0 ; -: d+ drop 1 roll drop + s>d ; -: d- drop 1 roll drop - s>d ; -: d* drop 1 roll drop * s>d ; -: d/ drop 1 roll drop / s>d ; -: dmod drop 1 roll drop mod s>d ; -: _u/ over over swap 1 rshift swap / dup + dup >r over * rot swap - swap < 1 + r> + ; -: u/ over 0 < if _u/ else / then ; -: ud/ drop 1 roll drop u/ 0 ; -: _umod swap dup 1 rshift 2 pick mod dup + swap 1 and + swap mod ; -: umod over 0 < if _umod else mod then ; -: udmod drop 1 roll drop umod 0 ; - -( CORE WORDS ) -: +! dup @ rot + swap ! ; -: /mod over over >r >r mod r> r> / ; -: [ state 0 ! ; -: ] state 1 ! ; -: r@ r> r> dup >r swap >r ; -: sm/rem >r 2dup r@ s>d d/ drop r> swap >r s>d dmod drop r> ; -: um/mod >r 2dup r@ s>d ud/ drop r> swap >r s>d udmod drop r> ; -: fm/mod over over xor 1 31 lshift and if sm/rem else sm/rem then ; ( TODO ) -: */mod >r m* r> sm/rem ; -: */ */mod swap drop ; -: <# pad ff + printptr ! ; -: hold cprint ; -: # drop dup base @ umod _d2a cprint base @ u/ 0 ; -: #s begin # over over or 0 = until ; -: #> drop drop printptr @ 1 + dup pad 100 + swap - ; -: sign 0 < if 2d hold then ; -: abs dup 0 < if 0 swap - then ; -: type 0 ?do dup c@ emit 1 + loop drop ; -: ._ dup abs 0 <# #s rot sign #> type ; -: . ._ 20 emit ; - -: >number dup 0 ?do >r dup c@ _a2d dup 0 < if drop r> leave else swap >r >r - base @ 0 d* r> 0 d+ r> 1 + r> 1 - then loop ; -: 0= 0 = ; -: 0< 0 < ; -: 1+ 1 + ; -: 1- 1 - ; -: 2! swap over ! cellsize + ! ; -: 2* dup + ; -: 2/ dup 80000000 and swap 1 rshift or ; -: 2@ dup cellsize + @ swap @ ; -: ?dup dup if dup then ; -: aligned cellmask + 0 cellsize - and ; -: align here aligned here - allot ; -: bl 20 ; -: c, here c! 1 allot ; -: cell+ cellsize + ; -: cells cellsize * ; -: char+ 1 + ; -: chars ; -\ : count dup char+ swap c@ ; -: char 20 word count 0= if drop 0 else c@ then ; -: [char] char postpone literal ; immediate -\ : constant create here ! cellsize allot does> @ ; -: constant create , last @ link>xt dup w@ 3 - swap w! ; -: cr 0a emit 0d emit ; -: decimal 0a base ! ; -: environment? drop drop 0 ; -: fill swap >r swap r> 0 ?do 2dup c! 1 + loop 2drop ; -: hex 10 base ! ; -: invert 0 1 - xor ; -: max 2dup < if swap then drop ; -: min 2dup > if swap then drop ; -\ : cmove >r swap r> 0 ?do 2dup c@ swap c! 1+ swap 1+ swap loop 2drop ; -: cmove> >r swap r> dup >r 1- dup >r + swap r> + swap r> ?do 2dup c@ swap c! - 1- swap 1- swap loop 2drop ; -: move r> 2dup > if r> cmove else r> cmove> then ; -: negate 0 swap - ; -: recurse last @ , ; immediate -: _lit" r> dup 1 + swap dup c@ dup rot + compsize + 0 compsize - and >r ; - 84 last @ link>flags c! ( Set STRING flag ) -: _compile" [char] " word count dup >r dup >r c, here r> cmove r> allot - compsize here - compmask and allot ; immediate -create s"buf 50 allot -: s" state @ if ['] _lit" compile, postpone _compile" else - [char] " word count >r s"buf r@ cmove s"buf r> then ; immediate -: ." postpone s" ['] type compile, ; immediate -: _abort" if type abort else drop drop then ; -\ : abort" postpone s" ['] _abort" compile, ; immediate -: abort" postpone s" ['] _abort" compile, ; -: space 20 emit ; -: spaces 0 ?do space loop ; -: u._ 0 <# #s #> type ; -: u. u._ 20 emit ; -: u< over over xor 1 31 lshift and if swap then < ; -: unloop r> r> r> drop drop >r ; -: variable create cellsize allot ; - -( CORE EXT ) -: 0<> 0= invert ; -: 0> 0 > ; -: 2>r r> rot >r swap >r >r ; -: 2r> r> r> r> rot >r swap ; -: 2r@ r> r> r> 2dup >r >r swap rot >r ; -: <> = 0= ; -: erase 0 ?do dup 0 swap ! 1 + loop drop ; -variable span -: expect accept span ! ; -: false 0 ; -: marker create last @ , does> @ dup dp ! @ last ! ; -: nip swap drop ; -: parse word count ; -: true 0 1 - ; -: tuck swap over ; -: to ' >body state @ if postpone literal [compile] ! else ! then ; immediate -\ : value create here ! cellsize allot does> @ ; -: value create , last @ link>xt dup w@ 3 - swap w! ; -: within over - >r - r> u< ; -: .r_ >r dup abs 0 <# #s rot sign #> dup r> swap - spaces type ; -: .r .r_ 20 emit ; -: u.r_ >r 0 <# #s #> dup r> swap - spaces type ; -: u.r .r_ 20 emit ; -: u> over over xor 80000000 and if swap then > ; -: unused 8000 here - ; -: case 0 ; immediate -: of ['] over compile, ['] = compile, - ['] _jz compile, here 4 allot ['] drop compile, ; immediate -: endof ['] _jmp compile, here 2 + swap w! here 2 allot ; immediate -: endcase ['] drop compile, begin ?dup while here swap w! repeat ; immediate -: c" ['] _lit" compile, postpone _compile" ['] drop compile, ['] 1- compile, ; immediate -: .( [char] ) word count type ; immediate -: :noname align here ['] words @ , [ ; - -( DOUBLE ) -: d= rot = rot rot = and ; -: d0= or 0 = ; -: 2constant create swap , , does> dup @ swap cellsize + @ ; - -( STRING ) -: blank 0 ?do dup bl swap c! 1+ loop drop ; -: -trailing dup 0 ?do 2dup + 1- c@ bl = if 1- else leave then loop ; -: /string dup >r - swap r> + swap ; - -( TOOLS ) -: ? @ . ; -: .s 3c emit depth ._ 3e emit 20 emit depth 0 ?do depth i - 1 - pick . loop ; -: dump 0 ?do i 0f and 0 = if cr dup . then dup c@ 3 .r 1 + loop drop cr ; -: forget 20 word find if >link dup dp ! w@ last ! else abort" ?" then ; -: .name dup link>name count type space ; -: ?newline dup >r link>name c@ dup rot + 1 + dup 4e > if cr else swap then drop r> ; -: words 0 last @ begin dup while ?newline .name w@ repeat 2drop ; - -( UTILITY ) -: at-xy 2 emit swap emit emit ; -: page 0 emit ; - -( VERSION STRING ) -: pfthversion s" pfth 1.03" ; - -create evalmode 0 , -0 value source-id -create srcstk0 30 allot -srcstk0 value srcstk - -: resetstack depth 0 < - if - begin depth while 0 repeat - else - begin depth while drop repeat - then -; - -: getnumber 2dup >r >r swap dup c@ [char] - = - if - swap - dup 1 < - if - 2drop 2drop r> r> 1 - else - swap 1 + swap 1 - - >number dup - if - 2drop 2drop r> r> 1 - else - 2drop drop negate 0 r> r> 2drop - then - then - else - swap - >number dup - if - 2drop 2drop r> r> 1 - else - 2drop drop 0 r> r> 2drop - then - then -; - -: compilenumber - dup ['] _lit compile, compile, - dup ffff 10 lshift and - if - 10 rshift - ['] _lit compile, compile, - ['] _lit compile, 10 compile, - ['] lshift compile, - ['] or compile, - else - drop - then -; - -: _interpret - begin - 20 word dup c@ - while - find dup - if - state @ = - if - compile, - else - execute - then - else - dup rot count getnumber - if - type ." ?" cr - else - state @ - if - compilenumber - then - then - then - repeat - drop -; - -: .savesrc ." _savesrc " srcstk0 . srcstk . cr ; -: .loadsrc ." _loadsrc " srcstk0 . srcstk . cr ; - -: _savesrc ( .savesrc ) tib srcstk ! #tib @ srcstk 4 + ! >in @ srcstk 8 + ! source-id srcstk 0c + ! srcstk 10 + to srcstk ; -: _loadsrc srcstk 10 - to srcstk ( .loadsrc ) srcstk @ to tib srcstk 4 + @ #tib ! srcstk 8 + @ >in ! srcstk 0c + @ to source-id ; -: evaluate _savesrc 0 1 - to source-id #tib ! to tib 0 >in ! _interpret _loadsrc ; - -( INTERPRETER ) -: interpret -begin - _interpret - depth 0 < - if - ." Stack Underflow" cr - resetstack - else - source-id 0 1 - = - if - _loadsrc - \ 0 to source-id - else - source-id 0= - if ." ok" cr then - refill - then - then -again -; - -decimal -interpret - diff --git a/pfth103_p2/license.txt b/pfth103_p2/license.txt deleted file mode 100755 index f5b4c0e..0000000 --- a/pfth103_p2/license.txt +++ /dev/null @@ -1,22 +0,0 @@ -+-------------------------------------------------------------------- -| TERMS OF USE: MIT License -+-------------------------------------------------------------------- -Permission is hereby granted, free of charge, to any person obtaining -a copy of this software and associated documentation files -(the "Software"), to deal in the Software without restriction, -including without limitation the rights to use, copy, modify, merge, -publish, distribute, sublicense, and/or sell copies of the Software, -and to permit persons to whom the Software is furnished to do so, -subject to the following conditions: - -The above copyright notice and this permission notice shall be -included in all copies or substantial portions of the Software. - -THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, -EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY -CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, -TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE -SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. -+------------------------------------------------------------------ diff --git a/pfth103_p2/pfth.TXT b/pfth103_p2/pfth.TXT deleted file mode 100755 index 7304758..0000000 --- a/pfth103_p2/pfth.TXT +++ /dev/null @@ -1 +0,0 @@ -Object "pfth.spin" Interface: Program: 0 Longs Variable: 0 Longs \ No newline at end of file diff --git a/pfth103_p2/pfth.obj b/pfth103_p2/pfth.obj deleted file mode 100755 index d1b94ac..0000000 Binary files a/pfth103_p2/pfth.obj and /dev/null differ diff --git a/pfth103_p2/pfth.spin b/pfth103_p2/pfth.spin deleted file mode 100755 index 0442b04..0000000 --- a/pfth103_p2/pfth.spin +++ /dev/null @@ -1,1606 +0,0 @@ -{ -############################################################################ -# PFTH - This program implements a Forth interpreter. -# -# Copyright (c) 2012, 2013 Dave Hein -# MIT Licensed -############################################################################ -} -con - _clkmode = xtal1+pll16x - _clkfreq = 80_000_000 - - ' SD Pin Definitions - 'DO = 10 - 'CLK = 11 - 'DI = 9 - 'CS = 25 - - 'Q = 16 ' Object Offset - - FLAG_IMMEDIATE = 1 - FLAG_CORE = $10 | $80 - FLAG_LIT = $12 | $80 - FLAG_VAR = $20 | $80 - FLAG_DEF = $00 | $80 - FLAG_JMP = $0A | $80 - FLAG_SEMI = FLAG_CORE | FLAG_IMMEDIATE -{ -obj - 'spi : "mount" - -'******************************************************************************* -' This Spin code waits three seconds and then starts the Forth cog -'******************************************************************************* -pub main(argc, argv) - waitcnt(clkfreq+cnt) - 'spi.mount_explicit(@spi_vars, DO, CLK, DI, CS) - coginit(cogid, @forth, @pfthconfig) - -dat -pfthconfig long @xboot_1+Q ' Initial word to execute - long @stack+Q+16 ' Starting stack pointer - long @stack+Q+16 ' Empty stack pointer value - long @retstk+Q ' Starting return pointer - long @retstk+Q ' Empty return pointer value -stack long 0[100] ' Data stack -retstk long 0[100] ' Return stack - -'******************************************************************************* -' pfth cog code -'******************************************************************************* - org 0 -forth -parm mov parm, par -} - Q = 0 ' Object offset for the top object - 'rx_pin = 91 - 'tx_pin = 90 - rx_pin = 31 - tx_pin = 30 - -DAT - orgh $380 - org 0 - - ' Entry point for the Forth interpreter -forth 'mov pc, a_interp - 'or outc, tx_mask - 'or dirc, tx_mask - 'getcnt temp2 - 'add temp2, delay_time - 'waitcnt temp2, #0 - jmp #parm -parval long @pfthconfig -'tx_mask long 1 << (64 - TX_PIN) ' must be in dirc -delay_time long 80000000 * 2 - -parm mov parm, parval -parm1 rdlong pc, parm -parm2 add parm, #4 -parm3 rdlong stackptr, parm -parm4 add parm, #4 -temp rdlong stackptr0, parm -temp1 add parm, #4 -temp2 rdlong returnptr, parm -temp3 add parm, #4 -temp4 rdlong returnptr0, parm - jmp #innerloop ' Begin execution - -'******************************************************************************* -' Execute the words contained in the body of a word -' Changes parm, temp1 -'******************************************************************************* -execlistfunc add parm, #4 ' Get body from XT -innerloopcall wrlong pc, returnptr ' Push PC to return stack - add returnptr, #4 - mov pc, parm ' Set new value for PC - -'******************************************************************************* -' Get an execution token from the location pointed to by the program counter -' Increment the program counter, fetch the code pointer and jump to it -' Changes parm, temp1, pc -'******************************************************************************* -innerloop rdword parm, pc wz - if_z jmp #exitfunc - add pc, #2 - rdword temp1, parm - jmp temp1 - -pc long @xboot_1+Q ' Program Counter - -'******************************************************************************* -' Stop executing the current word, and return to the calling word -' No Changes -'******************************************************************************* -exitfunc sub returnptr, #4 - rdlong pc, returnptr - jmp #innerloop - -'******************************************************************************* -' Abort or quit execution, and return to the interpreter -' No Changes -'******************************************************************************* -abortfunc mov stackptr, stackptr0 -quitfunc mov returnptr, returnptr0 - add returnptr, #4 ' Use second entry return stack - rdlong pc, returnptr - jmp #innerloop - -'******************************************************************************* -' Push the value contained in the word's body onto the stack -' No changes -'******************************************************************************* -confunc add parm, #4 - rdlong parm1, parm - jmp #push_jmp - -'******************************************************************************* -' Push the address of the word's body onto the stack -' Execute the words pointed to by the does pointer, if non-zero -' No changes -'******************************************************************************* -varfunc mov parm1, parm - add parm1, #4 - call #push1 - -'******************************************************************************* -' Execute the words pointed to by the does pointer, if non-zero -' No changes -'******************************************************************************* -deferfunc add parm, #2 ' DOES> pointer - rdword parm, parm wz - if_z jmp #innerloop ' Done with varfunc - jmp #innerloopcall ' Execute DOES> code - -'******************************************************************************* -' Execute the word on the stack -' Changes parm, temp1 -'******************************************************************************* -executefunc sub stackptr, #4 - rdlong parm, stackptr - rdlong temp1, parm - jmp temp1 ' Execute code - -'******************************************************************************* -' Execute the PASM instruction on the TOS using the next value on the stack as -' the destination register data. Return the result on the stack. -' Changes parm1, parm2 -'******************************************************************************* -cogx1func call #pop2 - mov cogx1instr, parm2 - 'movd cogx1instr, #parm1 - nop -cogx1instr nop - jmp #push_jmp - -'******************************************************************************* -' Duplicate the top of stack -' Changes parm1 -'******************************************************************************* -dupfunc call #pop1 - call #push1 - jmp #push_jmp - -'******************************************************************************* -' Swap the top two items on the stack -' Changes parm1, parm2 -'******************************************************************************* -swapfunc call #pop2 - wrlong parm2, stackptr - add stackptr, #4 - jmp #push_jmp - -'******************************************************************************* -' Get the next word from the input buffer using the delimiter from the stack -' Changes parm, parm1, parm2, temp1, temp2 -'******************************************************************************* -wordfunc sub stackptr, #4 - rdlong parm, stackptr - call #word_del - mov temp1, #1 - shl temp1, #15 - sub temp1, parm2 - sub temp1, #1 - wrlong temp1, stackptr - add stackptr, #4 - wrbyte parm2, temp1 - cmps parm2, #0 wc, wz - if_c_or_z jmp #innerloop -:loop add temp1, #1 - rdbyte temp2, parm1 - add parm1, #1 - wrbyte temp2, temp1 - djnz parm2, @:loop - jmp #innerloop - -'******************************************************************************* -' Find the word specfied on the stack in the dictionary -' Changes parm1, parm2, temp4 -'******************************************************************************* -findfunc call #pop1 - mov temp4, parm1 - add parm1, #1 - rdbyte parm2, temp4 - call #findword - mov parm1, parm wz - if_z jmp #findfunc1 - call #link2xt - call #push1 - add parm, #2 ' Point to flag byte - rdbyte parm1, parm - and parm1, #1 ' Check immediate bit - shl parm1, #1 - sub parm1, #1 ' Return 1 if set, -1 if not - call #push1 - jmp #innerloop -findfunc1 mov parm1, temp4 - call #push1 - mov parm1, #0 - call #push1 - jmp #innerloop - -'******************************************************************************* -' Send the character from the stack to the output port -' Changes parm -'******************************************************************************* -emitfunc call #pop1 - mov parm, parm1 - call #putch - jmp #innerloop - -'******************************************************************************* -' Get a character from the input port and put it on the stack -' Changes parm, parm1 -'******************************************************************************* -getcharfunc call #getch - mov parm1, parm - jmp #push_jmp - -'******************************************************************************* -' Get a character from the files stored in memory and put it on the stack -' Changes parm1 -'******************************************************************************* -getfcharfunc rdbyte parm1, infileptr - add infileptr, #1 - jmp #push_jmp - -'******************************************************************************* -' Get an address and value from the stack, and store the value at the address -' No changes -'******************************************************************************* -storefunc call #pop2 - wrlong parm1, parm2 - jmp #innerloop - -'******************************************************************************* -' Fetch a value from the address specified on the stack, and put it on the stack -' Changes parm1 -'******************************************************************************* -fetchfunc call #pop1 - rdlong parm1, parm1 - jmp #push_jmp - -'******************************************************************************* -' Get an address and word from the stack, and store the word at the address -' No changes -'******************************************************************************* -wstorefunc call #pop2 - wrword parm1, parm2 - jmp #innerloop - -'******************************************************************************* -' Fetch a word from the address specified on the stack, and put it on the stack -' Changes parm1 -'******************************************************************************* -wfetchfunc call #pop1 - rdword parm1, parm1 - jmp #push_jmp - -'******************************************************************************* -' Get an address and byte from the stack, and store the byte at the address -' No changes -'******************************************************************************* -cstorefunc call #pop2 - wrbyte parm1, parm2 - jmp #innerloop - -'******************************************************************************* -' Fetch a byte from the address specified on the stack, and put it on the stack -' Changes parm1 -'******************************************************************************* -cfetchfunc call #pop1 - rdbyte parm1, parm1 - jmp #push_jmp - -'******************************************************************************* -' Add two values from the stack, and write the result back to the stack -' Changes parm1, parm2 -'******************************************************************************* -plusfunc call #pop2 - add parm1, parm2 - jmp #push_jmp - -'******************************************************************************* -' Subtract two values from the stack, and write the result back to the stack -' Changes parm1, parm2 -'******************************************************************************* -minusfunc call #pop2 - sub parm1, parm2 - jmp #push_jmp - -'******************************************************************************* -' Multiply two values from the stack, and write the result back to the stack -' Changes parm1, parm2 -'******************************************************************************* -multfunc call #pop2 - call #multiply - jmp #push_jmp - -'******************************************************************************* -' Divide two values from the stack, and write the result back to the stack -' Changes parm1, parm2 -'******************************************************************************* -dividefunc call #pop2 - call #divide - mov parm1, parm2 - test parm3, #1 wc - if_c neg parm1, parm1 - jmp #push_jmp - -'******************************************************************************* -' Compute the modulus from two values from the stack, and write the result back -' to the stack -' Changes parm1, parm2 -'******************************************************************************* -modfunc call #pop2 - call #divide - test parm3, #2 wc - if_c neg parm1, parm1 - jmp #push_jmp - -'******************************************************************************* -' Compare two values from the stack to determine if the second one is less than -' the first one, and write the result back to the stack -' Changes parm1, parm2 -'******************************************************************************* -lessfunc call #pop2 - cmps parm1, parm2 wc - if_c neg parm1, #1 - if_nc mov parm1, #0 - jmp #push_jmp - -'******************************************************************************* -' Compare two values from the stack to determine if they are equal, and write -' the result back to the stack -' Changes parm1, parm2 -'******************************************************************************* -equalfunc call #pop2 - cmp parm1, parm2 wz - if_z neg parm1, #1 - if_nz mov parm1, #0 - jmp #push_jmp - -'******************************************************************************* -' Compare two values from the stack to determine if the second one is greater -' than the first one, and write the result back to the stack -' Changes parm1, parm2 -'******************************************************************************* -greaterfunc call #pop2 - cmps parm1, parm2 wc, wz - if_nz_and_nc neg parm1, #1 - if_z_or_c mov parm1, #0 - jmp #push_jmp - -'******************************************************************************* -' Compute the logical AND of two values from the stack, and write the result -' back to the stack -' Changes parm1, parm2 -'******************************************************************************* -andfunc call #pop2 - and parm1, parm2 - jmp #push_jmp - -'******************************************************************************* -' Compute the logical OR of two values from the stack, and write the result -' back to the stack -' Changes parm1, parm2 -'******************************************************************************* -orfunc call #pop2 - or parm1, parm2 - jmp #push_jmp - -'******************************************************************************* -' Compute the logical XOR of two values from the stack, and write the result -' back to the stack -' Changes parm1, parm2 -'******************************************************************************* -xorfunc call #pop2 - xor parm1, parm2 - jmp #push_jmp - -'******************************************************************************* -' Right-shift the second value on the stack by the number of bits specified by -' the first value on the stack, and write the result to the stack -' Changes parm1, parm2 -'******************************************************************************* -rshiftfunc call #pop2 - shr parm1, parm2 - jmp #push_jmp - -'******************************************************************************* -' Left-shift the second value on the stack by the number of bits specified by -' the first value on the stack, and write the result to the stack -' Changes parm1, parm2 -'******************************************************************************* -lshiftfunc call #pop2 - shl parm1, parm2 - jmp #push_jmp - -'******************************************************************************* -' Push the stack depth to the stack -' Changes parm1 -'******************************************************************************* -depthfunc mov parm1, stackptr - sub parm1, stackptr0 - sar parm1, #2 - jmp #push_jmp - -'******************************************************************************* -' Drop the top value from the stack -' No changes -'******************************************************************************* -dropfunc sub stackptr, #4 - jmp #innerloop - -'******************************************************************************* -' Use the value on top of the stack as an index to another value in the stack, -' and write its value to the stack -' No changes -'******************************************************************************* -pickfunc call #pop1 - call #indexstack - jmp #push_jmp - -'******************************************************************************* -' Use the value on top of the stack as and index to remove another value from -' the stack, and place it at the top of the stack. -' Changes temp1, temp2, temp3, temp4 -'******************************************************************************* -rollfunc call #pop1 - cmp parm1, #0 wc, wz - if_c_or_z jmp #innerloop - mov temp3, parm1 - call #indexstack - mov temp2, temp1 -:loop add temp2, #4 - rdlong temp4, temp2 - wrlong temp4, temp1 - add temp1, #4 - djnz temp3, @:loop - wrlong parm1, temp1 - jmp #innerloop - -'******************************************************************************* -' Pop the value from the top of the stack, and push it onto the return stack. -' No changes -'******************************************************************************* -torfunc call #pop1 - wrlong parm1, returnptr - add returnptr, #4 - jmp #innerloop - -'******************************************************************************* -' Pop the value from the top of the return stack and push it to the stack. -' Changes parm1 -'******************************************************************************* -fromrfunc sub returnptr, #4 - rdlong parm1, returnptr - jmp #push_jmp - -'******************************************************************************* -' Push the value on the stack pointed to by the PC and increment the PC -' Changes parm1 -'******************************************************************************* -_litfunc rdword parm1, pc - add pc, #2 - jmp #push_jmp - -'******************************************************************************* -' Convert the string described by the address and length on the top of the -' stack to a hex number, and push it to the stack -' Changes parm1 -'******************************************************************************* -_gethexfunc call #pop2 - call #gethex - mov parm1, parm - jmp #push_jmp - -'******************************************************************************* -' Create a variable, and add it to the dictionary -' Changes parm3 -'******************************************************************************* -createfunc mov parm3, #varfunc - mov parm4, #FLAG_VAR - call #create - jmp #innerloop - -'******************************************************************************* -' Create an executable word, and add it to the dictionary. Set the compile -' state to -1 -' Changes parm3, temp1 -'******************************************************************************* -colonfunc mov parm3, #execlistfunc - mov parm4, #FLAG_DEF - call #create - if_z jmp #innerloop - neg temp1, #1 - wrlong temp1, a_state - jmp #innerloop - -'******************************************************************************* -' Compile a zero into memory indicating the end of an executable word, and set -' the compile flag to zero -' Changes temp1, temp2 -'******************************************************************************* -semicolonfunc mov temp1, #0 - wrlong temp1, a_state - rdlong temp2, a_dp - wrword temp1, temp2 - add temp2, #2 - wrlong temp2, a_dp - jmp #innerloop - -'******************************************************************************* -' Fetch a value from the specified cog address, and put it on the stack -' the compile flag to zero -' Changes parm1 -'******************************************************************************* -cogfetchfunc call #pop1 - 'movs cogfetch1, parm1 - nop -cogfetch1 mov parm1, 0-0 - jmp #push_jmp - -'******************************************************************************* -' Get a cog address and value from the stack, and store the value at the address -' the compile flag to zero -' Changes parm1, parm2 -'******************************************************************************* -cogstorefunc call #pop2 - 'movd cogstore1, parm2 - nop -cogstore1 'mov 0-0, parm1 - jmp #innerloop - - -'******************************************************************************* -' Print out an 8-digit hex number to the output port. -' Changes parm -'******************************************************************************* -dotxfunc mov parm, #"$" - call #putch - call #pop1 - call #printhex - mov parm, #" " - call #putch - jmp #innerloop - -'******************************************************************************* -' If top of stack is zero, jump to address contained in location at current PC. -' Otherwise, increment the PC -' Changes parm1 -'******************************************************************************* -_jzfunc call #pop1 - if_z rdword pc, pc - if_nz add pc, #2 - jmp #innerloop - -'******************************************************************************* -' Copy bytes from the source to the destination -' Changes parm1 -'******************************************************************************* -cmovefunc sub stackptr, #4 - rdlong parm3, stackptr - call #pop2 - cmps parm3, #0 wz, wc - if_c_or_z jmp #innerloop -:loop rdbyte temp1, parm1 - add parm1, #1 - wrbyte temp1, parm2 - add parm2, #1 - djnz parm3, @:loop - jmp #innerloop - -'******************************************************************************* -' Perform the increment and compare for the loop word -' Changes parm1, parm2, parm3 -'******************************************************************************* -_loopfunc call #pop1 ' Get increment - sub returnptr, #8 - rdlong parm3, returnptr ' Get upper limit - add returnptr, #4 - rdlong parm2, returnptr ' Get index - add parm1, parm2 ' index + increment - wrlong parm1, returnptr ' Push index back - add returnptr, #4 - cmps parm1, parm3 wc - if_nc neg parm1, #1 - if_c mov parm1, #0 - jmp #push_jmp - -'******************************************************************************* -' The following code implements the basic functions used by the kernel words -'******************************************************************************* - -'******************************************************************************* -' Create a word entry in the dictionary -' Changes parm, parm1, parm2, temp1, temp2 -'******************************************************************************* -create mov parm, #" " - call #word_del - if_z jmp #create_ret - rdlong temp1, a_dp ' Align DP - add temp1, #3 - and temp1, minus4 - rdlong temp2, a_last - wrword temp2, temp1 ' Write the link pointer - wrlong temp1, a_last ' Update LAST - add temp1, #2 - - wrbyte parm4, temp1 ' Write the flag - add temp1, #1 - wrbyte parm2, temp1 ' Write the length - add temp1, #1 - cmps parm2, #0 wc, wz - if_c_or_z jmp #create_done -:loop rdbyte temp2, parm1 ' Copy the name - add parm1, #1 - wrbyte temp2, temp1 - add temp1, #1 wz - djnz parm2, @:loop - -create_done mov temp2, #0 ' Pad with 0's to align -:loop1 test temp1, #3 wz - if_z jmp #create_aligned - wrbyte temp2, temp1 - add temp1, #1 - jmp #:loop1 - -create_aligned wrword parm3, temp1 ' Write the code pointer - add temp1, #2 - wrword temp2, temp1 ' Write the DOES> pointer - add temp1, #2 wz ' Clear zero flag - - wrlong temp1, a_dp -create_ret ret - -'******************************************************************************* -' Get one character from the input port. -' Input none -' Changes parm, temp, temp1, temp2 -' Output parm -'******************************************************************************* -{ -getch mov parm, ina - and parm, inbit wz - if_nz jmp #getch - mov temp2, cnt - mov temp, bitcycles - shr temp, #1 - add temp2, temp - mov temp1, #10 -:loop waitcnt temp2, bitcycles - mov temp, ina - and temp, inbit - ror parm, #1 - or parm, temp - djnz temp1, #:loop - ror parm, #31 - 8 - and parm, #255 -getch_ret ret - -inbit long $80000000 -} -getch getp #RX_PIN wz - if_nz jmp #getch - getcnt temp2 - mov temp3, bitcycles - shr temp3, #1 - add temp2, temp3 - mov temp1, #10 - mov parm, #0 -:loop waitcnt temp2, bitcycles - ror parm, #1 - getp #RX_PIN wc - if_c or parm, #1 - djnz temp1, @:loop - rol parm, #8 - and parm, #255 - ret -bitcycles long 80_000_000 / 115_200 - -'******************************************************************************* -' Send one character to the output port. -' Input parm -' Changes parm, temp1, temp2 -' Output none -'******************************************************************************* -{ -putch rdlong temp1, a_verbose wz - if_z jmp putch_ret - or parm, #$100 - shl parm, #1 - mov temp1, #10 - mov temp2, bitcycles - add temp2, cnt -:loop shr parm, #1 wc - if_c or outa, outbit - if_nc andn outa, outbit - waitcnt temp2, bitcycles - djnz temp1, #:loop -putch_ret ret - -outbit long $40000000 -} -putch rdlong temp1, a_verbose wz - if_z ret - or parm, stopbits - shl parm, #1 - mov temp1, #11 - getcnt temp2 - add temp2, bitcycles -:loop ror parm, #1 wc - setpc #TX_PIN - waitcnt temp2, bitcycles - djnz temp1, @:loop - ret -stopbits long $300 - -'******************************************************************************* -' Skip the specified character in the input buffer -' Input parm -' Changes temp, temp1 -' Output none -'******************************************************************************* -skipchar cmps temp1, temp2 wc - if_nc jmp #skipchar_ret - rdlong temp, a_tib - add temp, temp1 - rdbyte temp, temp - cmp temp, parm wz - if_nz jmp #skipchar_ret - add temp1, #1 - jmp #skipchar -skipchar_ret ret - -'******************************************************************************* -' Find the next occurance of the specified character in the input buffer -' Input parm -' Changes temp, temp1 -' Output none -'******************************************************************************* -findchar cmps temp1, temp2 wc - if_nc jmp #findchar_ret - rdlong temp, a_tib - add temp, temp1 - rdbyte temp, temp - cmp temp, parm wz - if_z jmp #findchar_ret - add temp1, #1 - jmp #findchar -findchar_ret ret - -'******************************************************************************* -' Find the next word in the input buffer delimited by the specified character -' Input parm -' Changes parm1, parm2, temp1, temp2 -' Output none -'******************************************************************************* -word_del - rdlong temp1, a_inputidx - rdlong temp2, a_inputlen - call #skipchar - mov parm1, temp1 - call #findchar - mov parm2, temp1 - sub parm2, parm1 wz - rdlong temp, a_tib - add parm1, temp - cmps temp1, temp2 wc - if_c add temp1, #1 - wrlong temp1, a_inputidx -word_del_ret ret - -'******************************************************************************* -' Find the specified word in the dictionary -' Input parm1, parm2 -' Changes parm, parm3, parm4 -' Output parm -'******************************************************************************* -findword rdlong parm, a_last wz - if_z jmp #findword_ret -:loop mov parm3, parm - add parm3, #3 - rdbyte parm4, parm3 - add parm3, #1 - call #compare - if_z jmp #findword_ret - rdword parm, parm wz - if_nz jmp #:loop -findword_ret ret - -'******************************************************************************* -' Do a case insensitive comparison of two character strings -' Input parm1, parm2, parm3, parm4 -' Changes parm3, parm4, temp, temp1, temp2 -' Outut Z -'******************************************************************************* -compare cmps parm2, #1 wc, wz - if_c jmp #compare_ret - cmp parm2, parm4 wz - if_nz jmp #compare_ret - mov temp, parm1 -:loop rdbyte temp1, temp - call #toupper - mov temp2, temp1 - rdbyte temp1, parm3 - call #toupper - cmp temp1, temp2 wz - if_nz jmp #compare_ret - add temp, #1 - add parm3, #1 - djnz parm4, @:loop -compare_ret ret - -'******************************************************************************* -' Convert a character to uppercase -' Input temp1 -' Changes temp1 -' Ouput temp1 -'******************************************************************************* -toupper cmp temp1, #"a" wc - if_c jmp #toupper_ret - cmp temp1, #"z" wc, wz - if_nc_and_nz jmp #toupper_ret - sub temp1, #"a" - "A" -toupper_ret ret - - -'******************************************************************************* -' Print an 8-digit hex value to the output port -' Input parm1 -' Changes parm, parm1, parm2 -' Output none -'******************************************************************************* -printhex mov parm2, #8 -:loop rol parm1, #4 - mov parm, #15 - and parm, parm1 - add parm, a_hexstr - rdbyte parm, parm - call #putch - djnz parm2, @:loop -printhex_ret ret - - -'******************************************************************************* -' Convert a string to a hex number -' Input parm1, parm2 -' Changes parm, temp, temp1, temp2 -' Output parm -'******************************************************************************* -gethex mov parm, #0 - cmps parm2, #0 wc, wz - if_c_or_z jmp #gethex_ret - mov temp1, parm1 - mov temp2, parm2 -:loop rdbyte temp, temp1 - add temp1, #1 - sub temp, #"0" - cmps temp, #10 wc - if_nc sub temp, #"a"-"0"-10 - shl parm, #4 - add parm, temp - djnz temp2, @:loop -gethex_ret ret - -'******************************************************************************* -' Push a value onto the data stack -' Input parm1 -' No changes -' Output none -'******************************************************************************* -push1 wrlong parm1, stackptr - add stackptr, #4 -push_ret ret - -'******************************************************************************* -' Push a value onto the data stack and jump to the innerloop -' Input parm1 -' No changes -' Output none -'******************************************************************************* -push_jmp wrlong parm1, stackptr - add stackptr, #4 - jmp #innerloop - -'******************************************************************************* -' Pop two values off of the data stack -' Input none -' Changes parm1, parm2 -' Output parm1, parm2 -'******************************************************************************* -pop2 sub stackptr, #4 - rdlong parm2, stackptr - -'******************************************************************************* -' Pop one value off of the data stack -' Input none -' Changes parm1 -' Ouput parm1 -'******************************************************************************* -pop1 sub stackptr, #4 - rdlong parm1, stackptr wz -pop_ret -pop2_ret ret - -'******************************************************************************* -' Read a value on the stack based on an index number -' Changes parm1, temp1 -'******************************************************************************* -indexstack neg temp1, parm1 - shl temp1, #2 - sub temp1, #4 - add temp1, stackptr - rdlong parm1, temp1 -indexstack_ret ret - -'******************************************************************************* -' Compute the XT from the address of the link -' Input: parm1 -' Output: parm1 -' Changes: temp1 -'******************************************************************************* -link2xt mov temp1, parm1 - add temp1, #3 - rdbyte parm1, temp1 ' Get name length - add parm1, temp1 - add parm1, #4 - and parm1, minus4 ' Align -link2xt_ret ret - -'******************************************************************************* -' Multiply two 32-bit numbers -' Changes parm2, temp1, temp2 -'******************************************************************************* -multiply mov temp1, #0 - mov temp2, #32 - shr parm1, #1 wc -mmul if_c add temp1, parm2 wc - rcr temp1, #1 wc - rcr parm1, #1 wc - djnz temp2, @mmul -multiply_ret ret - -'******************************************************************************* -' Divide two 32-bit numbers producing a quotient and a remainder -' Changes parm1, parm2, parm3, temp1, temp2 -'******************************************************************************* -divide mov temp2, #32 - mov temp1, #0 - abs parm1, parm1 wc - muxc parm3, #%11 - abs parm2, parm2 wc,wz - if_c xor parm3, #%01 -' if_nz jmp #mdiv -' mov parm1, #0 -' jmp divide_ret -mdiv shr parm2, #1 wc,wz - rcr temp1, #1 - if_nz djnz temp2, @mdiv -mdiv2 cmpsub parm1, temp1 wc - rcl parm2, #1 - shr temp1, #1 - djnz temp2, @mdiv2 -divide_ret ret - -'******************************************************************************* -' These are working registers. The parm registers are generally used to pass -' parameters from one routine to another, and the temp registers are used as -' temporary storage within a routine. -'******************************************************************************* - -'******************************************************************************* -' Addresses of variables in the dictionary, and the hex table -'******************************************************************************* -a_hexstr long @hexstr+Q -a_last long @last+Q -a_state long @state+Q -a_dp long @dp+Q -a_tib long @tib+Q -a_verbose long @verbose+Q -a_inputidx long @greaterin+Q -a_inputlen long @poundtib+Q - -'******************************************************************************* -' The data and return stack pointers, and their base addresses -'******************************************************************************* -'stackptr long 0 -'stackptr0 long 0 -'returnptr long 0 -'returnptr0 long 0 -stackptr long @stack+16 -stackptr0 long @stack+16 -returnptr long @retstk -returnptr0 long @retstk - -'******************************************************************************* -' The input file pointer used during initialization -'******************************************************************************* -infileptr long @infile+Q - -'******************************************************************************* -' Constants -'******************************************************************************* -minus4 long -4 - - fit $1f0 - - orgh - -pfthconfig long @xboot_1+Q ' Initial word to execute - long @stack+Q+16 ' Starting stack pointer - long @stack+Q+16 ' Empty stack pointer value - long @retstk+Q ' Starting return pointer - long @retstk+Q ' Empty return pointer value -stack long 0[100] ' Data stack -retstk long 0[100] ' Return stack - -'******************************************************************************* -' Input buffer and hex table -'******************************************************************************* -hexstr byte "0123456789abcdef" -inputbuf byte 0[200] - -'******************************************************************************* -' This is the beginning of the dictionary. The kernel words are specified below -'******************************************************************************* -exit_L word 0 - byte FLAG_CORE, 4, "exit" - long -exit_X word exitfunc, 0 - -quit_L word @exit_L+Q - byte FLAG_CORE, 4, "quit" - long -quit_X word quitfunc, 0 - -abort_L word @quit_L+Q - byte FLAG_CORE, 5, "abort" - long -abort_X word abortfunc, 0 - -execute_L word @abort_L+Q - byte FLAG_CORE, 7, "execute" - long -execute_X word executefunc, 0 - -word_L word @execute_L+Q - byte FLAG_CORE, 4, "word" - long -word_X word wordfunc, 0 - -find_L word @word_L+Q - byte FLAG_CORE, 4, "find" - long -find_X word findfunc, 0 - -getchar_L word @find_L+Q - byte FLAG_CORE, 7, "getchar" - long -getchar_X word getcharfunc, 0 - -getfchar_L word @getchar_L+Q - byte FLAG_CORE, 8, "getfchar" - long -getfchar_X word getfcharfunc, 0 - -key_L word @getfchar_L+Q - byte FLAG_CORE, 3, "key" - long -key_X word deferfunc, @key_B+Q -key_B word @getfchar_X+Q, 0 -'key_B word @getchar_X+Q, 0 - -create_L word @key_L+Q - byte FLAG_CORE, 6, "create" - long -create_X word createfunc, 0 - -_lit_L word @create_L+Q - byte FLAG_LIT, 4, "_lit" - long -_lit_X word _litfunc, 0 - -_gethex_L word @_lit_L+Q - byte FLAG_CORE, 7, "_gethex" - long -_gethex_X word _gethexfunc, 0 - -emit_L word @_gethex_L+Q - byte FLAG_CORE, 4, "emit" - long -emit_X word emitfunc, 0 - -store_L word @emit_L+Q - byte FLAG_CORE, 1, "!" - long -store_X word storefunc, 0 - -fetch_L word @store_L+Q - byte FLAG_CORE, 1, "@" - long -fetch_X word fetchfunc, 0 - -wstore_L word @fetch_L+Q - byte FLAG_CORE, 2, "w!" - long -wstore_X word wstorefunc, 0 - -wfetch_L word @wstore_L+Q - byte FLAG_CORE, 2, "w@" - long -wfetch_X word wfetchfunc, 0 - -cstore_L word @wfetch_L+Q - byte FLAG_CORE, 2, "c!" - long -cstore_X word cstorefunc, 0 - -cfetch_L word @cstore_L+Q - byte FLAG_CORE, 2, "c@" - long -cfetch_X word cfetchfunc, 0 - -plus_L word @cfetch_L+Q - byte FLAG_CORE, 1, "+" - long -plus_X word plusfunc, 0 - -minus_L word @plus_L+Q - byte FLAG_CORE, 1, "-" - long -minus_X word minusfunc, 0 - -multiply_L word @minus_L+Q - byte FLAG_CORE, 1, "*" - long -multiply_X word multfunc, 0 - -divide_L word @multiply_L+Q - byte FLAG_CORE, 1, "/" - long -divide_X word dividefunc, 0 - -mod_L word @divide_L+Q - byte FLAG_CORE, 3, "mod" - long -mod_X word modfunc, 0 - -and_L word @mod_L+Q - byte FLAG_CORE, 3, "and" - long -and_X word andfunc, 0 - -or_L word @and_L+Q - byte FLAG_CORE, 2, "or" - long -or_X word orfunc, 0 - -xor_L word @or_L+Q - byte FLAG_CORE, 3, "xor" - long -xor_X word xorfunc, 0 - -less_L word @xor_L+Q - byte FLAG_CORE, 1, "<" - long -less_X word lessfunc, 0 - -equal_L word @less_L+Q - byte FLAG_CORE, 1, "=" - long -equal_X word equalfunc, 0 - -greater_L word @equal_L+Q - byte FLAG_CORE, 1, ">" - long -greater_X word greaterfunc, 0 - -rshift_L word @greater_L+Q - byte FLAG_CORE, 6, "rshift" - long -rshift_X word rshiftfunc, 0 - -lshift_L word @rshift_L+Q - byte FLAG_CORE, 6, "lshift" - long -lshift_X word lshiftfunc, 0 - -depth_L word @lshift_L+Q - byte FLAG_CORE, 5, "depth" - long -depth_X word depthfunc, 0 - -tib_L word @depth_L+Q - byte FLAG_VAR, 3, "tib" - long -tib_X word varfunc, @tib+Q+4 -tib long @inputbuf+Q - word @fetch_X+Q, 0 - long - -poundtib_L word @tib_L+Q - byte FLAG_VAR, 4, "#tib" - long -poundtib_X word varfunc, 0 -poundtib long 0 - -greaterin_L word @poundtib_L+Q - byte FLAG_VAR, 3, ">in" - long -greaterin_X word varfunc, 0 -greaterin long 0 - -dp_L word @greaterin_L+Q - byte FLAG_VAR, 2, "dp" - long -dp_X word varfunc, 0 -dp long @_here+Q - -last_L word @dp_L+Q - byte FLAG_VAR, 4, "last" - long -last_X word varfunc, 0 -last long @_last+Q - -state_L word @last_L+Q - byte FLAG_VAR, 5, "state" - long -state_X word varfunc, 0 -state long 0 - -base_L word @state_L+Q - byte FLAG_VAR, 4, "base" - long -base_X word varfunc, 0 -base long 16 - -verbose_L word @base_L+Q - byte FLAG_VAR, 7, "verbose" - long -verbose_X word varfunc, 0 -verbose long 0 - -forth_L word @verbose_L+Q - byte FLAG_VAR, 5, "forth" - long -forth_X word varfunc, 0 - long @forth+Q - -drop_L word @forth_L+Q - byte FLAG_CORE, 4, "drop" - long -drop_X word dropfunc, 0 - -dup_L word @drop_L+Q - byte FLAG_CORE, 3, "dup" - long -dup_X word dupfunc, 0 - -swap_L word @dup_L+Q - byte FLAG_CORE, 4, "swap" - long -swap_X word swapfunc, 0 - -pick_L word @swap_L+Q - byte FLAG_CORE, 4, "pick" - long -pick_X word pickfunc, 0 - -roll_L word @pick_L+Q - byte FLAG_CORE, 4, "roll" - long -roll_X word rollfunc, 0 - -tor_L word @roll_L+Q - byte FLAG_CORE, 2, ">r" - long -tor_X word torfunc, 0 - -fromr_L word @tor_L+Q - byte FLAG_CORE, 2, "r>" - long -fromr_X word fromrfunc, 0 - -colon_L word @fromr_L+Q - byte FLAG_CORE, 1, ":" - long -colon_X word colonfunc, 0 - -semicolon_L word @colon_L+Q - byte FLAG_SEMI, 1, ";" - long -semicolon_X word semicolonfunc, 0 - -cogfetch_L word @semicolon_L+Q - byte FLAG_CORE, 4, "cog@" - long -cogfetch_X word cogfetchfunc, 0 - -cogstore_L word @cogfetch_L+Q - byte FLAG_CORE, 4, "cog!" - long -cogstore_X word cogstorefunc, 0 - -cogx1_L word @cogstore_L+Q - byte FLAG_CORE, 5, "cogx1" - long -cogx1_X word cogx1func, 0 - -_jz_L word @cogx1_L+Q - byte FLAG_JMP, 3, "_jz" - long -_jz_X word _jzfunc, 0 - -cmove_L word @_jz_L+Q - byte FLAG_CORE, 5, "cmove" - long -cmove_X word cmovefunc, 0 - -dotx_L word @cmove_L+Q - byte FLAG_CORE, 2, ".x" - long -dotx_X word dotxfunc, 0 - -'******************************************************************************* -' SPI/SD Variables -'******************************************************************************* -spi_vars_L word @dotx_L+Q - byte FLAG_VAR, 8, "spi_vars" - long -spi_vars_X word varfunc, 0 -spi_vars long 0 ' SPI_engine_cog - long 0 ' SPI_command - long 0 ' SPI_block_index - long 0 ' SPI_buffer_address - long 0 ' SD_rootdir - long 0 ' SD_filesystem - long 0 ' SD_clustershift - long 0 ' SD_dataregion - long 0 ' SD_fat1 - long 0 ' SD_sectorsperfat - long 0 ' SD_currdir - -argc_L word @spi_vars_L+Q - byte FLAG_VAR, 4, "argc" - long -argc_X word confunc, 0 -argc_B long 0 - -argv_L word @argc_L+Q - byte FLAG_VAR, 4, "argv" - long -argv_X word confunc, 0 -argv_B long 0 - -hostcwd_L word @argv_L+Q - byte FLAG_VAR, 7, "hostcwd" - long -hostcwd_X word confunc, 0 -hostcwd_B long 0 - -'******************************************************************************* -' A small number of compiled words follow below. These are used by the boot -' interpreter. -'******************************************************************************* - ' : here dp @ ; -here_L word @hostcwd_L+Q - byte FLAG_DEF, 4, "here" - long -here_X word execlistfunc, 0 - word @dp_X+Q, @fetch_X+Q, 0 - long - - ' : allot dp @ + dp ! ; -allot_L word @here_L+Q - byte FLAG_DEF, 5, "allot" - long -allot_X word execlistfunc, 0 - word @dp_X+Q, @fetch_X+Q, @plus_X+Q, @dp_X+Q, @store_X+Q, 0 - long - - ' : , here ! 4 allot ; -comma_L word @allot_L+Q - byte FLAG_DEF, 1, "," - long -comma_X word execlistfunc, 0 - word @here_X+Q, @store_X+Q, @_lit_X+Q, 4, @allot_X+Q, 0 - long - - ' : _jmp r> @ >r ; -_jmp_L word @comma_L+Q - byte FLAG_JMP, 4, "_jmp" - long -_jmp_X word execlistfunc, 0 - word @fromr_X+Q, @wfetch_X+Q, @tor_X+Q, 0 - long - - ' : count 0 pick 1 + 1 roll c@ ; -count_L word @_jmp_L+Q - byte FLAG_DEF, 5, "count" - long -count_X word execlistfunc, 0 - word @_lit_X+Q, 0, @pick_X+Q, @_lit_X+Q, 1, @plus_X+Q, @_lit_X+Q, 1, @roll_X+Q, @cfetch_X+Q, 0 - long - - ' : accept ( addr size -- num ) \ Accept a string from the input source -accept_L word @count_L+Q - byte FLAG_DEF, 6, "accept" - long -accept_X word execlistfunc, 0 - ' >r dup - word @tor_X+Q, @dup_X+Q - ' r> dup 1 < _jz _accept4 -accept_1 word @fromr_X+Q, @dup_X+Q, @_lit_X+Q, 1, @less_X+Q, @_jz_X+Q, @accept_4+Q - ' drop swap - exit - word @drop_X+Q, @swap_X+Q, @minus_X+Q, @exit_X+Q - ' >r key -accept_4 word @tor_X+Q, @key_X+Q - ' dup 0d = over 0a = or - word @dup_X+Q, @_lit_X+Q, $0d, @equal_X+Q, @_lit_X+Q, 1, @pick_X+Q, @_lit_X+Q, $0a, @equal_X+Q, @or_X+Q - ' _jz _accept2 - word @_jz_X+Q, @accept_2+Q - ' cr drop swap - - word @_lit_X+Q, 13, @emit_X+Q, @_lit_X+Q, 10, @emit_X+Q, @drop_X+Q, @swap_X+Q, @minus_X+Q - ' r> drop exit - word @fromr_X+Q, @drop_X+Q, @exit_X+Q - ' dup 8 = _jz _accept3 -accept_2 word @dup_X+Q, @_lit_X+Q, 8, @equal_X+Q, @_jz_X+Q, @accept_3+Q - ' drop over over - _jz _accept1 - word @drop_X+Q, @_lit_X+Q, 1, @pick_X+Q, @_lit_X+Q, 1, @pick_X+Q, @minus_X+Q, @_jz_X+Q, @accept_1+Q - ' 1 - r> 1 + >r - word @_lit_X+Q, 1, @minus_X+Q, @fromr_X+Q, @_lit_X+Q, 1, @plus_X+Q, @tor_X+Q - ' 8 emit bl emit 8 emit _jmp _accept1 - word @_lit_X+Q, 8, @emit_X+Q, @_lit_X+Q, 32, @emit_X+Q, @_lit_X+Q, 8, @emit_X+Q, @_jmp_X+Q, @accept_1+Q - ' dup emit over c! 1 + -accept_3 word @dup_X+Q, @emit_X+Q, @_lit_X+Q, 1, @pick_X+Q, @cstore_X+Q, @_lit_X+Q, 1, @plus_X+Q - ' r> 1 - >r _jmp _accept1 - word @fromr_X+Q, @_lit_X+Q, 1, @minus_X+Q, @tor_X+Q, @_jmp_X+Q, @accept_1+Q, 0 - long - - ' : refill tib 200 accept #tib ! 0 >in ! ; -refill_L word @accept_L+Q - byte FLAG_DEF, 6, "refill" - long -refill_X word execlistfunc, 0 - word @tib_X+Q, @_lit_X+Q, 200, @accept_X+Q, @poundtib_X+Q, @store_X+Q, @_lit_X+Q, 0, @greaterin_X+Q, @store_X+Q, 0 - long - - ' : compile, here w! 2 allot ; -compcomma_L word @refill_L+Q - byte FLAG_DEF, 8, "compile," - long -compcomma_X word execlistfunc, 0 - word @here_X+Q, @wstore_X+Q, @_lit_X+Q, 2, @allot_X+Q, 0 - long - -'******************************************************************************* -' The boot interpreter follows below. -'******************************************************************************* - ' : xboot ( This word runs a simple interpreter ) -xboot_L word @compcomma_L+Q - byte FLAG_DEF, 5, "xboot" - long -xboot_X word execlistfunc, 0 - - ' 20 word 0 pick c@ _jz _xboot2 ( Get word, refill if empty ) -xboot_1 word @_lit_X+Q, $20, @word_X+Q, @_lit_X+Q, 0, @pick_X+Q, @cfetch_X+Q, @_jz_X+Q, @xboot_2+Q - - ' find 0 pick _jz _xboot3 ( Find word, get number if not found ) - word @find_X+Q, @_lit_X+Q, 0, @pick_X+Q, @_jz_X+Q, @xboot_3+Q - - ' state @ = _jz _xboot4 ( Go execute if not compile mode or immediate ) - word @state_X+Q, @fetch_X+Q, @equal_X+Q, @_jz_X+Q, @xboot_4+Q - - ' compile, _jmp _xboot1 ( Otherwise, compile and loop again ) - word @compcomma_X+Q, @_jmp_X+Q, @xboot_1+Q - - ' execute _jmp _xboot1 ( Execute and loop again ) -xboot_4 word @execute_X+Q, @_jmp_X+Q, @xboot_1+Q - - ' drop count _gethex ( Get number ) -xboot_3 word @drop_X+Q, @count_X+Q, @_gethex_X+Q - - ' state @ _jz _xboot1 ( Loop again if not compile mode ) - word @state_X+Q, @fetch_X+Q, @_jz_X+Q, @xboot_1+Q - - ' ['] _lit , , _jmp _xboot1 ( Otherwise, compile number and loop again ) - word @_lit_X+Q, @_lit_X+Q, @compcomma_X+Q, @compcomma_X+Q, @_jmp_X+Q, @xboot_1+Q - - ' drop refill _jmp _xboot1 ( Refill and loop again ) -xboot_2 word @drop_X+Q, @refill_X+Q, @_lit_X+Q, 13, @emit_X+Q, @_jmp_X+Q, @xboot_1+Q, 0 - long - -switch_L word @xboot_L+Q - byte FLAG_DEF, 6, "switch" - long -switch_X word execlistfunc, 0 - word @_lit_X+Q, @getchar_X+Q, @_lit_X+Q, @key_B+Q, @store_X+Q, 0 - long - -_last long - -_loop_L word @switch_L+Q - byte FLAG_CORE, 5, "_loop" - long -_loop_X word _loopfunc, 0 - -_here long - -'******************************************************************************* -' The Forth source files follow below. They will be compiled into the -' dictionary, which will over-write the source data. Some padding space is -' included to ensure that we don't over-write the source data before it is -' compiled. -'******************************************************************************* - long 0[100] -infile byte "1 verbose !", 13 - file "init.fth" - file "comus.fth" - 'file "see.fth" - 'file "propwords.fth" - 'file "bufser.fth" - 'file "i2c.fth" - 'file "fds.fth" - 'file "time.fth" - 'file "toggle.fth" - 'file "primes.fth" - 'byte 13, " 1 verbose !", 13 - 'byte " .s ", 13 - 'file "chess.fth" - -'******************************************************************************* -' Enable serial output, print version string and switch to serial input -'******************************************************************************* - byte 13 - byte " 1 verbose !" - byte " pfthversion type cr" - byte " switch", 13 - - -{ -+-------------------------------------------------------------------- -| TERMS OF USE: MIT License -+-------------------------------------------------------------------- -Permission is hereby granted, free of charge, to any person obtaining -a copy of this software and associated documentation files -(the "Software"), to deal in the Software without restriction, -including without limitation the rights to use, copy, modify, merge, -publish, distribute, sublicense, and/or sell copies of the Software, -and to permit persons to whom the Software is furnished to do so, -subject to the following conditions: - -The above copyright notice and this permission notice shall be -included in all copies or substantial portions of the Software. - -THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, -EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY -CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, -TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE -SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. -+------------------------------------------------------------------ -} \ No newline at end of file diff --git a/pfth103_p2/primes.fth b/pfth103_p2/primes.fth deleted file mode 100755 index 03d9864..0000000 --- a/pfth103_p2/primes.fth +++ /dev/null @@ -1,62 +0,0 @@ -\ primes.4th -\ -\ Example code for kForth -\ Copyright (c) 1998 Creative Consulting for Research and Education -\ - -\ Test for a prime number. Return the largest divisor (< n ) -\ and a flag indicating whether the number is prime or not. - -: ?prime ( n -- m flag | is n a prime number? ) -\ if flag is false (0), m is the largest divisor of n - abs - dup 3 > \ is n > 3 ? - if - abs - dup 2 /mod - swap 0= - if \ is n divisible by 2 ? - nip false - else - 1- \ check for divisibility starting - begin \ with n/2 - 1 and counting down - 2dup mod - over 1 > - and - while - 1- - repeat - nip - dup 1 <= - then - else - dup 1 > IF drop 1 true ELSE false THEN - then -; - -: test_prime ( n -- | test for prime number and display result ) - ?prime - if - ." is a prime number" drop - else - ." is NOT prime. Its largest divisor is " . - then - cr -; - -: list_primes ( n -- | list all the prime numbers from 2 to n ) - abs - dup 0> - if - 1+ 2 do - i ?prime - if - i . cr - then - drop - loop - else - drop - then -; - diff --git a/pfth103_p2/propwords.fth b/pfth103_p2/propwords.fth deleted file mode 100755 index 884462c..0000000 --- a/pfth103_p2/propwords.fth +++ /dev/null @@ -1,40 +0,0 @@ -( PROP WORDS) -hex - -( REGISTER ACCESS ) -: cnt@ 1f1 cog@ ; -: ina@ 1f2 cog@ ; -: outa@ 1f4 cog@ ; -: outa! 1f4 cog! ; -: dira@ 1f6 cog@ ; -: dira! 1f6 cog! ; -: clkfreq@ 0 @ ; - -( BIT SETTING AND CLEARING ) -: dirasetbit dira@ or dira! ; -: diraclrbit invert dira@ and dira! ; -: outasetbit outa@ or outa! ; -: outaclrbit invert outa@ and outa! ; - -( HUBOPS ) -: cogid ( ... cogid ) 0 0cfc0001 cogx1 ; -: locknew ( ... locknum ) 0 0cfc0004 cogx1 ; -: lockret ( locknum ... ) 0cfc0005 cogx1 drop ; -: cogstop ( cognum ... ) 0c7c0003 cogx1 drop ; -: coginit ( codeptr dataptr cognum ... cognum ) - >r 0e lshift or 2 lshift r> or 0ffc0002 cogx1 ; -: cognew ( codeptr dataptr ... cognum ) 8 coginit ; -: waitcnt ( count ... count ) f8fc0000 cogx1 ; -: reboot 80 0cfc0000 cogx1 ; - -decimal - -( ANS UTILITY ) -: ms ( msec ... ) cnt@ swap clkfreq@ 1000 / * + waitcnt drop ; - -( ANS TOOLS EXT ) -: bye reboot ; - -( ENABLE SERIAL OUTPUT ) -1 30 lshift dup outa! dira! - diff --git a/pfth103_p2/rc4.fth b/pfth103_p2/rc4.fth deleted file mode 100755 index d974dcc..0000000 --- a/pfth103_p2/rc4.fth +++ /dev/null @@ -1,42 +0,0 @@ -0 value ii 0 value jj -0 value KeyAddr 0 value KeyLen -create SArray 256 allot \ state array of 256 bytes -: KeyArray KeyLen mod KeyAddr ; - -: get_byte + c@ ; -: set_byte + c! ; -: as_byte 255 and ; -: reset_ij 0 TO ii 0 TO jj ; -: i_update 1 + as_byte TO ii ; -: j_update ii SArray get_byte + as_byte TO jj ; -: swap_s_ij - jj SArray get_byte - ii SArray get_byte jj SArray set_byte - ii SArray set_byte -; - -: rc4_init ( KeyAddr KeyLen -- ) - 256 min TO KeyLen TO KeyAddr - 256 0 DO i i SArray set_byte LOOP - reset_ij - BEGIN - ii KeyArray get_byte jj + j_update - swap_s_ij - ii 255 < WHILE - ii i_update - REPEAT - reset_ij -; -: rc4_byte - ii i_update jj j_update - swap_s_ij - ii SArray get_byte jj SArray get_byte + as_byte SArray get_byte xor -; - -hex -create AKey 61 c, 8A c, 63 c, D2 c, FB c, -\ create AKey 97 c, 8A c, 99 c, D2 c, FB c, -: test cr 0 DO rc4_byte . LOOP cr ; -AKey 5 rc4_init -2C F9 4C EE DC 5 test \ output should be: F1 38 29 C9 DE - diff --git a/pfth103_p2/rc4time.fth b/pfth103_p2/rc4time.fth deleted file mode 100755 index 243ec57..0000000 --- a/pfth103_p2/rc4time.fth +++ /dev/null @@ -1,49 +0,0 @@ -0 value ii 0 value jj -0 value KeyAddr 0 value KeyLen -create SArray 256 allot \ state array of 256 bytes -create Results 100 allot -: KeyArray KeyLen mod KeyAddr ; - -: get_byte + c@ ; -: set_byte + c! ; -: as_byte 255 and ; -: reset_ij 0 TO ii 0 TO jj ; -: i_update 1 + as_byte TO ii ; -: j_update ii SArray get_byte + as_byte TO jj ; -: swap_s_ij - jj SArray get_byte - ii SArray get_byte jj SArray set_byte - ii SArray set_byte -; - -: rc4_init ( KeyAddr KeyLen -- ) - 256 min TO KeyLen TO KeyAddr - 256 0 DO i i SArray set_byte LOOP - reset_ij - BEGIN - ii KeyArray get_byte jj + j_update - swap_s_ij - ii 255 < WHILE - ii i_update - REPEAT - reset_ij -; -: rc4_byte - ii i_update jj j_update - swap_s_ij - ii SArray get_byte jj SArray get_byte + as_byte SArray get_byte xor -; - -\ : cnt@ 0 ; - -hex -create AKey 61 c, 8a c, 63 c, d2 c, fb c, -\ create AKey 97 c, 8a c, 99 c, d2 c, fb c, -: test 0 DO rc4_byte Results i + c! LOOP ; -: test1 cr 0 do Results i + c@ . loop cr ; -: time hex cnt@ -AKey 5 rc4_init -2c f9 4c ee dc 5 test \ output should be: f1 38 29 c9 de -cnt@ 5 test1 swap - 13880 / decimal . ." msec" cr ; -decimal - diff --git a/pfth103_p2/readme.txt b/pfth103_p2/readme.txt deleted file mode 100755 index 01ee924..0000000 --- a/pfth103_p2/readme.txt +++ /dev/null @@ -1,244 +0,0 @@ - pfth Version 1.03 - November 8, 2013 - Dave Hein - (with additions from G. Herzog) - -INTRODUCTION ------------- - -pfth is an ANS Forth interpreter that runs on the Propeller. It is written in -PASM and Forth, and it can be built using the Prop Tool or BST. pfth -implements all 133 of the ANS Forth core words, and 38 of the 45 core ext -words. pfth will run on any Propeller board that supports the standard serial -interface. The default settings of the serial port are 115200 baud, 8 bits, no -parity and 1 stop bit. - -After loading and communications is established, use 'words' to verify that the -display is correct. The CR word is defined to emit both a carriage return and -a line feed. If your terminal displays an extra line you can either disable -the linefeed character on your terminal, or re-define CR to only emit a -carriage return. - - -VERSIONS OF SPIN FILES ----------------------- - -There are four versions of pfth. - -The first version can be used to build stand-alone Forth applications. It is -in pfth.spin. In this version, Forth programs are included using the Spin FILE -directive. - -A second version interfaces to an SD card and can execute Forth programs on -the SD card. This version is in sdpfth.spin. The program is set up for the C3 -card, but it can be modified to support other cards. - -The third version is named ospfth.spin, and runs under the Spinix operating -system. When Spinix starts up pfth it provides information about the SD pins, -the current working directory and a parameter list. The OS version of pfth -uses this information to initialize the SD card driver and change to the -working directory. It includes the file given by the parameter list. - -The final version is called chess.spin, and implements a chess program. After -the program is loaded type "chess" to start playing. A move is entered by -typing the source and destination postions separated by a "-". As an example, -the move "D2-D4" will move the white queen's pawn two spaces ahead. - - -LEXICON -------- - -pfth has over 50 kernel words, with most of them from the ANS core word set. -The source code for pfth is contained in pfth.spin, init.fth and other Forth -programs included at the end of pfth.spin. - -Two of the non-standard words implemented by pfth are cog@ and cog!. These are -are used to read and write cog memory locations. Their main purpose is to -access the Prop registers, such as CNT, INA and OUTA. - -Another non-standard word is cogx1, which is used to execute native Prop -instructions. The TOS contains the Prop instruction, and the next value on the -stack is used for the destination register value. The result of the execution -of the instruction is returned on the stack. - -Some other non-standard words are _lit, _gethex, _jz and .x. - -_lit is used to encode literal values. -_gethex is used during the boot phase to convert numeric strings to hex values. -_jz implements a jump-on-zero primitive. -.x is used for debug purposes, and it prints values as 8-digit hex numbers. - -Some of the kernel words are shown below. - -Interpreter Words Math and Logical Words Memory Access ------------------ ---------------------- ------------- -evaluate + ! -execute - @ -find * c! -word / c@ -refill mod -create and Console I/O -: or ----------- -; xor emit - < key - = accept -Program Termination > -------------------- lshift Primitive Words -abort rshift --------------- -exit _lit -quit Variables _gethex - --------- _jz -Stack Operations #tib .x ----------------- tib -drop >in Propeller Words -pick base --------------- -roll dp cog! ->r last cog@ -r> state cogx1 -depth -swap -dup - - -pfth also contains a small number of pre-compiled Forth words written -in Forth. These words are here, allot, ",", _jmp and count. The definition -of these words is as follows. - -: here dp @ ; -: allot dp @ + dp ! ; -: , here ! 4 allot ; -: _jmp r> @ >r ; -: count 0 pick 1 + 1 roll c@ ; - - -AT START UP ------------ - -When pfth starts up, it runs a small boot interpreter that compiles -the ANS dictionary contained in init.fth. This file is included in the -PASM binary image using the PASM FILE directive. Other Forth source files -may be included after init.fth to add additional words and to implement a -specific application. - -The boot interpreter can only handle 16-bit hex numbers, so pfth is in the hex -mode when first starting up. The boot interpreter has no error handling -capability, and is only used to build the initial dictionary. The boot -interpreter uses a limited vocabulary consisting of the following 20 -kernel words. - - dp state _lit _gethex : ; c@ @ ! + = pick roll drop - r> >r word find execute refill - -The boot interpreter is shown below in a pseudo-Forth language. The -labels (label1), (label2), etc. are actually encoded as PASM labels, but -they are shown symbolically in the code below. - -: xboot -(label1) - 20 word 0 pick c@ _jz (label2) ( Get word, refill if empty ) - find 0 pick _jz (label3) ( Find word, get number if not found ) - state @ = _jz (label4) ( Go execute if not compile mode or immediate ) - , _jmp (label1) ( Otherwise, compile and loop again ) -(label4) - execute _jmp (label1) ( Execute and loop again ) -(label3) - drop count _gethex ( Get number ) - state @ _jz (label1) ( Loop again if not compile mode ) - _lit _lit , , _jmp (label1) ( Otherwise, compile number and loop again ) -(label2) - drop refill _jmp (label1) ( Refill and loop again ) -; - -The boot interpreter compiles init.fth, which then runs the main interpreter. -The main interpreter performs some error handling by checking for undefined -words and stack underflows. It also handles negative numbers and numbers in -any base. - - -SOURCE PROGRAMS ---------------- - -There are a number of additional Forth source programs included in this -distribution that can be run by pfth. Open and read these as text files to -learn more details. - -comus.fth - provides a several useful non-standard words that are commonly - used. - -starting.fth - contains samples of code from the "Starting Forth" tutorial. - -rc4time.fth - implements the RC4 code included in the Wikipedia Forth entry. - It also displays the time required to run the RC4 code. - -i2c.fth - is a Forth implementation of Mike Green's basic_i2c_driver from - the OBEX. - -fds.fth - implementes some of the functions from the FullDuplexSerial - driver. It uses the binary PASM code from FullDuplexSerial. - -toggle.fth - will start up a cog running the Forth interpreter. It toggles - P15, but it can easily be modified to toggle another pin. - -ted.fth - a simple line-oriented text editor based on the ED text editor. - -linux.fth - implements some basic linux commands, such as ls, cat, rm and cp. - - -STARTING FORTH COGS -------------------- - -Forth cogs are started with cognew or coginit by specifying the Forth cog image -as the execution pointer and a 5-long structure as the data pointer. The -5-long structure is defined as follow - -First long: Address of the body of a word that will be executed on startup -Second long: Initial value of the stack pointer -Third long: Address of the beginning of the stack -Fourth long: Initial value of the return stack pointer -Fifth long: Address of the beginning the the return stack - - -CELL SIZE ---------- - -The cell size for pfth is 32 bits. The words !, @ and "," words access 32-bit -values that are 32-bit aligned. Additional words are provide for smaller unit -access, such as w! and w@ for word access, and c! and c@ for byte access. - -The compiled list cell size is 16 bits. The compile, word must be used when -compiling execution tokens into a list rather than just using the "," word. - - -DICTIONARY ENTRY FORMAT ------------------------ - -The format for the pfth dictionary entry is shown below. The beginning of a -word entry and its body are long-aligned. The link pointer contains the -address of the previous word in the dictionary. - -The flags byte contains various flag bits that indicate if the word is an -immediate word, a literal number or string, or a jump word. The name length -byte and the name string specify the name of the word. It is followed by -padding bytes that ensure long alignment. - -The code pointer contains the cog address of the PASM code that is to be -executed. The execution token for a word points to this field. The does> -pointer contains the hub address of a list of execution tokens that is to be -called. - -The body is a variable length field that contains the contents of a variable or -the list of execution tokens for a compiled word. The list for a compiled word -consists of one execution token per word, and is terminated by a zero-valued -word. - - Offset Content Size - ------ ------- ---- - 0 Link Pointer word - 2 Flags byte - 3 Name Length byte - 4 Name String Len bytes - 4+Len Padding (-Len) & 3 bytes - 4+(Len+3)&(-4) Code Pointer word - 6+(Len+3)&(-4) DOES> Pointer word - 8+(Len+3)&(-4) Body Variable - diff --git a/pfth103_p2/see.fth b/pfth103_p2/see.fth deleted file mode 100755 index da7ee86..0000000 --- a/pfth103_p2/see.fth +++ /dev/null @@ -1,65 +0,0 @@ -: cond.name ( link ) - dup link>flags c@ dup 2 and ( link flag literal ) - if - 8 and - if - .name - then - else - 4 and - if - [char] s emit [char] " emit bl emit - else - .name - then - then -; - -: seefunc ( xt ) - >body ( listptr ) - begin - dup w@ ( listptr xt ) - while - dup w@ ( listptr xt ) - >link .name link>flags c@ dup 2 and ( listptr flags literal ) - if - 8 and ( listptr flags jump ) - if - 2 + dup dup w@ swap - 2 - 2 / . - else - 2 + dup w@ . - then - else - 4 and ( listptr string ) - if - 2 + dup count type [char] " emit space - dup c@ + 0 2 - and - then - then - compsize + ( listptr+=compsize) - repeat - drop -; - -: see - ' dup ( xt xt ) - if - dup >flags c@ dup 16 and ( xt flags kernel ) - if - drop - drop - ." Kernel Word" - else - 32 and - if - drop - ." Variable" - else - seefunc - then - then - else - drop - ." ?" - then -; diff --git a/pfth103_p2/serial.fth b/pfth103_p2/serial.fth deleted file mode 100755 index 8580527..0000000 --- a/pfth103_p2/serial.fth +++ /dev/null @@ -1,20 +0,0 @@ -: waitcnt begin dup cnt@ - 0< until ; - -: putch 256 or dup + clkfreq@ 9600 / - 11 >r - cnt@ - begin - r> 1- dup >r - while - rot dup - 30 lshift - outa! - 1 rshift - swap rot dup rot + - waitcnt - repeat - r> 2drop 2drop -; - -: test cnt@ 65 putch cnt@ swap - 80 / . ; - diff --git a/pfth103_p2/starting.fth b/pfth103_p2/starting.fth deleted file mode 100755 index d2cc9c3..0000000 --- a/pfth103_p2/starting.fth +++ /dev/null @@ -1,65 +0,0 @@ -: STAR 42 EMIT ; -: MARGIN CR 30 SPACES ; -: BLIP MARGIN STAR ; -: STARS 0 DO STAR LOOP ; -: BAR MARGIN 5 STARS ; -: F BAR BLIP BAR BLIP BLIP CR ; -: MULT CR 11 1 DO DUP I * . LOOP DROP ; -: TABLE CR 11 1 DO I MULT LOOP ; -: TABLE1 CR 11 1 DO 11 1 DO I J * . LOOP CR LOOP ; -: DUB 32767 1 DO I . I +LOOP ; -: GREET ." Hello, I speak Forth " ; -: GIFT ." chocolate" ; -: GIVER ." Mum" ; -: THANKS CR ." Dear " GIVER ." ," - CR ." Thanks for the " GIFT ." . " ; -: EGGSIZE DUP 18 < IF ." reject " ELSE - DUP 21 < IF ." small " ELSE - DUP 24 < IF ." medium " ELSE - DUP 27 < IF ." large " ELSE - DUP 30 < IF ." extra large " ELSE - ." error " - THEN THEN THEN THEN THEN DROP ; -: FALSE 0 ; -: TRUE -1 ; -: TEST IF ." non-" THEN ." zero " ; -: /CHECK ?DUP IF / THEN ; -: UNCOUNT DROP 1 - ; -: max-int -1 1 rshift ; -: min-int max-int negate 1 - ; -: max-uint -1 ; -: OUTPUT-TEST - ." YOU SHOULD SEE THE STANDARD GRAPHIC CHARACTERS:" CR - 41 BL DO I EMIT LOOP CR - 61 41 DO I EMIT LOOP CR - 127 61 DO I EMIT LOOP CR - ." YOU SHOULD SEE 0-9 SEPARATED BY A SPACE:" CR - 9 1+ 0 DO I . LOOP CR - ." YOU SHOULD SEE 0-9 (WITH NO SPACES):" CR - 57 1+ 48 DO I 0 SPACES EMIT LOOP CR - ." YOU SHOULD SEE A-G SEPARATED BY A SPACE:" CR - 71 1+ 65 DO I EMIT SPACE LOOP CR - ." YOU SHOULD SEE 0-5 SEPARATED BY TWO SPACES:" CR - 5 1+ 0 DO I 48 + EMIT 2 SPACES LOOP CR - ." YOU SHOULD SEE TWO SEPARATE LINES:" CR - ." LINE 1" CR ." LINE 2" CR - ." YOU SHOULD SEE THE NUMBER RANGES OF SIGNED AND UNSIGNED NUMBERS:" CR - ." SIGNED: " MIN-INT . MAX-INT . CR - ." UNSIGNED: " 0 . MAX-UINT U. CR - ; - -output-test -f -10 mult -table -table1 -dub -greet -thanks cr -17 eggsize cr -22 eggsize cr -25 eggsize cr -28 eggsize cr -32 eggsize cr -0 test cr -1 test cr diff --git a/pfth103_p2/time.fth b/pfth103_p2/time.fth deleted file mode 100755 index ac3f498..0000000 --- a/pfth103_p2/time.fth +++ /dev/null @@ -1,2 +0,0 @@ -: TIME CNT@ 100000 BEGIN 1 - DUP 0 = UNTIL DROP CNT@ SWAP - 8000 / . ; - diff --git a/pfth103_p2/toggle.fth b/pfth103_p2/toggle.fth deleted file mode 100755 index 724dcee..0000000 --- a/pfth103_p2/toggle.fth +++ /dev/null @@ -1,33 +0,0 @@ -\ ############################################################################ -\ # toggle.fth - This program starts up a Forth cog that toggles P15 -\ # -\ # Copyright (c) 2012 Dave Hein -\ # MIT Licensed -\ ############################################################################ - -create cogstack 80 allot \ Allocate data stack space -create cogreturn 80 allot \ Allocate return stack space -create delaycnt 80000000 , \ This variable controls the blink rate - -hex -\ This word toggles bit P15 every "delaycnt" cycles -: toggle - 8000 dirasetbit cnt@ \ Set P15 for output and get CNT - begin - delaycnt @ + waitcnt \ Wait "delaycnt" cycles - 8000 outasetbit \ Set P15 - delaycnt @ + waitcnt \ Wait "delaycnt" cycles - 8000 outaclrbit \ Clear P15 - again ; \ Repeat forever -decimal - -create cogconfig \ Forth cog config structure - ' toggle >body , \ Get execution token for TOGGLE - cogstack , \ Initial value of stack ptr - cogstack , \ Empty value for stack ptr - cogreturn , \ Initial value of return ptr - cogreturn , \ Empty value for return ptr - -\ This word starts a cog running the TOGGLE word -: starttoggle forth @ cogconfig cognew ; - diff --git a/spindebug.c b/spindebug.c index 06bb090..8f2a04e 100755 --- a/spindebug.c +++ b/spindebug.c @@ -7,14 +7,11 @@ #include #include #include -#ifdef LINUX #include "conion.h" -#else -#include -#endif #include #include "interp.h" #include "opcodes.h" +#include "spinsim.h" #define OP_NONE 0 #define OP_UNSIGNED_OBJ_OFFSET 1 @@ -106,7 +103,7 @@ void ProcessRet(void) { if (!symflag) return; methodlev--; - fprintf(tracefile, "return %s\n\n", objname[methodlev]); + fprintf(tracefile, "return %s%s%s", objname[methodlev], NEW_LINE, NEW_LINE); } static char linebuf[200]; @@ -135,7 +132,7 @@ void ProcessCall(int32_t subnum, int32_t mode) methnum++; if (methnum == subnum) { - fprintf(tracefile, "call %s:%s\n", objname[methodlev], linebuf); + fprintf(tracefile, "call %s:%s%s", objname[methodlev], linebuf, NEW_LINE); fclose(infile); return; } @@ -152,7 +149,7 @@ void ProcessCall(int32_t subnum, int32_t mode) methnum++; if (methnum == subnum) { - fprintf(tracefile, "call %s:%s\n", objname[methodlev], linebuf); + fprintf(tracefile, "call %s:%s%s", objname[methodlev], linebuf, NEW_LINE); fclose(infile); return; } @@ -270,7 +267,7 @@ void PrintOp(SpinVarsT *spinvars) int32_t opcode; int32_t opform = 0; char *opstr; - int exop1, exop2; + //int exop1, exop2; int32_t val; int32_t operand; char *regop[] = {"ldreg", "streg", "exreg", "??reg"}; @@ -281,8 +278,8 @@ void PrintOp(SpinVarsT *spinvars) if (spinvars->state != 1) return; opcode = BYTE(pcurr); - exop1 = GetOpIndex(opcode); - exop2 = -1; + //exop1 = GetOpIndex(opcode); + //exop2 = -1; opstr = FindOpcode(pcurr, &opform, 0); memset(bytestr, ' ', 40); @@ -418,7 +415,7 @@ void PrintOp(SpinVarsT *spinvars) { char *loadstr = ""; opcode = BYTE(pcurr); - exop2 = GetExOpIndex(opcode); + //exop2 = GetExOpIndex(opcode); if (opcode & 0x80) { loadstr = "load"; @@ -453,7 +450,7 @@ void PrintOp(SpinVarsT *spinvars) pcurr++; } bytestr[strlen(bytestr)] = ' '; - fprintf(tracefile, "%s %s\n", bytestr, symstr); + fprintf(tracefile, "%s %s%s", bytestr, symstr, NEW_LINE); //fprintf(tracefile, "%s [%2d,%2d] %s\n", bytestr, exop1, exop2, symstr); } @@ -561,14 +558,14 @@ void PrintStats(void) } exname = optable[k].opname; } - fprintf(tracefile, "%10d, %2.2x:%2.2x, %s:%s\n", - exopcount[opindex][j], i, exop, opname, exname); + fprintf(tracefile, "%10d, %2.2x:%2.2x, %s:%s%s", + exopcount[opindex][j], i, exop, opname, exname, NEW_LINE); } } else { - fprintf(tracefile, "%10d, %2.2x, %s\n", opcount[i], i, opname); + fprintf(tracefile, "%10d, %2.2x, %s%s", opcount[i], i, opname, NEW_LINE); } } } diff --git a/spininterp.c b/spininterp.c index 8abfc6e..fd0694f 100755 --- a/spininterp.c +++ b/spininterp.c @@ -7,14 +7,11 @@ #include #include #include -#ifdef LINUX #include "conion.h" -#else -#include -#endif #include #include #include "interp.h" +#include "spinsim.h" extern int32_t printflag; extern PasmVarsT PasmVars[8]; @@ -22,11 +19,11 @@ extern char *hubram; extern int32_t memsize; extern int32_t loopcount; extern int32_t cycleaccurate; -extern int32_t proptwo; -extern int32_t pin_val; +extern int32_t propmode; +extern int32_t pin_val_a; -extern char lockstate[8]; -extern char lockalloc[8]; +extern char lockstate[16]; +extern char lockalloc[16]; extern FILE *tracefile; @@ -48,8 +45,12 @@ int32_t MAP_ADDR(int32_t addr) } else if (((uint32_t)addr) >= memsize) { - fprintf(tracefile, "MAP_ADDR: address out of bounds %8.8x\n", addr); +#if 0 + fprintf(tracefile, "MAP_ADDR(%d): address out of bounds %8.8x\n", loopcount, addr); addr = memsize + 12; +#else + addr &= memsize - 1; +#endif } //fprintf(tracefile, "MAP_ADDR: %8.8x %8.8x\n", addr, ((uint32_t *)hubram)[addr>>2]); @@ -62,7 +63,7 @@ int32_t GetCnt() if (cycleaccurate) { - if (proptwo) + if (propmode >= 2) cycles = loopcount; else cycles = loopcount * 4; @@ -98,7 +99,7 @@ void UpdatePins(void) mask |= mask1; } } - pin_val = (~mask) | val; + pin_val_a = (~mask) | val; } int32_t GetSignedOffset(int32_t *ppcurr) @@ -398,7 +399,7 @@ void ExecuteLowerOp(SpinVarsT *spinvars) pcurr = 0xfffc; } else - fprintf(tracefile, "%4.4x %2.2x - NOT IMPLEMENTED\n", pcurr - 1, opcode); + fprintf(tracefile, "%4.4x %2.2x - NOT IMPLEMENTED%s", pcurr - 1, opcode, NEW_LINE); } else if (opcode >= 0x16 && opcode <= 0x23) { @@ -729,7 +730,7 @@ void ExecuteLowerOp(SpinVarsT *spinvars) } else { - fprintf(tracefile, "NOT PROCESSED\n"); + fprintf(tracefile, "NOT PROCESSED%s", NEW_LINE); } spinvars->pcurr = pcurr; spinvars->dcurr = dcurr; @@ -787,7 +788,7 @@ void ExecuteRegisterOp(SpinVarsT *spinvars, int32_t operand, int32_t msb, int32_ if (operand == 0x11) // cnt = $1f1 parm1 = GetCnt(); else if (operand == 0x12) // ina = $1f2 - parm1 = pin_val; + parm1 = pin_val_a; else parm1 = reg[operand]; parm1 = (parm1 >> lsb) & mask; @@ -804,7 +805,7 @@ void ExecuteRegisterOp(SpinVarsT *spinvars, int32_t operand, int32_t msb, int32_ if (operand == 0x11) // cnt = $1f1 parm1 = GetCnt(); else if (operand == 0x12) // ina = $1f2 - parm1 = pin_val; + parm1 = pin_val_a; else parm1 = reg[operand]; } @@ -825,7 +826,7 @@ void ExecuteRegisterOp(SpinVarsT *spinvars, int32_t operand, int32_t msb, int32_ dcurr = spinvars->dcurr; } else - fprintf(tracefile, "Undefined register operation\n"); + fprintf(tracefile, "Undefined register operation%s", NEW_LINE); spinvars->pcurr = pcurr; spinvars->dcurr = dcurr; @@ -1040,7 +1041,7 @@ int32_t ExecuteExtraOp(SpinVarsT *spinvars, int32_t opcode, int32_t parm1, int32 } else { - fprintf(tracefile, "NOT IMPLEMENTED\n"); + fprintf(tracefile, "NOT IMPLEMENTED%s", NEW_LINE); parm2 = 0; } @@ -1264,7 +1265,7 @@ int32_t ExecuteMathOp(SpinVarsT *spinvars, int32_t opcode, int32_t parm1) break; default: - fprintf(tracefile, "NOT PROCESSED\n"); + fprintf(tracefile, "NOT PROCESSED%s", NEW_LINE); } // Push the result back to the stack diff --git a/spinsim.c b/spinsim.c index 1d185f2..d6b5fc5 100755 --- a/spinsim.c +++ b/spinsim.c @@ -1,34 +1,23 @@ /******************************************************************************* ' Author: Dave Hein -' Version 0.75 -' Copyright (c) 2010 - 2014 +' Version 0.97 +' Copyright (c) 2010 - 2017 ' See end of file for terms of use. '******************************************************************************/ #include #include -#include #include #include #include -#ifdef LINUX #include #include +#include "spinsim.h" #include "conion.h" -#else -#include -#include -#endif #include "interp.h" #include "rom.h" #include "spindebug.h" #include "eeprom.h" -// Define system I/O addresses and commands -//#define SYS_COMMAND 0x12340000 -//#define SYS_LOCKNUM 0x12340002 -//#define SYS_PARM 0x12340004 -//#define SYS_DEBUG 0x12340008 - #define SYS_CON_PUTCH 1 #define SYS_CON_GETCH 2 #define SYS_FILE_OPEN 3 @@ -57,8 +46,8 @@ char *extram[4]; int32_t extmemsize[4]; uint32_t extmembase[4]; int32_t extmemnum = 0; -char lockstate[8]; -char lockalloc[8]; +char lockstate[16]; +char lockalloc[16]; char objname[100][20]; int32_t methodnum[100]; @@ -71,19 +60,26 @@ int32_t profile = 0; int32_t memsize = 64; int32_t cycleaccurate = 0; int32_t loopcount = 0; -int32_t proptwo = 0; +int32_t propmode = 0; int32_t baudrate = 0; -int32_t pin_val = -1; +int32_t pin_val_a = -1; +int32_t pin_val_b = -1; int32_t gdbmode = 0; int32_t eeprom = 0; int32_t debugmode = 0; int32_t printbreak = 0; +SerialT serial_in; +SerialT serial_out; +int32_t fjmpflag = 0; +int32_t nohubslots = 0; +int32_t pstmode = 0; +int32_t kludge = 0; FILE *logfile = NULL; FILE *tracefile = NULL; FILE *cmdfile = NULL; -PasmVarsT PasmVars[8]; +PasmVarsT PasmVars[16]; void PrintOp(SpinVarsT *spinvars); void ExecuteOp(SpinVarsT *spinvars); @@ -91,17 +87,19 @@ char *FindChar(char *str, int32_t val); void Debug(void); int32_t RunProp(int32_t maxloops); void gdb(void); +void UpdateRWlongFlags(void); void spinsim_exit(int32_t exitcode) { -// dbetz: not defined for Windows and a nop for anything else -// restore_console_io(); +#ifndef __MINGW32__ + restore_console_io(); +#endif exit(exitcode); } void usage(void) { - fprintf(stderr, "Spinsim Version 0.75\n"); + fprintf(stderr, "Spinsim Version 0.97\n"); fprintf(stderr, "usage: spinsim [options] file\n"); fprintf(stderr, "The options are as follows:\n"); fprintf(stderr, " -v# Set verbosity level\n"); @@ -112,7 +110,7 @@ void usage(void) fprintf(stderr, " -P Profile Spin opcode usage\n"); fprintf(stderr, " -m# Set the hub memory size to # K-bytes\n"); //fprintf(stderr, " -c Enable cycle-accurate mode for pasm cogs\n"); - fprintf(stderr, " -t Enable the Prop 2 mode\n"); + fprintf(stderr, " -t# Enable the Prop 2 mode. # specifies options\n"); fprintf(stderr, " -b# Enable the serial port and set the baudrate to # (default 115200)\n"); fprintf(stderr, " -gdb Operate as a GDB target over stdin/stdout\n"); fprintf(stderr, " -L Log GDB remote comm to \n"); @@ -120,6 +118,7 @@ void usage(void) //fprintf(stderr, " -x# Set the external memory size to # K-bytes\n"); fprintf(stderr, " -e Use eeprom.dat\n"); fprintf(stderr, " -d Use debugger\n"); + fprintf(stderr, " -pst Use PST mode\n"); spinsim_exit(1); } @@ -129,6 +128,7 @@ void putchx(int32_t val) fflush(stdout); } +#if 0 int32_t getchx(void) { uint8_t val = 0; @@ -137,6 +137,7 @@ int32_t getchx(void) if (val == 10) val = 13; return val; } +#endif char *FindExtMem(uint32_t addr, int32_t num) { @@ -269,7 +270,7 @@ void CheckCommand(void) pdirent = readdir(pdir); if (pdirent) { -#ifdef LINUX +#if 1 FILE *infile; int32_t d_size = 0; int32_t d_attr = 0; @@ -321,7 +322,6 @@ void CheckCommand(void) { char *path = (char *)&BYTE(parm); char fullpath[200]; - char *ptr; if (path[0] == '/') { strcpy(fullpath, rootdir); @@ -330,8 +330,8 @@ void CheckCommand(void) else strcpy(fullpath, path); - ptr = fullpath; -#ifndef LINUX +#if 0 + char *ptr = fullpath; while (*ptr) { if (*ptr == '/') *ptr = 0x5c; @@ -343,20 +343,15 @@ void CheckCommand(void) } else if (command == SYS_FILE_GETCWD) { - char *ptr; char *str = (char *)&BYTE(LONG(parm)); int32_t num = LONG(parm+4); - ptr = getcwd(str, num); + getcwd(str, num); LONG(SYS_PARM) = LONG(parm); } else if (command == SYS_FILE_MKDIR) { - //char *fname = (char *)&BYTE(parm); -#ifdef LINUX #if 0 - LONG(SYS_PARM) = mkdir(fname, S_IRWXU | S_IRWXG | S_IRWXO); -#endif -#else + char *fname = (char *)&BYTE(parm); LONG(SYS_PARM) = mkdir(fname); #endif } @@ -372,7 +367,7 @@ void CheckCommand(void) if (!pdirent) break; if (strcmp(pdirent->d_name, fname) == 0) { -#ifdef LINUX +#if 1 #if 0 int32_t d_type = pdirent->d_type; attrib = 0; @@ -461,141 +456,130 @@ void CheckCommand(void) WORD(SYS_COMMAND) = 0; } -int CheckSerialIn(void) +void SerialInit(SerialT *serial, int pin_num, int bitcycles, int mode) { - static int state = 0; - static int count = 0; - static int val; + serial->flag = 0; + serial->state = 0; + serial->count = 0; + serial->mode = mode; + serial->pin_num = pin_num; + serial->bitcycles = bitcycles; +} - if (state == 0) +int SerialSend(SerialT *serial, int portval) +{ + int bitval; + int flipbit = serial->mode & 1; + int pin_num = serial->pin_num; + + if (serial->state == 0) { - if (kbhit1()) + if (serial->flag) { - val = getch(); - if (val == 0x1d) return 1; - val |= 0x300; - if (proptwo) - count = 80000000 / baudrate; - else - { - count = LONG(0) / baudrate; - count >>= 2; - } - //if (!proptwo) count >>= 2; - pin_val &= 0x7fffffff; - state = 1; + serial->value |= 0x300; + serial->count = serial->bitcycles; + portval = (portval & ~(1 << pin_num)) | (flipbit << pin_num); + serial->state = 1; + //printf("portval = %8.8x\n", portval); } } - else if (--count <= 0) + else if (--serial->count <= 0) { - if (++state > 11) + if (++serial->state > 11) { - state = 0; + serial->flag = 0; + serial->state = 0; } else { - pin_val = (pin_val & 0x7fffffff) | ((val & 1) << 31); - val >>= 1; -#if 0 - count = LONG(0) / baudrate; - if (!proptwo) count >>= 2; -#endif - if (proptwo) - count = 80000000 / baudrate; - else - { - count = LONG(0) / baudrate; - count >>= 2; - } + bitval = (serial->value & 1) ^ flipbit; + portval = (portval & ~(1 << pin_num)) | (bitval << pin_num); + serial->value >>= 1; + serial->count = serial->bitcycles; + //printf("portval = %8.8x\n", portval); } } + return portval; +} + +int CheckSerialIn(SerialT *serial) +{ + int value; + + if (propmode == 2) + pin_val_b = SerialSend(serial, pin_val_b); + else + pin_val_a = SerialSend(serial, pin_val_a); + if (!serial->flag && kbhit1()) + { + value = getch(); +//printf("CheckSerialIn: value = %x\n", value); + if (value == 0x1d) return 1; + serial->flag = 1; + serial->value = value; + } return 0; } - -void CheckSerialOut(void) + +void SerialReceive(SerialT *serial, int portval) { - int txbit = 0; - static int val; - static int state = -2; - static int count; - //static int txbit0 = 0; + int bitval = ((portval >> serial->pin_num) & 1) ^ (serial->mode & 1); - txbit = (pin_val >> 30) & 1; - - //if (txbit != txbit0) fprintf(stderr, "txbit = %d, loopcount = %d\n", txbit, loopcount); - //txbit0 = txbit; - - - if (state == -2) + if (serial->state == 0) { - if (txbit) - { - state = -1; - //fprintf(stderr, "Start Serial\n"); - } + if (bitval) + serial->state = 1; } - else if (state == -1) + else if (serial->state == 1) { - if (!txbit) + if (!bitval) { - val = 0; - state = 0; -#if 0 - count = LONG(0) / baudrate; - if (!proptwo) count >>= 2; -#endif - if (proptwo) - count = 80000000 / baudrate; - else - { - count = LONG(0) / baudrate; - count >>= 2; - } - count += count >> 1; + serial->value = 0; + serial->state = 2; + serial->count = serial->bitcycles; + serial->count += serial->count >> 1; } } else { - if (--count <= 0) + if (--serial->count <= 0) { - if (state > 7) + if (serial->state > 9) { - state = -1; -#if 1 - if (val == 13) - putchx(10); - else - putchx(val); -#else - printf("<%2.2x>\n", val); -#endif + serial->flag = 1; + serial->state = 1; } else { - //fprintf(stderr, "%d", txbit); - val |= txbit << state; -#if 0 - count = LONG(0) / baudrate; - if (!proptwo) count >>= 2; -#endif - if (proptwo) - count = 80000000 / baudrate; - else - { - count = LONG(0) / baudrate; - count >>= 2; - } - state++; + serial->value |= bitval << (serial->state - 2); + serial->count = serial->bitcycles; + serial->state++; } } } } +void CheckSerialOut(SerialT *serial) +{ + if (propmode == 2) + SerialReceive(serial, pin_val_b); + else + SerialReceive(serial, pin_val_a); + if (serial->flag) + { + serial->flag = 0; + if (serial->value == 13 && pstmode) + putchx(10); + else + putchx(serial->value); + } +} + void PrintStack(SpinVarsT *spinvars) { int32_t dcurr = spinvars->dcurr; - printf("PrintStack: %4.4x %8.8x %8.8x %8.8x\n", - dcurr, LONG(dcurr-4), LONG(dcurr-8), LONG(dcurr-12)); + printf("PrintStack: %4.4x %8.8x %8.8x %8.8x%s", + dcurr, LONG(dcurr-4), LONG(dcurr-8), LONG(dcurr-12), NEW_LINE); } char *bootfile; @@ -606,10 +590,11 @@ void RebootProp(void) int32_t dbase; char *ptr; FILE *infile; + int32_t bitcycles; - if (!proptwo) memset(hubram, 0, 32768); - memset(lockstate, 0, 8); - memset(lockalloc, 0, 8); + if (!propmode) memset(hubram, 0, 32768); + memset(lockstate, 0, 16); + memset(lockalloc, 0, 16); chdir(rootdir); @@ -625,12 +610,12 @@ void RebootProp(void) spinsim_exit(1); } - i = fread(hubram, 1, 32768, infile); + i = fread(hubram, 1, memsize, infile); fclose(infile); } // Copy in the ROM contents - if (!proptwo) + if (!propmode) { memcpy(hubram + 32768, romdata, 32768); dbase = WORD(10); @@ -643,12 +628,15 @@ void RebootProp(void) WORD(SYS_LOCKNUM) = 1; lockalloc[0] = 1; - for (i = 0; i < 8; i++) PasmVars[i].state = 0; + for (i = 0; i < 16; i++) PasmVars[i].state = 0; if (pasmspin) { - if (proptwo) - StartPasmCog2(&PasmVars[0], 0, 0x0e00, 0); + if (propmode == 2) + { + //StartPasmCog2(&PasmVars[0], 0, 0x0e00, 0); + StartPasmCog2(&PasmVars[0], 0, 0x0000, 0, 0); + } else StartPasmCog(&PasmVars[0], 0x0004, 0xf004, 0); } @@ -677,6 +665,16 @@ void RebootProp(void) methodlev = 1; } + + if (baudrate) + { + if (propmode) + bitcycles = 60000000 / baudrate; + else + bitcycles = (LONG(0) / baudrate) >> 2; + SerialInit(&serial_in, 31, bitcycles, 2); + SerialInit(&serial_out, 30, bitcycles, 2); + } //LONG(SYS_DEBUG) = printflag; } @@ -687,7 +685,8 @@ int step_chip(void) int runflag = 0; int breakflag = 0; SpinVarsT *spinvars; - for (i = 0; i < 8; i++) + if (propmode == 2) UpdateRWlongFlags(); + for (i = 0; i < 16; i++) { state = PasmVars[i].state; PasmVars[i].printflag = (LONG(SYS_DEBUG) >> (i*4)) & 15; @@ -695,22 +694,22 @@ int step_chip(void) { if (PasmVars[i].printflag && state == 5) { - if (!proptwo) + if (!propmode) { fprintf(tracefile, "Cog %d: ", i); DebugPasmInstruction(&PasmVars[i]); } } - if (proptwo) + if (propmode == 2) { breakflag = ExecutePasmInstruction2(&PasmVars[i]); if (PasmVars[i].printflag && state == 5) - fprintf(tracefile, "\n"); + fprintf(tracefile, NEW_LINE); } else { ExecutePasmInstruction(&PasmVars[i]); - if (PasmVars[i].printflag && state == 5) printf("\n"); + if (PasmVars[i].printflag && state == 5) fprintf(tracefile, NEW_LINE); } if (!breakflag && !(printbreak && PasmVars[i].printflag && state == 5)) @@ -736,13 +735,12 @@ int step_chip(void) int main(int argc, char **argv) { - char *ptr; char *fname = 0; int32_t i; int32_t maxloops = -1; tracefile = stdout; - ptr = getcwd(rootdir, 100); + getcwd(rootdir, 100); for (i = 1; i < argc; i++) { @@ -759,18 +757,25 @@ int main(int argc, char **argv) fprintf(stderr, "Unable to open trace file %s.\n", argv[i]); spinsim_exit(1); } - } else if (strcmp(argv[i], "-t") == 0) + } + else if (strncmp(argv[i], "-t", 2) == 0) { - proptwo = 1; + propmode = 2; pasmspin = 1; - memsize = 256; + memsize = 512; cycleaccurate = 1; + fjmpflag = argv[i][2] & 1; + nohubslots = (argv[i][2] & 2) >> 1; } else if (strcmp(argv[i], "-p") == 0) { pasmspin = 1; cycleaccurate = 1; } + else if (strcmp(argv[i], "-pst") == 0) + pstmode = 1; + else if (strcmp(argv[i], "-k") == 0) + kludge = 1; else if (strcmp(argv[i], "-s") == 0) symflag = 1; else if (strcmp(argv[i], "-P") == 0) @@ -891,15 +896,18 @@ int main(int argc, char **argv) if (!fname && !gdbmode && !eeprom) usage(); RebootProp(); -// dbetz: not defined for Windows and a nop for anything else -// initialize_console_io(); +#ifndef __MINGW32__ + initialize_console_io(); +#endif if (gdbmode) gdb(); else if (debugmode) Debug(); else RunProp(maxloops); -// restore_console_io(); +#ifndef __MINGW32__ + restore_console_io(); +#endif if (eeprom) EEPromClose(); if (profile) PrintStats(); return 0; diff --git a/spinsim.h b/spinsim.h index aeb77a0..f98faa4 100755 --- a/spinsim.h +++ b/spinsim.h @@ -1,13 +1,21 @@ +#include + int step_chip(void); -int CheckSerialIn(void); +//int CheckSerialIn(SerialT *serial); void CheckCommand(void); void putchx(int32_t val); -void CheckSerialOut(void); +//void CheckSerialOut(SerialT *serial); void spinsim_exit(int32_t exitcode); -#define WAIT_CNT 01 -#define WAIT_MULT 02 -#define WAIT_PIN 03 -#define WAIT_HUB 16 -#define WAIT_CACHE 17 +#define WAIT_CNT 01 +#define WAIT_CORDIC 02 +#define WAIT_PIN 03 +#define WAIT_HUB 16 +#define WAIT_CACHE 17 +#define WAIT_FLAG 18 +#ifdef __MINGW32__ +#define NEW_LINE "\n" +#else +#define NEW_LINE "\r\n" +#endif diff --git a/verify/testhdw.txt b/verify/testhdw.txt new file mode 100755 index 0000000..787ac52 --- /dev/null +++ b/verify/testhdw.txt @@ -0,0 +1,18504 @@ +ror ---D---- ---S---- CZ = ---Q---- CZ +00 000 00000000 00000000 00 = 00000000 01 +00 001 00000000 00000000 01 = 00000000 01 +00 002 00000000 00000000 10 = 00000000 01 +00 003 00000000 00000000 11 = 00000000 01 +00 004 00000001 00000000 00 = 00000001 10 +00 005 00000001 00000000 01 = 00000001 10 +00 006 00000001 00000000 10 = 00000001 10 +00 007 00000001 00000000 11 = 00000001 10 +00 008 00000002 00000000 00 = 00000002 00 +00 009 00000002 00000000 01 = 00000002 00 +00 00A 00000002 00000000 10 = 00000002 00 +00 00B 00000002 00000000 11 = 00000002 00 +00 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +00 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +00 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +00 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +00 010 80000000 00000000 00 = 80000000 00 +00 011 80000000 00000000 01 = 80000000 00 +00 012 80000000 00000000 10 = 80000000 00 +00 013 80000000 00000000 11 = 80000000 00 +00 014 80000001 00000000 00 = 80000001 10 +00 015 80000001 00000000 01 = 80000001 10 +00 016 80000001 00000000 10 = 80000001 10 +00 017 80000001 00000000 11 = 80000001 10 +00 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +00 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +00 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +00 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +00 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +00 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +00 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +00 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +00 020 00000000 00000001 00 = 00000000 01 +00 021 00000000 00000001 01 = 00000000 01 +00 022 00000000 00000001 10 = 00000000 01 +00 023 00000000 00000001 11 = 00000000 01 +00 024 00000001 00000001 00 = 80000000 10 +00 025 00000001 00000001 01 = 80000000 10 +00 026 00000001 00000001 10 = 80000000 10 +00 027 00000001 00000001 11 = 80000000 10 +00 028 00000002 00000001 00 = 00000001 00 +00 029 00000002 00000001 01 = 00000001 00 +00 02A 00000002 00000001 10 = 00000001 00 +00 02B 00000002 00000001 11 = 00000001 00 +00 02C 7FFFFFFF 00000001 00 = BFFFFFFF 10 +00 02D 7FFFFFFF 00000001 01 = BFFFFFFF 10 +00 02E 7FFFFFFF 00000001 10 = BFFFFFFF 10 +00 02F 7FFFFFFF 00000001 11 = BFFFFFFF 10 +00 030 80000000 00000001 00 = 40000000 00 +00 031 80000000 00000001 01 = 40000000 00 +00 032 80000000 00000001 10 = 40000000 00 +00 033 80000000 00000001 11 = 40000000 00 +00 034 80000001 00000001 00 = C0000000 10 +00 035 80000001 00000001 01 = C0000000 10 +00 036 80000001 00000001 10 = C0000000 10 +00 037 80000001 00000001 11 = C0000000 10 +00 038 FFFFFFFE 00000001 00 = 7FFFFFFF 00 +00 039 FFFFFFFE 00000001 01 = 7FFFFFFF 00 +00 03A FFFFFFFE 00000001 10 = 7FFFFFFF 00 +00 03B FFFFFFFE 00000001 11 = 7FFFFFFF 00 +00 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +00 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +00 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +00 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +00 040 00000000 00000002 00 = 00000000 01 +00 041 00000000 00000002 01 = 00000000 01 +00 042 00000000 00000002 10 = 00000000 01 +00 043 00000000 00000002 11 = 00000000 01 +00 044 00000001 00000002 00 = 40000000 00 +00 045 00000001 00000002 01 = 40000000 00 +00 046 00000001 00000002 10 = 40000000 00 +00 047 00000001 00000002 11 = 40000000 00 +00 048 00000002 00000002 00 = 80000000 10 +00 049 00000002 00000002 01 = 80000000 10 +00 04A 00000002 00000002 10 = 80000000 10 +00 04B 00000002 00000002 11 = 80000000 10 +00 04C 7FFFFFFF 00000002 00 = DFFFFFFF 10 +00 04D 7FFFFFFF 00000002 01 = DFFFFFFF 10 +00 04E 7FFFFFFF 00000002 10 = DFFFFFFF 10 +00 04F 7FFFFFFF 00000002 11 = DFFFFFFF 10 +00 050 80000000 00000002 00 = 20000000 00 +00 051 80000000 00000002 01 = 20000000 00 +00 052 80000000 00000002 10 = 20000000 00 +00 053 80000000 00000002 11 = 20000000 00 +00 054 80000001 00000002 00 = 60000000 00 +00 055 80000001 00000002 01 = 60000000 00 +00 056 80000001 00000002 10 = 60000000 00 +00 057 80000001 00000002 11 = 60000000 00 +00 058 FFFFFFFE 00000002 00 = BFFFFFFF 10 +00 059 FFFFFFFE 00000002 01 = BFFFFFFF 10 +00 05A FFFFFFFE 00000002 10 = BFFFFFFF 10 +00 05B FFFFFFFE 00000002 11 = BFFFFFFF 10 +00 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +00 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 +00 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +00 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +00 060 00000000 7FFFFFFF 00 = 00000000 01 +00 061 00000000 7FFFFFFF 01 = 00000000 01 +00 062 00000000 7FFFFFFF 10 = 00000000 01 +00 063 00000000 7FFFFFFF 11 = 00000000 01 +00 064 00000001 7FFFFFFF 00 = 00000002 00 +00 065 00000001 7FFFFFFF 01 = 00000002 00 +00 066 00000001 7FFFFFFF 10 = 00000002 00 +00 067 00000001 7FFFFFFF 11 = 00000002 00 +00 068 00000002 7FFFFFFF 00 = 00000004 00 +00 069 00000002 7FFFFFFF 01 = 00000004 00 +00 06A 00000002 7FFFFFFF 10 = 00000004 00 +00 06B 00000002 7FFFFFFF 11 = 00000004 00 +00 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 +00 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 +00 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 +00 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 +00 070 80000000 7FFFFFFF 00 = 00000001 00 +00 071 80000000 7FFFFFFF 01 = 00000001 00 +00 072 80000000 7FFFFFFF 10 = 00000001 00 +00 073 80000000 7FFFFFFF 11 = 00000001 00 +00 074 80000001 7FFFFFFF 00 = 00000003 00 +00 075 80000001 7FFFFFFF 01 = 00000003 00 +00 076 80000001 7FFFFFFF 10 = 00000003 00 +00 077 80000001 7FFFFFFF 11 = 00000003 00 +00 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFD 10 +00 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFD 10 +00 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFD 10 +00 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFD 10 +00 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +00 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +00 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +00 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +00 080 00000000 80000000 00 = 00000000 01 +00 081 00000000 80000000 01 = 00000000 01 +00 082 00000000 80000000 10 = 00000000 01 +00 083 00000000 80000000 11 = 00000000 01 +00 084 00000001 80000000 00 = 00000001 10 +00 085 00000001 80000000 01 = 00000001 10 +00 086 00000001 80000000 10 = 00000001 10 +00 087 00000001 80000000 11 = 00000001 10 +00 088 00000002 80000000 00 = 00000002 00 +00 089 00000002 80000000 01 = 00000002 00 +00 08A 00000002 80000000 10 = 00000002 00 +00 08B 00000002 80000000 11 = 00000002 00 +00 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +00 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +00 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +00 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +00 090 80000000 80000000 00 = 80000000 00 +00 091 80000000 80000000 01 = 80000000 00 +00 092 80000000 80000000 10 = 80000000 00 +00 093 80000000 80000000 11 = 80000000 00 +00 094 80000001 80000000 00 = 80000001 10 +00 095 80000001 80000000 01 = 80000001 10 +00 096 80000001 80000000 10 = 80000001 10 +00 097 80000001 80000000 11 = 80000001 10 +00 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +00 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +00 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +00 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +00 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +00 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +00 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +00 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +00 0A0 00000000 80000001 00 = 00000000 01 +00 0A1 00000000 80000001 01 = 00000000 01 +00 0A2 00000000 80000001 10 = 00000000 01 +00 0A3 00000000 80000001 11 = 00000000 01 +00 0A4 00000001 80000001 00 = 80000000 10 +00 0A5 00000001 80000001 01 = 80000000 10 +00 0A6 00000001 80000001 10 = 80000000 10 +00 0A7 00000001 80000001 11 = 80000000 10 +00 0A8 00000002 80000001 00 = 00000001 00 +00 0A9 00000002 80000001 01 = 00000001 00 +00 0AA 00000002 80000001 10 = 00000001 00 +00 0AB 00000002 80000001 11 = 00000001 00 +00 0AC 7FFFFFFF 80000001 00 = BFFFFFFF 10 +00 0AD 7FFFFFFF 80000001 01 = BFFFFFFF 10 +00 0AE 7FFFFFFF 80000001 10 = BFFFFFFF 10 +00 0AF 7FFFFFFF 80000001 11 = BFFFFFFF 10 +00 0B0 80000000 80000001 00 = 40000000 00 +00 0B1 80000000 80000001 01 = 40000000 00 +00 0B2 80000000 80000001 10 = 40000000 00 +00 0B3 80000000 80000001 11 = 40000000 00 +00 0B4 80000001 80000001 00 = C0000000 10 +00 0B5 80000001 80000001 01 = C0000000 10 +00 0B6 80000001 80000001 10 = C0000000 10 +00 0B7 80000001 80000001 11 = C0000000 10 +00 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 +00 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 +00 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 00 +00 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 00 +00 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 +00 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 +00 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +00 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 +00 0C0 00000000 FFFFFFFE 00 = 00000000 01 +00 0C1 00000000 FFFFFFFE 01 = 00000000 01 +00 0C2 00000000 FFFFFFFE 10 = 00000000 01 +00 0C3 00000000 FFFFFFFE 11 = 00000000 01 +00 0C4 00000001 FFFFFFFE 00 = 00000004 00 +00 0C5 00000001 FFFFFFFE 01 = 00000004 00 +00 0C6 00000001 FFFFFFFE 10 = 00000004 00 +00 0C7 00000001 FFFFFFFE 11 = 00000004 00 +00 0C8 00000002 FFFFFFFE 00 = 00000008 00 +00 0C9 00000002 FFFFFFFE 01 = 00000008 00 +00 0CA 00000002 FFFFFFFE 10 = 00000008 00 +00 0CB 00000002 FFFFFFFE 11 = 00000008 00 +00 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFD 10 +00 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFD 10 +00 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFD 10 +00 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFD 10 +00 0D0 80000000 FFFFFFFE 00 = 00000002 00 +00 0D1 80000000 FFFFFFFE 01 = 00000002 00 +00 0D2 80000000 FFFFFFFE 10 = 00000002 00 +00 0D3 80000000 FFFFFFFE 11 = 00000002 00 +00 0D4 80000001 FFFFFFFE 00 = 00000006 00 +00 0D5 80000001 FFFFFFFE 01 = 00000006 00 +00 0D6 80000001 FFFFFFFE 10 = 00000006 00 +00 0D7 80000001 FFFFFFFE 11 = 00000006 00 +00 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFB 10 +00 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFB 10 +00 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFB 10 +00 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFB 10 +00 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +00 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 +00 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +00 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +00 0E0 00000000 FFFFFFFF 00 = 00000000 01 +00 0E1 00000000 FFFFFFFF 01 = 00000000 01 +00 0E2 00000000 FFFFFFFF 10 = 00000000 01 +00 0E3 00000000 FFFFFFFF 11 = 00000000 01 +00 0E4 00000001 FFFFFFFF 00 = 00000002 00 +00 0E5 00000001 FFFFFFFF 01 = 00000002 00 +00 0E6 00000001 FFFFFFFF 10 = 00000002 00 +00 0E7 00000001 FFFFFFFF 11 = 00000002 00 +00 0E8 00000002 FFFFFFFF 00 = 00000004 00 +00 0E9 00000002 FFFFFFFF 01 = 00000004 00 +00 0EA 00000002 FFFFFFFF 10 = 00000004 00 +00 0EB 00000002 FFFFFFFF 11 = 00000004 00 +00 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFE 10 +00 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFE 10 +00 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFE 10 +00 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFE 10 +00 0F0 80000000 FFFFFFFF 00 = 00000001 00 +00 0F1 80000000 FFFFFFFF 01 = 00000001 00 +00 0F2 80000000 FFFFFFFF 10 = 00000001 00 +00 0F3 80000000 FFFFFFFF 11 = 00000001 00 +00 0F4 80000001 FFFFFFFF 00 = 00000003 00 +00 0F5 80000001 FFFFFFFF 01 = 00000003 00 +00 0F6 80000001 FFFFFFFF 10 = 00000003 00 +00 0F7 80000001 FFFFFFFF 11 = 00000003 00 +00 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 10 +00 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 10 +00 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 10 +00 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 10 +00 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +00 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +00 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +00 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +rol ---D---- ---S---- CZ = ---Q---- CZ +01 000 00000000 00000000 00 = 00000000 01 +01 001 00000000 00000000 01 = 00000000 01 +01 002 00000000 00000000 10 = 00000000 01 +01 003 00000000 00000000 11 = 00000000 01 +01 004 00000001 00000000 00 = 00000001 00 +01 005 00000001 00000000 01 = 00000001 00 +01 006 00000001 00000000 10 = 00000001 00 +01 007 00000001 00000000 11 = 00000001 00 +01 008 00000002 00000000 00 = 00000002 00 +01 009 00000002 00000000 01 = 00000002 00 +01 00A 00000002 00000000 10 = 00000002 00 +01 00B 00000002 00000000 11 = 00000002 00 +01 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +01 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +01 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +01 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +01 010 80000000 00000000 00 = 80000000 10 +01 011 80000000 00000000 01 = 80000000 10 +01 012 80000000 00000000 10 = 80000000 10 +01 013 80000000 00000000 11 = 80000000 10 +01 014 80000001 00000000 00 = 80000001 10 +01 015 80000001 00000000 01 = 80000001 10 +01 016 80000001 00000000 10 = 80000001 10 +01 017 80000001 00000000 11 = 80000001 10 +01 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +01 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +01 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +01 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +01 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +01 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +01 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +01 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +01 020 00000000 00000001 00 = 00000000 01 +01 021 00000000 00000001 01 = 00000000 01 +01 022 00000000 00000001 10 = 00000000 01 +01 023 00000000 00000001 11 = 00000000 01 +01 024 00000001 00000001 00 = 00000002 00 +01 025 00000001 00000001 01 = 00000002 00 +01 026 00000001 00000001 10 = 00000002 00 +01 027 00000001 00000001 11 = 00000002 00 +01 028 00000002 00000001 00 = 00000004 00 +01 029 00000002 00000001 01 = 00000004 00 +01 02A 00000002 00000001 10 = 00000004 00 +01 02B 00000002 00000001 11 = 00000004 00 +01 02C 7FFFFFFF 00000001 00 = FFFFFFFE 00 +01 02D 7FFFFFFF 00000001 01 = FFFFFFFE 00 +01 02E 7FFFFFFF 00000001 10 = FFFFFFFE 00 +01 02F 7FFFFFFF 00000001 11 = FFFFFFFE 00 +01 030 80000000 00000001 00 = 00000001 10 +01 031 80000000 00000001 01 = 00000001 10 +01 032 80000000 00000001 10 = 00000001 10 +01 033 80000000 00000001 11 = 00000001 10 +01 034 80000001 00000001 00 = 00000003 10 +01 035 80000001 00000001 01 = 00000003 10 +01 036 80000001 00000001 10 = 00000003 10 +01 037 80000001 00000001 11 = 00000003 10 +01 038 FFFFFFFE 00000001 00 = FFFFFFFD 10 +01 039 FFFFFFFE 00000001 01 = FFFFFFFD 10 +01 03A FFFFFFFE 00000001 10 = FFFFFFFD 10 +01 03B FFFFFFFE 00000001 11 = FFFFFFFD 10 +01 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +01 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +01 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +01 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +01 040 00000000 00000002 00 = 00000000 01 +01 041 00000000 00000002 01 = 00000000 01 +01 042 00000000 00000002 10 = 00000000 01 +01 043 00000000 00000002 11 = 00000000 01 +01 044 00000001 00000002 00 = 00000004 00 +01 045 00000001 00000002 01 = 00000004 00 +01 046 00000001 00000002 10 = 00000004 00 +01 047 00000001 00000002 11 = 00000004 00 +01 048 00000002 00000002 00 = 00000008 00 +01 049 00000002 00000002 01 = 00000008 00 +01 04A 00000002 00000002 10 = 00000008 00 +01 04B 00000002 00000002 11 = 00000008 00 +01 04C 7FFFFFFF 00000002 00 = FFFFFFFD 10 +01 04D 7FFFFFFF 00000002 01 = FFFFFFFD 10 +01 04E 7FFFFFFF 00000002 10 = FFFFFFFD 10 +01 04F 7FFFFFFF 00000002 11 = FFFFFFFD 10 +01 050 80000000 00000002 00 = 00000002 00 +01 051 80000000 00000002 01 = 00000002 00 +01 052 80000000 00000002 10 = 00000002 00 +01 053 80000000 00000002 11 = 00000002 00 +01 054 80000001 00000002 00 = 00000006 00 +01 055 80000001 00000002 01 = 00000006 00 +01 056 80000001 00000002 10 = 00000006 00 +01 057 80000001 00000002 11 = 00000006 00 +01 058 FFFFFFFE 00000002 00 = FFFFFFFB 10 +01 059 FFFFFFFE 00000002 01 = FFFFFFFB 10 +01 05A FFFFFFFE 00000002 10 = FFFFFFFB 10 +01 05B FFFFFFFE 00000002 11 = FFFFFFFB 10 +01 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +01 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 +01 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +01 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +01 060 00000000 7FFFFFFF 00 = 00000000 01 +01 061 00000000 7FFFFFFF 01 = 00000000 01 +01 062 00000000 7FFFFFFF 10 = 00000000 01 +01 063 00000000 7FFFFFFF 11 = 00000000 01 +01 064 00000001 7FFFFFFF 00 = 80000000 00 +01 065 00000001 7FFFFFFF 01 = 80000000 00 +01 066 00000001 7FFFFFFF 10 = 80000000 00 +01 067 00000001 7FFFFFFF 11 = 80000000 00 +01 068 00000002 7FFFFFFF 00 = 00000001 10 +01 069 00000002 7FFFFFFF 01 = 00000001 10 +01 06A 00000002 7FFFFFFF 10 = 00000001 10 +01 06B 00000002 7FFFFFFF 11 = 00000001 10 +01 06C 7FFFFFFF 7FFFFFFF 00 = BFFFFFFF 10 +01 06D 7FFFFFFF 7FFFFFFF 01 = BFFFFFFF 10 +01 06E 7FFFFFFF 7FFFFFFF 10 = BFFFFFFF 10 +01 06F 7FFFFFFF 7FFFFFFF 11 = BFFFFFFF 10 +01 070 80000000 7FFFFFFF 00 = 40000000 00 +01 071 80000000 7FFFFFFF 01 = 40000000 00 +01 072 80000000 7FFFFFFF 10 = 40000000 00 +01 073 80000000 7FFFFFFF 11 = 40000000 00 +01 074 80000001 7FFFFFFF 00 = C0000000 00 +01 075 80000001 7FFFFFFF 01 = C0000000 00 +01 076 80000001 7FFFFFFF 10 = C0000000 00 +01 077 80000001 7FFFFFFF 11 = C0000000 00 +01 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 +01 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 +01 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 +01 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 +01 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +01 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +01 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +01 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +01 080 00000000 80000000 00 = 00000000 01 +01 081 00000000 80000000 01 = 00000000 01 +01 082 00000000 80000000 10 = 00000000 01 +01 083 00000000 80000000 11 = 00000000 01 +01 084 00000001 80000000 00 = 00000001 00 +01 085 00000001 80000000 01 = 00000001 00 +01 086 00000001 80000000 10 = 00000001 00 +01 087 00000001 80000000 11 = 00000001 00 +01 088 00000002 80000000 00 = 00000002 00 +01 089 00000002 80000000 01 = 00000002 00 +01 08A 00000002 80000000 10 = 00000002 00 +01 08B 00000002 80000000 11 = 00000002 00 +01 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +01 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +01 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +01 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +01 090 80000000 80000000 00 = 80000000 10 +01 091 80000000 80000000 01 = 80000000 10 +01 092 80000000 80000000 10 = 80000000 10 +01 093 80000000 80000000 11 = 80000000 10 +01 094 80000001 80000000 00 = 80000001 10 +01 095 80000001 80000000 01 = 80000001 10 +01 096 80000001 80000000 10 = 80000001 10 +01 097 80000001 80000000 11 = 80000001 10 +01 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +01 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 +01 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +01 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 +01 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +01 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +01 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +01 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +01 0A0 00000000 80000001 00 = 00000000 01 +01 0A1 00000000 80000001 01 = 00000000 01 +01 0A2 00000000 80000001 10 = 00000000 01 +01 0A3 00000000 80000001 11 = 00000000 01 +01 0A4 00000001 80000001 00 = 00000002 00 +01 0A5 00000001 80000001 01 = 00000002 00 +01 0A6 00000001 80000001 10 = 00000002 00 +01 0A7 00000001 80000001 11 = 00000002 00 +01 0A8 00000002 80000001 00 = 00000004 00 +01 0A9 00000002 80000001 01 = 00000004 00 +01 0AA 00000002 80000001 10 = 00000004 00 +01 0AB 00000002 80000001 11 = 00000004 00 +01 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 00 +01 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 00 +01 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 00 +01 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 00 +01 0B0 80000000 80000001 00 = 00000001 10 +01 0B1 80000000 80000001 01 = 00000001 10 +01 0B2 80000000 80000001 10 = 00000001 10 +01 0B3 80000000 80000001 11 = 00000001 10 +01 0B4 80000001 80000001 00 = 00000003 10 +01 0B5 80000001 80000001 01 = 00000003 10 +01 0B6 80000001 80000001 10 = 00000003 10 +01 0B7 80000001 80000001 11 = 00000003 10 +01 0B8 FFFFFFFE 80000001 00 = FFFFFFFD 10 +01 0B9 FFFFFFFE 80000001 01 = FFFFFFFD 10 +01 0BA FFFFFFFE 80000001 10 = FFFFFFFD 10 +01 0BB FFFFFFFE 80000001 11 = FFFFFFFD 10 +01 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 +01 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 +01 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +01 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 +01 0C0 00000000 FFFFFFFE 00 = 00000000 01 +01 0C1 00000000 FFFFFFFE 01 = 00000000 01 +01 0C2 00000000 FFFFFFFE 10 = 00000000 01 +01 0C3 00000000 FFFFFFFE 11 = 00000000 01 +01 0C4 00000001 FFFFFFFE 00 = 40000000 00 +01 0C5 00000001 FFFFFFFE 01 = 40000000 00 +01 0C6 00000001 FFFFFFFE 10 = 40000000 00 +01 0C7 00000001 FFFFFFFE 11 = 40000000 00 +01 0C8 00000002 FFFFFFFE 00 = 80000000 00 +01 0C9 00000002 FFFFFFFE 01 = 80000000 00 +01 0CA 00000002 FFFFFFFE 10 = 80000000 00 +01 0CB 00000002 FFFFFFFE 11 = 80000000 00 +01 0CC 7FFFFFFF FFFFFFFE 00 = DFFFFFFF 10 +01 0CD 7FFFFFFF FFFFFFFE 01 = DFFFFFFF 10 +01 0CE 7FFFFFFF FFFFFFFE 10 = DFFFFFFF 10 +01 0CF 7FFFFFFF FFFFFFFE 11 = DFFFFFFF 10 +01 0D0 80000000 FFFFFFFE 00 = 20000000 00 +01 0D1 80000000 FFFFFFFE 01 = 20000000 00 +01 0D2 80000000 FFFFFFFE 10 = 20000000 00 +01 0D3 80000000 FFFFFFFE 11 = 20000000 00 +01 0D4 80000001 FFFFFFFE 00 = 60000000 00 +01 0D5 80000001 FFFFFFFE 01 = 60000000 00 +01 0D6 80000001 FFFFFFFE 10 = 60000000 00 +01 0D7 80000001 FFFFFFFE 11 = 60000000 00 +01 0D8 FFFFFFFE FFFFFFFE 00 = BFFFFFFF 10 +01 0D9 FFFFFFFE FFFFFFFE 01 = BFFFFFFF 10 +01 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFF 10 +01 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFF 10 +01 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +01 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 +01 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +01 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +01 0E0 00000000 FFFFFFFF 00 = 00000000 01 +01 0E1 00000000 FFFFFFFF 01 = 00000000 01 +01 0E2 00000000 FFFFFFFF 10 = 00000000 01 +01 0E3 00000000 FFFFFFFF 11 = 00000000 01 +01 0E4 00000001 FFFFFFFF 00 = 80000000 00 +01 0E5 00000001 FFFFFFFF 01 = 80000000 00 +01 0E6 00000001 FFFFFFFF 10 = 80000000 00 +01 0E7 00000001 FFFFFFFF 11 = 80000000 00 +01 0E8 00000002 FFFFFFFF 00 = 00000001 10 +01 0E9 00000002 FFFFFFFF 01 = 00000001 10 +01 0EA 00000002 FFFFFFFF 10 = 00000001 10 +01 0EB 00000002 FFFFFFFF 11 = 00000001 10 +01 0EC 7FFFFFFF FFFFFFFF 00 = BFFFFFFF 10 +01 0ED 7FFFFFFF FFFFFFFF 01 = BFFFFFFF 10 +01 0EE 7FFFFFFF FFFFFFFF 10 = BFFFFFFF 10 +01 0EF 7FFFFFFF FFFFFFFF 11 = BFFFFFFF 10 +01 0F0 80000000 FFFFFFFF 00 = 40000000 00 +01 0F1 80000000 FFFFFFFF 01 = 40000000 00 +01 0F2 80000000 FFFFFFFF 10 = 40000000 00 +01 0F3 80000000 FFFFFFFF 11 = 40000000 00 +01 0F4 80000001 FFFFFFFF 00 = C0000000 00 +01 0F5 80000001 FFFFFFFF 01 = C0000000 00 +01 0F6 80000001 FFFFFFFF 10 = C0000000 00 +01 0F7 80000001 FFFFFFFF 11 = C0000000 00 +01 0F8 FFFFFFFE FFFFFFFF 00 = 7FFFFFFF 10 +01 0F9 FFFFFFFE FFFFFFFF 01 = 7FFFFFFF 10 +01 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFF 10 +01 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFF 10 +01 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +01 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +01 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +01 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +shr ---D---- ---S---- CZ = ---Q---- CZ +02 000 00000000 00000000 00 = 00000000 01 +02 001 00000000 00000000 01 = 00000000 01 +02 002 00000000 00000000 10 = 00000000 01 +02 003 00000000 00000000 11 = 00000000 01 +02 004 00000001 00000000 00 = 00000001 10 +02 005 00000001 00000000 01 = 00000001 10 +02 006 00000001 00000000 10 = 00000001 10 +02 007 00000001 00000000 11 = 00000001 10 +02 008 00000002 00000000 00 = 00000002 00 +02 009 00000002 00000000 01 = 00000002 00 +02 00A 00000002 00000000 10 = 00000002 00 +02 00B 00000002 00000000 11 = 00000002 00 +02 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +02 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +02 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +02 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +02 010 80000000 00000000 00 = 80000000 00 +02 011 80000000 00000000 01 = 80000000 00 +02 012 80000000 00000000 10 = 80000000 00 +02 013 80000000 00000000 11 = 80000000 00 +02 014 80000001 00000000 00 = 80000001 10 +02 015 80000001 00000000 01 = 80000001 10 +02 016 80000001 00000000 10 = 80000001 10 +02 017 80000001 00000000 11 = 80000001 10 +02 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +02 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +02 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +02 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +02 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +02 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +02 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +02 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +02 020 00000000 00000001 00 = 00000000 01 +02 021 00000000 00000001 01 = 00000000 01 +02 022 00000000 00000001 10 = 00000000 01 +02 023 00000000 00000001 11 = 00000000 01 +02 024 00000001 00000001 00 = 00000000 11 +02 025 00000001 00000001 01 = 00000000 11 +02 026 00000001 00000001 10 = 00000000 11 +02 027 00000001 00000001 11 = 00000000 11 +02 028 00000002 00000001 00 = 00000001 00 +02 029 00000002 00000001 01 = 00000001 00 +02 02A 00000002 00000001 10 = 00000001 00 +02 02B 00000002 00000001 11 = 00000001 00 +02 02C 7FFFFFFF 00000001 00 = 3FFFFFFF 10 +02 02D 7FFFFFFF 00000001 01 = 3FFFFFFF 10 +02 02E 7FFFFFFF 00000001 10 = 3FFFFFFF 10 +02 02F 7FFFFFFF 00000001 11 = 3FFFFFFF 10 +02 030 80000000 00000001 00 = 40000000 00 +02 031 80000000 00000001 01 = 40000000 00 +02 032 80000000 00000001 10 = 40000000 00 +02 033 80000000 00000001 11 = 40000000 00 +02 034 80000001 00000001 00 = 40000000 10 +02 035 80000001 00000001 01 = 40000000 10 +02 036 80000001 00000001 10 = 40000000 10 +02 037 80000001 00000001 11 = 40000000 10 +02 038 FFFFFFFE 00000001 00 = 7FFFFFFF 00 +02 039 FFFFFFFE 00000001 01 = 7FFFFFFF 00 +02 03A FFFFFFFE 00000001 10 = 7FFFFFFF 00 +02 03B FFFFFFFE 00000001 11 = 7FFFFFFF 00 +02 03C FFFFFFFF 00000001 00 = 7FFFFFFF 10 +02 03D FFFFFFFF 00000001 01 = 7FFFFFFF 10 +02 03E FFFFFFFF 00000001 10 = 7FFFFFFF 10 +02 03F FFFFFFFF 00000001 11 = 7FFFFFFF 10 +02 040 00000000 00000002 00 = 00000000 01 +02 041 00000000 00000002 01 = 00000000 01 +02 042 00000000 00000002 10 = 00000000 01 +02 043 00000000 00000002 11 = 00000000 01 +02 044 00000001 00000002 00 = 00000000 01 +02 045 00000001 00000002 01 = 00000000 01 +02 046 00000001 00000002 10 = 00000000 01 +02 047 00000001 00000002 11 = 00000000 01 +02 048 00000002 00000002 00 = 00000000 11 +02 049 00000002 00000002 01 = 00000000 11 +02 04A 00000002 00000002 10 = 00000000 11 +02 04B 00000002 00000002 11 = 00000000 11 +02 04C 7FFFFFFF 00000002 00 = 1FFFFFFF 10 +02 04D 7FFFFFFF 00000002 01 = 1FFFFFFF 10 +02 04E 7FFFFFFF 00000002 10 = 1FFFFFFF 10 +02 04F 7FFFFFFF 00000002 11 = 1FFFFFFF 10 +02 050 80000000 00000002 00 = 20000000 00 +02 051 80000000 00000002 01 = 20000000 00 +02 052 80000000 00000002 10 = 20000000 00 +02 053 80000000 00000002 11 = 20000000 00 +02 054 80000001 00000002 00 = 20000000 00 +02 055 80000001 00000002 01 = 20000000 00 +02 056 80000001 00000002 10 = 20000000 00 +02 057 80000001 00000002 11 = 20000000 00 +02 058 FFFFFFFE 00000002 00 = 3FFFFFFF 10 +02 059 FFFFFFFE 00000002 01 = 3FFFFFFF 10 +02 05A FFFFFFFE 00000002 10 = 3FFFFFFF 10 +02 05B FFFFFFFE 00000002 11 = 3FFFFFFF 10 +02 05C FFFFFFFF 00000002 00 = 3FFFFFFF 10 +02 05D FFFFFFFF 00000002 01 = 3FFFFFFF 10 +02 05E FFFFFFFF 00000002 10 = 3FFFFFFF 10 +02 05F FFFFFFFF 00000002 11 = 3FFFFFFF 10 +02 060 00000000 7FFFFFFF 00 = 00000000 01 +02 061 00000000 7FFFFFFF 01 = 00000000 01 +02 062 00000000 7FFFFFFF 10 = 00000000 01 +02 063 00000000 7FFFFFFF 11 = 00000000 01 +02 064 00000001 7FFFFFFF 00 = 00000000 01 +02 065 00000001 7FFFFFFF 01 = 00000000 01 +02 066 00000001 7FFFFFFF 10 = 00000000 01 +02 067 00000001 7FFFFFFF 11 = 00000000 01 +02 068 00000002 7FFFFFFF 00 = 00000000 01 +02 069 00000002 7FFFFFFF 01 = 00000000 01 +02 06A 00000002 7FFFFFFF 10 = 00000000 01 +02 06B 00000002 7FFFFFFF 11 = 00000000 01 +02 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 11 +02 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 11 +02 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 11 +02 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 11 +02 070 80000000 7FFFFFFF 00 = 00000001 00 +02 071 80000000 7FFFFFFF 01 = 00000001 00 +02 072 80000000 7FFFFFFF 10 = 00000001 00 +02 073 80000000 7FFFFFFF 11 = 00000001 00 +02 074 80000001 7FFFFFFF 00 = 00000001 00 +02 075 80000001 7FFFFFFF 01 = 00000001 00 +02 076 80000001 7FFFFFFF 10 = 00000001 00 +02 077 80000001 7FFFFFFF 11 = 00000001 00 +02 078 FFFFFFFE 7FFFFFFF 00 = 00000001 10 +02 079 FFFFFFFE 7FFFFFFF 01 = 00000001 10 +02 07A FFFFFFFE 7FFFFFFF 10 = 00000001 10 +02 07B FFFFFFFE 7FFFFFFF 11 = 00000001 10 +02 07C FFFFFFFF 7FFFFFFF 00 = 00000001 10 +02 07D FFFFFFFF 7FFFFFFF 01 = 00000001 10 +02 07E FFFFFFFF 7FFFFFFF 10 = 00000001 10 +02 07F FFFFFFFF 7FFFFFFF 11 = 00000001 10 +02 080 00000000 80000000 00 = 00000000 01 +02 081 00000000 80000000 01 = 00000000 01 +02 082 00000000 80000000 10 = 00000000 01 +02 083 00000000 80000000 11 = 00000000 01 +02 084 00000001 80000000 00 = 00000001 10 +02 085 00000001 80000000 01 = 00000001 10 +02 086 00000001 80000000 10 = 00000001 10 +02 087 00000001 80000000 11 = 00000001 10 +02 088 00000002 80000000 00 = 00000002 00 +02 089 00000002 80000000 01 = 00000002 00 +02 08A 00000002 80000000 10 = 00000002 00 +02 08B 00000002 80000000 11 = 00000002 00 +02 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +02 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +02 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +02 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +02 090 80000000 80000000 00 = 80000000 00 +02 091 80000000 80000000 01 = 80000000 00 +02 092 80000000 80000000 10 = 80000000 00 +02 093 80000000 80000000 11 = 80000000 00 +02 094 80000001 80000000 00 = 80000001 10 +02 095 80000001 80000000 01 = 80000001 10 +02 096 80000001 80000000 10 = 80000001 10 +02 097 80000001 80000000 11 = 80000001 10 +02 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +02 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +02 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +02 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +02 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +02 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +02 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +02 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +02 0A0 00000000 80000001 00 = 00000000 01 +02 0A1 00000000 80000001 01 = 00000000 01 +02 0A2 00000000 80000001 10 = 00000000 01 +02 0A3 00000000 80000001 11 = 00000000 01 +02 0A4 00000001 80000001 00 = 00000000 11 +02 0A5 00000001 80000001 01 = 00000000 11 +02 0A6 00000001 80000001 10 = 00000000 11 +02 0A7 00000001 80000001 11 = 00000000 11 +02 0A8 00000002 80000001 00 = 00000001 00 +02 0A9 00000002 80000001 01 = 00000001 00 +02 0AA 00000002 80000001 10 = 00000001 00 +02 0AB 00000002 80000001 11 = 00000001 00 +02 0AC 7FFFFFFF 80000001 00 = 3FFFFFFF 10 +02 0AD 7FFFFFFF 80000001 01 = 3FFFFFFF 10 +02 0AE 7FFFFFFF 80000001 10 = 3FFFFFFF 10 +02 0AF 7FFFFFFF 80000001 11 = 3FFFFFFF 10 +02 0B0 80000000 80000001 00 = 40000000 00 +02 0B1 80000000 80000001 01 = 40000000 00 +02 0B2 80000000 80000001 10 = 40000000 00 +02 0B3 80000000 80000001 11 = 40000000 00 +02 0B4 80000001 80000001 00 = 40000000 10 +02 0B5 80000001 80000001 01 = 40000000 10 +02 0B6 80000001 80000001 10 = 40000000 10 +02 0B7 80000001 80000001 11 = 40000000 10 +02 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 +02 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 +02 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 00 +02 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 00 +02 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 10 +02 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 10 +02 0BE FFFFFFFF 80000001 10 = 7FFFFFFF 10 +02 0BF FFFFFFFF 80000001 11 = 7FFFFFFF 10 +02 0C0 00000000 FFFFFFFE 00 = 00000000 01 +02 0C1 00000000 FFFFFFFE 01 = 00000000 01 +02 0C2 00000000 FFFFFFFE 10 = 00000000 01 +02 0C3 00000000 FFFFFFFE 11 = 00000000 01 +02 0C4 00000001 FFFFFFFE 00 = 00000000 01 +02 0C5 00000001 FFFFFFFE 01 = 00000000 01 +02 0C6 00000001 FFFFFFFE 10 = 00000000 01 +02 0C7 00000001 FFFFFFFE 11 = 00000000 01 +02 0C8 00000002 FFFFFFFE 00 = 00000000 01 +02 0C9 00000002 FFFFFFFE 01 = 00000000 01 +02 0CA 00000002 FFFFFFFE 10 = 00000000 01 +02 0CB 00000002 FFFFFFFE 11 = 00000000 01 +02 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 +02 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 +02 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 10 +02 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 10 +02 0D0 80000000 FFFFFFFE 00 = 00000002 00 +02 0D1 80000000 FFFFFFFE 01 = 00000002 00 +02 0D2 80000000 FFFFFFFE 10 = 00000002 00 +02 0D3 80000000 FFFFFFFE 11 = 00000002 00 +02 0D4 80000001 FFFFFFFE 00 = 00000002 00 +02 0D5 80000001 FFFFFFFE 01 = 00000002 00 +02 0D6 80000001 FFFFFFFE 10 = 00000002 00 +02 0D7 80000001 FFFFFFFE 11 = 00000002 00 +02 0D8 FFFFFFFE FFFFFFFE 00 = 00000003 10 +02 0D9 FFFFFFFE FFFFFFFE 01 = 00000003 10 +02 0DA FFFFFFFE FFFFFFFE 10 = 00000003 10 +02 0DB FFFFFFFE FFFFFFFE 11 = 00000003 10 +02 0DC FFFFFFFF FFFFFFFE 00 = 00000003 10 +02 0DD FFFFFFFF FFFFFFFE 01 = 00000003 10 +02 0DE FFFFFFFF FFFFFFFE 10 = 00000003 10 +02 0DF FFFFFFFF FFFFFFFE 11 = 00000003 10 +02 0E0 00000000 FFFFFFFF 00 = 00000000 01 +02 0E1 00000000 FFFFFFFF 01 = 00000000 01 +02 0E2 00000000 FFFFFFFF 10 = 00000000 01 +02 0E3 00000000 FFFFFFFF 11 = 00000000 01 +02 0E4 00000001 FFFFFFFF 00 = 00000000 01 +02 0E5 00000001 FFFFFFFF 01 = 00000000 01 +02 0E6 00000001 FFFFFFFF 10 = 00000000 01 +02 0E7 00000001 FFFFFFFF 11 = 00000000 01 +02 0E8 00000002 FFFFFFFF 00 = 00000000 01 +02 0E9 00000002 FFFFFFFF 01 = 00000000 01 +02 0EA 00000002 FFFFFFFF 10 = 00000000 01 +02 0EB 00000002 FFFFFFFF 11 = 00000000 01 +02 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 11 +02 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 11 +02 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 11 +02 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 11 +02 0F0 80000000 FFFFFFFF 00 = 00000001 00 +02 0F1 80000000 FFFFFFFF 01 = 00000001 00 +02 0F2 80000000 FFFFFFFF 10 = 00000001 00 +02 0F3 80000000 FFFFFFFF 11 = 00000001 00 +02 0F4 80000001 FFFFFFFF 00 = 00000001 00 +02 0F5 80000001 FFFFFFFF 01 = 00000001 00 +02 0F6 80000001 FFFFFFFF 10 = 00000001 00 +02 0F7 80000001 FFFFFFFF 11 = 00000001 00 +02 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 10 +02 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 10 +02 0FA FFFFFFFE FFFFFFFF 10 = 00000001 10 +02 0FB FFFFFFFE FFFFFFFF 11 = 00000001 10 +02 0FC FFFFFFFF FFFFFFFF 00 = 00000001 10 +02 0FD FFFFFFFF FFFFFFFF 01 = 00000001 10 +02 0FE FFFFFFFF FFFFFFFF 10 = 00000001 10 +02 0FF FFFFFFFF FFFFFFFF 11 = 00000001 10 +shl ---D---- ---S---- CZ = ---Q---- CZ +03 000 00000000 00000000 00 = 00000000 01 +03 001 00000000 00000000 01 = 00000000 01 +03 002 00000000 00000000 10 = 00000000 01 +03 003 00000000 00000000 11 = 00000000 01 +03 004 00000001 00000000 00 = 00000001 00 +03 005 00000001 00000000 01 = 00000001 00 +03 006 00000001 00000000 10 = 00000001 00 +03 007 00000001 00000000 11 = 00000001 00 +03 008 00000002 00000000 00 = 00000002 00 +03 009 00000002 00000000 01 = 00000002 00 +03 00A 00000002 00000000 10 = 00000002 00 +03 00B 00000002 00000000 11 = 00000002 00 +03 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +03 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +03 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +03 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +03 010 80000000 00000000 00 = 80000000 10 +03 011 80000000 00000000 01 = 80000000 10 +03 012 80000000 00000000 10 = 80000000 10 +03 013 80000000 00000000 11 = 80000000 10 +03 014 80000001 00000000 00 = 80000001 10 +03 015 80000001 00000000 01 = 80000001 10 +03 016 80000001 00000000 10 = 80000001 10 +03 017 80000001 00000000 11 = 80000001 10 +03 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +03 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +03 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +03 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +03 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +03 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +03 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +03 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +03 020 00000000 00000001 00 = 00000000 01 +03 021 00000000 00000001 01 = 00000000 01 +03 022 00000000 00000001 10 = 00000000 01 +03 023 00000000 00000001 11 = 00000000 01 +03 024 00000001 00000001 00 = 00000002 00 +03 025 00000001 00000001 01 = 00000002 00 +03 026 00000001 00000001 10 = 00000002 00 +03 027 00000001 00000001 11 = 00000002 00 +03 028 00000002 00000001 00 = 00000004 00 +03 029 00000002 00000001 01 = 00000004 00 +03 02A 00000002 00000001 10 = 00000004 00 +03 02B 00000002 00000001 11 = 00000004 00 +03 02C 7FFFFFFF 00000001 00 = FFFFFFFE 00 +03 02D 7FFFFFFF 00000001 01 = FFFFFFFE 00 +03 02E 7FFFFFFF 00000001 10 = FFFFFFFE 00 +03 02F 7FFFFFFF 00000001 11 = FFFFFFFE 00 +03 030 80000000 00000001 00 = 00000000 11 +03 031 80000000 00000001 01 = 00000000 11 +03 032 80000000 00000001 10 = 00000000 11 +03 033 80000000 00000001 11 = 00000000 11 +03 034 80000001 00000001 00 = 00000002 10 +03 035 80000001 00000001 01 = 00000002 10 +03 036 80000001 00000001 10 = 00000002 10 +03 037 80000001 00000001 11 = 00000002 10 +03 038 FFFFFFFE 00000001 00 = FFFFFFFC 10 +03 039 FFFFFFFE 00000001 01 = FFFFFFFC 10 +03 03A FFFFFFFE 00000001 10 = FFFFFFFC 10 +03 03B FFFFFFFE 00000001 11 = FFFFFFFC 10 +03 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +03 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 +03 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 +03 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 +03 040 00000000 00000002 00 = 00000000 01 +03 041 00000000 00000002 01 = 00000000 01 +03 042 00000000 00000002 10 = 00000000 01 +03 043 00000000 00000002 11 = 00000000 01 +03 044 00000001 00000002 00 = 00000004 00 +03 045 00000001 00000002 01 = 00000004 00 +03 046 00000001 00000002 10 = 00000004 00 +03 047 00000001 00000002 11 = 00000004 00 +03 048 00000002 00000002 00 = 00000008 00 +03 049 00000002 00000002 01 = 00000008 00 +03 04A 00000002 00000002 10 = 00000008 00 +03 04B 00000002 00000002 11 = 00000008 00 +03 04C 7FFFFFFF 00000002 00 = FFFFFFFC 10 +03 04D 7FFFFFFF 00000002 01 = FFFFFFFC 10 +03 04E 7FFFFFFF 00000002 10 = FFFFFFFC 10 +03 04F 7FFFFFFF 00000002 11 = FFFFFFFC 10 +03 050 80000000 00000002 00 = 00000000 01 +03 051 80000000 00000002 01 = 00000000 01 +03 052 80000000 00000002 10 = 00000000 01 +03 053 80000000 00000002 11 = 00000000 01 +03 054 80000001 00000002 00 = 00000004 00 +03 055 80000001 00000002 01 = 00000004 00 +03 056 80000001 00000002 10 = 00000004 00 +03 057 80000001 00000002 11 = 00000004 00 +03 058 FFFFFFFE 00000002 00 = FFFFFFF8 10 +03 059 FFFFFFFE 00000002 01 = FFFFFFF8 10 +03 05A FFFFFFFE 00000002 10 = FFFFFFF8 10 +03 05B FFFFFFFE 00000002 11 = FFFFFFF8 10 +03 05C FFFFFFFF 00000002 00 = FFFFFFFC 10 +03 05D FFFFFFFF 00000002 01 = FFFFFFFC 10 +03 05E FFFFFFFF 00000002 10 = FFFFFFFC 10 +03 05F FFFFFFFF 00000002 11 = FFFFFFFC 10 +03 060 00000000 7FFFFFFF 00 = 00000000 01 +03 061 00000000 7FFFFFFF 01 = 00000000 01 +03 062 00000000 7FFFFFFF 10 = 00000000 01 +03 063 00000000 7FFFFFFF 11 = 00000000 01 +03 064 00000001 7FFFFFFF 00 = 80000000 00 +03 065 00000001 7FFFFFFF 01 = 80000000 00 +03 066 00000001 7FFFFFFF 10 = 80000000 00 +03 067 00000001 7FFFFFFF 11 = 80000000 00 +03 068 00000002 7FFFFFFF 00 = 00000000 11 +03 069 00000002 7FFFFFFF 01 = 00000000 11 +03 06A 00000002 7FFFFFFF 10 = 00000000 11 +03 06B 00000002 7FFFFFFF 11 = 00000000 11 +03 06C 7FFFFFFF 7FFFFFFF 00 = 80000000 10 +03 06D 7FFFFFFF 7FFFFFFF 01 = 80000000 10 +03 06E 7FFFFFFF 7FFFFFFF 10 = 80000000 10 +03 06F 7FFFFFFF 7FFFFFFF 11 = 80000000 10 +03 070 80000000 7FFFFFFF 00 = 00000000 01 +03 071 80000000 7FFFFFFF 01 = 00000000 01 +03 072 80000000 7FFFFFFF 10 = 00000000 01 +03 073 80000000 7FFFFFFF 11 = 00000000 01 +03 074 80000001 7FFFFFFF 00 = 80000000 00 +03 075 80000001 7FFFFFFF 01 = 80000000 00 +03 076 80000001 7FFFFFFF 10 = 80000000 00 +03 077 80000001 7FFFFFFF 11 = 80000000 00 +03 078 FFFFFFFE 7FFFFFFF 00 = 00000000 11 +03 079 FFFFFFFE 7FFFFFFF 01 = 00000000 11 +03 07A FFFFFFFE 7FFFFFFF 10 = 00000000 11 +03 07B FFFFFFFE 7FFFFFFF 11 = 00000000 11 +03 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +03 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 +03 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +03 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +03 080 00000000 80000000 00 = 00000000 01 +03 081 00000000 80000000 01 = 00000000 01 +03 082 00000000 80000000 10 = 00000000 01 +03 083 00000000 80000000 11 = 00000000 01 +03 084 00000001 80000000 00 = 00000001 00 +03 085 00000001 80000000 01 = 00000001 00 +03 086 00000001 80000000 10 = 00000001 00 +03 087 00000001 80000000 11 = 00000001 00 +03 088 00000002 80000000 00 = 00000002 00 +03 089 00000002 80000000 01 = 00000002 00 +03 08A 00000002 80000000 10 = 00000002 00 +03 08B 00000002 80000000 11 = 00000002 00 +03 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +03 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +03 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +03 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +03 090 80000000 80000000 00 = 80000000 10 +03 091 80000000 80000000 01 = 80000000 10 +03 092 80000000 80000000 10 = 80000000 10 +03 093 80000000 80000000 11 = 80000000 10 +03 094 80000001 80000000 00 = 80000001 10 +03 095 80000001 80000000 01 = 80000001 10 +03 096 80000001 80000000 10 = 80000001 10 +03 097 80000001 80000000 11 = 80000001 10 +03 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +03 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 +03 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +03 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 +03 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +03 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +03 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +03 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +03 0A0 00000000 80000001 00 = 00000000 01 +03 0A1 00000000 80000001 01 = 00000000 01 +03 0A2 00000000 80000001 10 = 00000000 01 +03 0A3 00000000 80000001 11 = 00000000 01 +03 0A4 00000001 80000001 00 = 00000002 00 +03 0A5 00000001 80000001 01 = 00000002 00 +03 0A6 00000001 80000001 10 = 00000002 00 +03 0A7 00000001 80000001 11 = 00000002 00 +03 0A8 00000002 80000001 00 = 00000004 00 +03 0A9 00000002 80000001 01 = 00000004 00 +03 0AA 00000002 80000001 10 = 00000004 00 +03 0AB 00000002 80000001 11 = 00000004 00 +03 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 00 +03 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 00 +03 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 00 +03 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 00 +03 0B0 80000000 80000001 00 = 00000000 11 +03 0B1 80000000 80000001 01 = 00000000 11 +03 0B2 80000000 80000001 10 = 00000000 11 +03 0B3 80000000 80000001 11 = 00000000 11 +03 0B4 80000001 80000001 00 = 00000002 10 +03 0B5 80000001 80000001 01 = 00000002 10 +03 0B6 80000001 80000001 10 = 00000002 10 +03 0B7 80000001 80000001 11 = 00000002 10 +03 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 10 +03 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 10 +03 0BA FFFFFFFE 80000001 10 = FFFFFFFC 10 +03 0BB FFFFFFFE 80000001 11 = FFFFFFFC 10 +03 0BC FFFFFFFF 80000001 00 = FFFFFFFE 10 +03 0BD FFFFFFFF 80000001 01 = FFFFFFFE 10 +03 0BE FFFFFFFF 80000001 10 = FFFFFFFE 10 +03 0BF FFFFFFFF 80000001 11 = FFFFFFFE 10 +03 0C0 00000000 FFFFFFFE 00 = 00000000 01 +03 0C1 00000000 FFFFFFFE 01 = 00000000 01 +03 0C2 00000000 FFFFFFFE 10 = 00000000 01 +03 0C3 00000000 FFFFFFFE 11 = 00000000 01 +03 0C4 00000001 FFFFFFFE 00 = 40000000 00 +03 0C5 00000001 FFFFFFFE 01 = 40000000 00 +03 0C6 00000001 FFFFFFFE 10 = 40000000 00 +03 0C7 00000001 FFFFFFFE 11 = 40000000 00 +03 0C8 00000002 FFFFFFFE 00 = 80000000 00 +03 0C9 00000002 FFFFFFFE 01 = 80000000 00 +03 0CA 00000002 FFFFFFFE 10 = 80000000 00 +03 0CB 00000002 FFFFFFFE 11 = 80000000 00 +03 0CC 7FFFFFFF FFFFFFFE 00 = C0000000 10 +03 0CD 7FFFFFFF FFFFFFFE 01 = C0000000 10 +03 0CE 7FFFFFFF FFFFFFFE 10 = C0000000 10 +03 0CF 7FFFFFFF FFFFFFFE 11 = C0000000 10 +03 0D0 80000000 FFFFFFFE 00 = 00000000 01 +03 0D1 80000000 FFFFFFFE 01 = 00000000 01 +03 0D2 80000000 FFFFFFFE 10 = 00000000 01 +03 0D3 80000000 FFFFFFFE 11 = 00000000 01 +03 0D4 80000001 FFFFFFFE 00 = 40000000 00 +03 0D5 80000001 FFFFFFFE 01 = 40000000 00 +03 0D6 80000001 FFFFFFFE 10 = 40000000 00 +03 0D7 80000001 FFFFFFFE 11 = 40000000 00 +03 0D8 FFFFFFFE FFFFFFFE 00 = 80000000 10 +03 0D9 FFFFFFFE FFFFFFFE 01 = 80000000 10 +03 0DA FFFFFFFE FFFFFFFE 10 = 80000000 10 +03 0DB FFFFFFFE FFFFFFFE 11 = 80000000 10 +03 0DC FFFFFFFF FFFFFFFE 00 = C0000000 10 +03 0DD FFFFFFFF FFFFFFFE 01 = C0000000 10 +03 0DE FFFFFFFF FFFFFFFE 10 = C0000000 10 +03 0DF FFFFFFFF FFFFFFFE 11 = C0000000 10 +03 0E0 00000000 FFFFFFFF 00 = 00000000 01 +03 0E1 00000000 FFFFFFFF 01 = 00000000 01 +03 0E2 00000000 FFFFFFFF 10 = 00000000 01 +03 0E3 00000000 FFFFFFFF 11 = 00000000 01 +03 0E4 00000001 FFFFFFFF 00 = 80000000 00 +03 0E5 00000001 FFFFFFFF 01 = 80000000 00 +03 0E6 00000001 FFFFFFFF 10 = 80000000 00 +03 0E7 00000001 FFFFFFFF 11 = 80000000 00 +03 0E8 00000002 FFFFFFFF 00 = 00000000 11 +03 0E9 00000002 FFFFFFFF 01 = 00000000 11 +03 0EA 00000002 FFFFFFFF 10 = 00000000 11 +03 0EB 00000002 FFFFFFFF 11 = 00000000 11 +03 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 +03 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +03 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 +03 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 +03 0F0 80000000 FFFFFFFF 00 = 00000000 01 +03 0F1 80000000 FFFFFFFF 01 = 00000000 01 +03 0F2 80000000 FFFFFFFF 10 = 00000000 01 +03 0F3 80000000 FFFFFFFF 11 = 00000000 01 +03 0F4 80000001 FFFFFFFF 00 = 80000000 00 +03 0F5 80000001 FFFFFFFF 01 = 80000000 00 +03 0F6 80000001 FFFFFFFF 10 = 80000000 00 +03 0F7 80000001 FFFFFFFF 11 = 80000000 00 +03 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 11 +03 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 11 +03 0FA FFFFFFFE FFFFFFFF 10 = 00000000 11 +03 0FB FFFFFFFE FFFFFFFF 11 = 00000000 11 +03 0FC FFFFFFFF FFFFFFFF 00 = 80000000 10 +03 0FD FFFFFFFF FFFFFFFF 01 = 80000000 10 +03 0FE FFFFFFFF FFFFFFFF 10 = 80000000 10 +03 0FF FFFFFFFF FFFFFFFF 11 = 80000000 10 +rcr ---D---- ---S---- CZ = ---Q---- CZ +04 000 00000000 00000000 00 = 00000000 01 +04 001 00000000 00000000 01 = 00000000 01 +04 002 00000000 00000000 10 = 00000000 01 +04 003 00000000 00000000 11 = 00000000 01 +04 004 00000001 00000000 00 = 00000001 10 +04 005 00000001 00000000 01 = 00000001 10 +04 006 00000001 00000000 10 = 00000001 10 +04 007 00000001 00000000 11 = 00000001 10 +04 008 00000002 00000000 00 = 00000002 00 +04 009 00000002 00000000 01 = 00000002 00 +04 00A 00000002 00000000 10 = 00000002 00 +04 00B 00000002 00000000 11 = 00000002 00 +04 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +04 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +04 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +04 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +04 010 80000000 00000000 00 = 80000000 00 +04 011 80000000 00000000 01 = 80000000 00 +04 012 80000000 00000000 10 = 80000000 00 +04 013 80000000 00000000 11 = 80000000 00 +04 014 80000001 00000000 00 = 80000001 10 +04 015 80000001 00000000 01 = 80000001 10 +04 016 80000001 00000000 10 = 80000001 10 +04 017 80000001 00000000 11 = 80000001 10 +04 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +04 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +04 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +04 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +04 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +04 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +04 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +04 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +04 020 00000000 00000001 00 = 00000000 01 +04 021 00000000 00000001 01 = 00000000 01 +04 022 00000000 00000001 10 = 80000000 00 +04 023 00000000 00000001 11 = 80000000 00 +04 024 00000001 00000001 00 = 00000000 11 +04 025 00000001 00000001 01 = 00000000 11 +04 026 00000001 00000001 10 = 80000000 10 +04 027 00000001 00000001 11 = 80000000 10 +04 028 00000002 00000001 00 = 00000001 00 +04 029 00000002 00000001 01 = 00000001 00 +04 02A 00000002 00000001 10 = 80000001 00 +04 02B 00000002 00000001 11 = 80000001 00 +04 02C 7FFFFFFF 00000001 00 = 3FFFFFFF 10 +04 02D 7FFFFFFF 00000001 01 = 3FFFFFFF 10 +04 02E 7FFFFFFF 00000001 10 = BFFFFFFF 10 +04 02F 7FFFFFFF 00000001 11 = BFFFFFFF 10 +04 030 80000000 00000001 00 = 40000000 00 +04 031 80000000 00000001 01 = 40000000 00 +04 032 80000000 00000001 10 = C0000000 00 +04 033 80000000 00000001 11 = C0000000 00 +04 034 80000001 00000001 00 = 40000000 10 +04 035 80000001 00000001 01 = 40000000 10 +04 036 80000001 00000001 10 = C0000000 10 +04 037 80000001 00000001 11 = C0000000 10 +04 038 FFFFFFFE 00000001 00 = 7FFFFFFF 00 +04 039 FFFFFFFE 00000001 01 = 7FFFFFFF 00 +04 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +04 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +04 03C FFFFFFFF 00000001 00 = 7FFFFFFF 10 +04 03D FFFFFFFF 00000001 01 = 7FFFFFFF 10 +04 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +04 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +04 040 00000000 00000002 00 = 00000000 01 +04 041 00000000 00000002 01 = 00000000 01 +04 042 00000000 00000002 10 = C0000000 00 +04 043 00000000 00000002 11 = C0000000 00 +04 044 00000001 00000002 00 = 00000000 01 +04 045 00000001 00000002 01 = 00000000 01 +04 046 00000001 00000002 10 = C0000000 00 +04 047 00000001 00000002 11 = C0000000 00 +04 048 00000002 00000002 00 = 00000000 11 +04 049 00000002 00000002 01 = 00000000 11 +04 04A 00000002 00000002 10 = C0000000 10 +04 04B 00000002 00000002 11 = C0000000 10 +04 04C 7FFFFFFF 00000002 00 = 1FFFFFFF 10 +04 04D 7FFFFFFF 00000002 01 = 1FFFFFFF 10 +04 04E 7FFFFFFF 00000002 10 = DFFFFFFF 10 +04 04F 7FFFFFFF 00000002 11 = DFFFFFFF 10 +04 050 80000000 00000002 00 = 20000000 00 +04 051 80000000 00000002 01 = 20000000 00 +04 052 80000000 00000002 10 = E0000000 00 +04 053 80000000 00000002 11 = E0000000 00 +04 054 80000001 00000002 00 = 20000000 00 +04 055 80000001 00000002 01 = 20000000 00 +04 056 80000001 00000002 10 = E0000000 00 +04 057 80000001 00000002 11 = E0000000 00 +04 058 FFFFFFFE 00000002 00 = 3FFFFFFF 10 +04 059 FFFFFFFE 00000002 01 = 3FFFFFFF 10 +04 05A FFFFFFFE 00000002 10 = FFFFFFFF 10 +04 05B FFFFFFFE 00000002 11 = FFFFFFFF 10 +04 05C FFFFFFFF 00000002 00 = 3FFFFFFF 10 +04 05D FFFFFFFF 00000002 01 = 3FFFFFFF 10 +04 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +04 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +04 060 00000000 7FFFFFFF 00 = 00000000 01 +04 061 00000000 7FFFFFFF 01 = 00000000 01 +04 062 00000000 7FFFFFFF 10 = FFFFFFFE 00 +04 063 00000000 7FFFFFFF 11 = FFFFFFFE 00 +04 064 00000001 7FFFFFFF 00 = 00000000 01 +04 065 00000001 7FFFFFFF 01 = 00000000 01 +04 066 00000001 7FFFFFFF 10 = FFFFFFFE 00 +04 067 00000001 7FFFFFFF 11 = FFFFFFFE 00 +04 068 00000002 7FFFFFFF 00 = 00000000 01 +04 069 00000002 7FFFFFFF 01 = 00000000 01 +04 06A 00000002 7FFFFFFF 10 = FFFFFFFE 00 +04 06B 00000002 7FFFFFFF 11 = FFFFFFFE 00 +04 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 11 +04 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 11 +04 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 +04 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 +04 070 80000000 7FFFFFFF 00 = 00000001 00 +04 071 80000000 7FFFFFFF 01 = 00000001 00 +04 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +04 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +04 074 80000001 7FFFFFFF 00 = 00000001 00 +04 075 80000001 7FFFFFFF 01 = 00000001 00 +04 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 +04 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 +04 078 FFFFFFFE 7FFFFFFF 00 = 00000001 10 +04 079 FFFFFFFE 7FFFFFFF 01 = 00000001 10 +04 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +04 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 10 +04 07C FFFFFFFF 7FFFFFFF 00 = 00000001 10 +04 07D FFFFFFFF 7FFFFFFF 01 = 00000001 10 +04 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +04 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +04 080 00000000 80000000 00 = 00000000 01 +04 081 00000000 80000000 01 = 00000000 01 +04 082 00000000 80000000 10 = 00000000 01 +04 083 00000000 80000000 11 = 00000000 01 +04 084 00000001 80000000 00 = 00000001 10 +04 085 00000001 80000000 01 = 00000001 10 +04 086 00000001 80000000 10 = 00000001 10 +04 087 00000001 80000000 11 = 00000001 10 +04 088 00000002 80000000 00 = 00000002 00 +04 089 00000002 80000000 01 = 00000002 00 +04 08A 00000002 80000000 10 = 00000002 00 +04 08B 00000002 80000000 11 = 00000002 00 +04 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +04 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +04 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +04 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +04 090 80000000 80000000 00 = 80000000 00 +04 091 80000000 80000000 01 = 80000000 00 +04 092 80000000 80000000 10 = 80000000 00 +04 093 80000000 80000000 11 = 80000000 00 +04 094 80000001 80000000 00 = 80000001 10 +04 095 80000001 80000000 01 = 80000001 10 +04 096 80000001 80000000 10 = 80000001 10 +04 097 80000001 80000000 11 = 80000001 10 +04 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +04 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +04 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +04 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +04 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +04 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +04 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +04 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +04 0A0 00000000 80000001 00 = 00000000 01 +04 0A1 00000000 80000001 01 = 00000000 01 +04 0A2 00000000 80000001 10 = 80000000 00 +04 0A3 00000000 80000001 11 = 80000000 00 +04 0A4 00000001 80000001 00 = 00000000 11 +04 0A5 00000001 80000001 01 = 00000000 11 +04 0A6 00000001 80000001 10 = 80000000 10 +04 0A7 00000001 80000001 11 = 80000000 10 +04 0A8 00000002 80000001 00 = 00000001 00 +04 0A9 00000002 80000001 01 = 00000001 00 +04 0AA 00000002 80000001 10 = 80000001 00 +04 0AB 00000002 80000001 11 = 80000001 00 +04 0AC 7FFFFFFF 80000001 00 = 3FFFFFFF 10 +04 0AD 7FFFFFFF 80000001 01 = 3FFFFFFF 10 +04 0AE 7FFFFFFF 80000001 10 = BFFFFFFF 10 +04 0AF 7FFFFFFF 80000001 11 = BFFFFFFF 10 +04 0B0 80000000 80000001 00 = 40000000 00 +04 0B1 80000000 80000001 01 = 40000000 00 +04 0B2 80000000 80000001 10 = C0000000 00 +04 0B3 80000000 80000001 11 = C0000000 00 +04 0B4 80000001 80000001 00 = 40000000 10 +04 0B5 80000001 80000001 01 = 40000000 10 +04 0B6 80000001 80000001 10 = C0000000 10 +04 0B7 80000001 80000001 11 = C0000000 10 +04 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 +04 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 +04 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 +04 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 +04 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 10 +04 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 10 +04 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +04 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 +04 0C0 00000000 FFFFFFFE 00 = 00000000 01 +04 0C1 00000000 FFFFFFFE 01 = 00000000 01 +04 0C2 00000000 FFFFFFFE 10 = FFFFFFFC 00 +04 0C3 00000000 FFFFFFFE 11 = FFFFFFFC 00 +04 0C4 00000001 FFFFFFFE 00 = 00000000 01 +04 0C5 00000001 FFFFFFFE 01 = 00000000 01 +04 0C6 00000001 FFFFFFFE 10 = FFFFFFFC 00 +04 0C7 00000001 FFFFFFFE 11 = FFFFFFFC 00 +04 0C8 00000002 FFFFFFFE 00 = 00000000 01 +04 0C9 00000002 FFFFFFFE 01 = 00000000 01 +04 0CA 00000002 FFFFFFFE 10 = FFFFFFFC 00 +04 0CB 00000002 FFFFFFFE 11 = FFFFFFFC 00 +04 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 +04 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 +04 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFD 10 +04 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFD 10 +04 0D0 80000000 FFFFFFFE 00 = 00000002 00 +04 0D1 80000000 FFFFFFFE 01 = 00000002 00 +04 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 00 +04 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 00 +04 0D4 80000001 FFFFFFFE 00 = 00000002 00 +04 0D5 80000001 FFFFFFFE 01 = 00000002 00 +04 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 00 +04 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 00 +04 0D8 FFFFFFFE FFFFFFFE 00 = 00000003 10 +04 0D9 FFFFFFFE FFFFFFFE 01 = 00000003 10 +04 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 +04 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 10 +04 0DC FFFFFFFF FFFFFFFE 00 = 00000003 10 +04 0DD FFFFFFFF FFFFFFFE 01 = 00000003 10 +04 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +04 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +04 0E0 00000000 FFFFFFFF 00 = 00000000 01 +04 0E1 00000000 FFFFFFFF 01 = 00000000 01 +04 0E2 00000000 FFFFFFFF 10 = FFFFFFFE 00 +04 0E3 00000000 FFFFFFFF 11 = FFFFFFFE 00 +04 0E4 00000001 FFFFFFFF 00 = 00000000 01 +04 0E5 00000001 FFFFFFFF 01 = 00000000 01 +04 0E6 00000001 FFFFFFFF 10 = FFFFFFFE 00 +04 0E7 00000001 FFFFFFFF 11 = FFFFFFFE 00 +04 0E8 00000002 FFFFFFFF 00 = 00000000 01 +04 0E9 00000002 FFFFFFFF 01 = 00000000 01 +04 0EA 00000002 FFFFFFFF 10 = FFFFFFFE 00 +04 0EB 00000002 FFFFFFFF 11 = FFFFFFFE 00 +04 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 11 +04 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 11 +04 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFE 10 +04 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFE 10 +04 0F0 80000000 FFFFFFFF 00 = 00000001 00 +04 0F1 80000000 FFFFFFFF 01 = 00000001 00 +04 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 00 +04 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 00 +04 0F4 80000001 FFFFFFFF 00 = 00000001 00 +04 0F5 80000001 FFFFFFFF 01 = 00000001 00 +04 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 +04 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 +04 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 10 +04 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 10 +04 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +04 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 +04 0FC FFFFFFFF FFFFFFFF 00 = 00000001 10 +04 0FD FFFFFFFF FFFFFFFF 01 = 00000001 10 +04 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +04 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +rcl ---D---- ---S---- CZ = ---Q---- CZ +05 000 00000000 00000000 00 = 00000000 01 +05 001 00000000 00000000 01 = 00000000 01 +05 002 00000000 00000000 10 = 00000000 01 +05 003 00000000 00000000 11 = 00000000 01 +05 004 00000001 00000000 00 = 00000001 00 +05 005 00000001 00000000 01 = 00000001 00 +05 006 00000001 00000000 10 = 00000001 00 +05 007 00000001 00000000 11 = 00000001 00 +05 008 00000002 00000000 00 = 00000002 00 +05 009 00000002 00000000 01 = 00000002 00 +05 00A 00000002 00000000 10 = 00000002 00 +05 00B 00000002 00000000 11 = 00000002 00 +05 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +05 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +05 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +05 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +05 010 80000000 00000000 00 = 80000000 10 +05 011 80000000 00000000 01 = 80000000 10 +05 012 80000000 00000000 10 = 80000000 10 +05 013 80000000 00000000 11 = 80000000 10 +05 014 80000001 00000000 00 = 80000001 10 +05 015 80000001 00000000 01 = 80000001 10 +05 016 80000001 00000000 10 = 80000001 10 +05 017 80000001 00000000 11 = 80000001 10 +05 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +05 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +05 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +05 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +05 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +05 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +05 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +05 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +05 020 00000000 00000001 00 = 00000000 01 +05 021 00000000 00000001 01 = 00000000 01 +05 022 00000000 00000001 10 = 00000001 00 +05 023 00000000 00000001 11 = 00000001 00 +05 024 00000001 00000001 00 = 00000002 00 +05 025 00000001 00000001 01 = 00000002 00 +05 026 00000001 00000001 10 = 00000003 00 +05 027 00000001 00000001 11 = 00000003 00 +05 028 00000002 00000001 00 = 00000004 00 +05 029 00000002 00000001 01 = 00000004 00 +05 02A 00000002 00000001 10 = 00000005 00 +05 02B 00000002 00000001 11 = 00000005 00 +05 02C 7FFFFFFF 00000001 00 = FFFFFFFE 00 +05 02D 7FFFFFFF 00000001 01 = FFFFFFFE 00 +05 02E 7FFFFFFF 00000001 10 = FFFFFFFF 00 +05 02F 7FFFFFFF 00000001 11 = FFFFFFFF 00 +05 030 80000000 00000001 00 = 00000000 11 +05 031 80000000 00000001 01 = 00000000 11 +05 032 80000000 00000001 10 = 00000001 10 +05 033 80000000 00000001 11 = 00000001 10 +05 034 80000001 00000001 00 = 00000002 10 +05 035 80000001 00000001 01 = 00000002 10 +05 036 80000001 00000001 10 = 00000003 10 +05 037 80000001 00000001 11 = 00000003 10 +05 038 FFFFFFFE 00000001 00 = FFFFFFFC 10 +05 039 FFFFFFFE 00000001 01 = FFFFFFFC 10 +05 03A FFFFFFFE 00000001 10 = FFFFFFFD 10 +05 03B FFFFFFFE 00000001 11 = FFFFFFFD 10 +05 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +05 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 +05 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +05 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +05 040 00000000 00000002 00 = 00000000 01 +05 041 00000000 00000002 01 = 00000000 01 +05 042 00000000 00000002 10 = 00000003 00 +05 043 00000000 00000002 11 = 00000003 00 +05 044 00000001 00000002 00 = 00000004 00 +05 045 00000001 00000002 01 = 00000004 00 +05 046 00000001 00000002 10 = 00000007 00 +05 047 00000001 00000002 11 = 00000007 00 +05 048 00000002 00000002 00 = 00000008 00 +05 049 00000002 00000002 01 = 00000008 00 +05 04A 00000002 00000002 10 = 0000000B 00 +05 04B 00000002 00000002 11 = 0000000B 00 +05 04C 7FFFFFFF 00000002 00 = FFFFFFFC 10 +05 04D 7FFFFFFF 00000002 01 = FFFFFFFC 10 +05 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 +05 04F 7FFFFFFF 00000002 11 = FFFFFFFF 10 +05 050 80000000 00000002 00 = 00000000 01 +05 051 80000000 00000002 01 = 00000000 01 +05 052 80000000 00000002 10 = 00000003 00 +05 053 80000000 00000002 11 = 00000003 00 +05 054 80000001 00000002 00 = 00000004 00 +05 055 80000001 00000002 01 = 00000004 00 +05 056 80000001 00000002 10 = 00000007 00 +05 057 80000001 00000002 11 = 00000007 00 +05 058 FFFFFFFE 00000002 00 = FFFFFFF8 10 +05 059 FFFFFFFE 00000002 01 = FFFFFFF8 10 +05 05A FFFFFFFE 00000002 10 = FFFFFFFB 10 +05 05B FFFFFFFE 00000002 11 = FFFFFFFB 10 +05 05C FFFFFFFF 00000002 00 = FFFFFFFC 10 +05 05D FFFFFFFF 00000002 01 = FFFFFFFC 10 +05 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +05 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +05 060 00000000 7FFFFFFF 00 = 00000000 01 +05 061 00000000 7FFFFFFF 01 = 00000000 01 +05 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 +05 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 +05 064 00000001 7FFFFFFF 00 = 80000000 00 +05 065 00000001 7FFFFFFF 01 = 80000000 00 +05 066 00000001 7FFFFFFF 10 = FFFFFFFF 00 +05 067 00000001 7FFFFFFF 11 = FFFFFFFF 00 +05 068 00000002 7FFFFFFF 00 = 00000000 11 +05 069 00000002 7FFFFFFF 01 = 00000000 11 +05 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 +05 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 +05 06C 7FFFFFFF 7FFFFFFF 00 = 80000000 10 +05 06D 7FFFFFFF 7FFFFFFF 01 = 80000000 10 +05 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +05 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +05 070 80000000 7FFFFFFF 00 = 00000000 01 +05 071 80000000 7FFFFFFF 01 = 00000000 01 +05 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 +05 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 +05 074 80000001 7FFFFFFF 00 = 80000000 00 +05 075 80000001 7FFFFFFF 01 = 80000000 00 +05 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 +05 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 +05 078 FFFFFFFE 7FFFFFFF 00 = 00000000 11 +05 079 FFFFFFFE 7FFFFFFF 01 = 00000000 11 +05 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 +05 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 +05 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +05 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 +05 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +05 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +05 080 00000000 80000000 00 = 00000000 01 +05 081 00000000 80000000 01 = 00000000 01 +05 082 00000000 80000000 10 = 00000000 01 +05 083 00000000 80000000 11 = 00000000 01 +05 084 00000001 80000000 00 = 00000001 00 +05 085 00000001 80000000 01 = 00000001 00 +05 086 00000001 80000000 10 = 00000001 00 +05 087 00000001 80000000 11 = 00000001 00 +05 088 00000002 80000000 00 = 00000002 00 +05 089 00000002 80000000 01 = 00000002 00 +05 08A 00000002 80000000 10 = 00000002 00 +05 08B 00000002 80000000 11 = 00000002 00 +05 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +05 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +05 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +05 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +05 090 80000000 80000000 00 = 80000000 10 +05 091 80000000 80000000 01 = 80000000 10 +05 092 80000000 80000000 10 = 80000000 10 +05 093 80000000 80000000 11 = 80000000 10 +05 094 80000001 80000000 00 = 80000001 10 +05 095 80000001 80000000 01 = 80000001 10 +05 096 80000001 80000000 10 = 80000001 10 +05 097 80000001 80000000 11 = 80000001 10 +05 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +05 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 +05 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +05 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 +05 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +05 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +05 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +05 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +05 0A0 00000000 80000001 00 = 00000000 01 +05 0A1 00000000 80000001 01 = 00000000 01 +05 0A2 00000000 80000001 10 = 00000001 00 +05 0A3 00000000 80000001 11 = 00000001 00 +05 0A4 00000001 80000001 00 = 00000002 00 +05 0A5 00000001 80000001 01 = 00000002 00 +05 0A6 00000001 80000001 10 = 00000003 00 +05 0A7 00000001 80000001 11 = 00000003 00 +05 0A8 00000002 80000001 00 = 00000004 00 +05 0A9 00000002 80000001 01 = 00000004 00 +05 0AA 00000002 80000001 10 = 00000005 00 +05 0AB 00000002 80000001 11 = 00000005 00 +05 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 00 +05 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 00 +05 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 00 +05 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 00 +05 0B0 80000000 80000001 00 = 00000000 11 +05 0B1 80000000 80000001 01 = 00000000 11 +05 0B2 80000000 80000001 10 = 00000001 10 +05 0B3 80000000 80000001 11 = 00000001 10 +05 0B4 80000001 80000001 00 = 00000002 10 +05 0B5 80000001 80000001 01 = 00000002 10 +05 0B6 80000001 80000001 10 = 00000003 10 +05 0B7 80000001 80000001 11 = 00000003 10 +05 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 10 +05 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 10 +05 0BA FFFFFFFE 80000001 10 = FFFFFFFD 10 +05 0BB FFFFFFFE 80000001 11 = FFFFFFFD 10 +05 0BC FFFFFFFF 80000001 00 = FFFFFFFE 10 +05 0BD FFFFFFFF 80000001 01 = FFFFFFFE 10 +05 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +05 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 +05 0C0 00000000 FFFFFFFE 00 = 00000000 01 +05 0C1 00000000 FFFFFFFE 01 = 00000000 01 +05 0C2 00000000 FFFFFFFE 10 = 3FFFFFFF 00 +05 0C3 00000000 FFFFFFFE 11 = 3FFFFFFF 00 +05 0C4 00000001 FFFFFFFE 00 = 40000000 00 +05 0C5 00000001 FFFFFFFE 01 = 40000000 00 +05 0C6 00000001 FFFFFFFE 10 = 7FFFFFFF 00 +05 0C7 00000001 FFFFFFFE 11 = 7FFFFFFF 00 +05 0C8 00000002 FFFFFFFE 00 = 80000000 00 +05 0C9 00000002 FFFFFFFE 01 = 80000000 00 +05 0CA 00000002 FFFFFFFE 10 = BFFFFFFF 00 +05 0CB 00000002 FFFFFFFE 11 = BFFFFFFF 00 +05 0CC 7FFFFFFF FFFFFFFE 00 = C0000000 10 +05 0CD 7FFFFFFF FFFFFFFE 01 = C0000000 10 +05 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +05 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +05 0D0 80000000 FFFFFFFE 00 = 00000000 01 +05 0D1 80000000 FFFFFFFE 01 = 00000000 01 +05 0D2 80000000 FFFFFFFE 10 = 3FFFFFFF 00 +05 0D3 80000000 FFFFFFFE 11 = 3FFFFFFF 00 +05 0D4 80000001 FFFFFFFE 00 = 40000000 00 +05 0D5 80000001 FFFFFFFE 01 = 40000000 00 +05 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 00 +05 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 00 +05 0D8 FFFFFFFE FFFFFFFE 00 = 80000000 10 +05 0D9 FFFFFFFE FFFFFFFE 01 = 80000000 10 +05 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFF 10 +05 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFF 10 +05 0DC FFFFFFFF FFFFFFFE 00 = C0000000 10 +05 0DD FFFFFFFF FFFFFFFE 01 = C0000000 10 +05 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +05 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +05 0E0 00000000 FFFFFFFF 00 = 00000000 01 +05 0E1 00000000 FFFFFFFF 01 = 00000000 01 +05 0E2 00000000 FFFFFFFF 10 = 7FFFFFFF 00 +05 0E3 00000000 FFFFFFFF 11 = 7FFFFFFF 00 +05 0E4 00000001 FFFFFFFF 00 = 80000000 00 +05 0E5 00000001 FFFFFFFF 01 = 80000000 00 +05 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 00 +05 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 00 +05 0E8 00000002 FFFFFFFF 00 = 00000000 11 +05 0E9 00000002 FFFFFFFF 01 = 00000000 11 +05 0EA 00000002 FFFFFFFF 10 = 7FFFFFFF 10 +05 0EB 00000002 FFFFFFFF 11 = 7FFFFFFF 10 +05 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 +05 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +05 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +05 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +05 0F0 80000000 FFFFFFFF 00 = 00000000 01 +05 0F1 80000000 FFFFFFFF 01 = 00000000 01 +05 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 00 +05 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 00 +05 0F4 80000001 FFFFFFFF 00 = 80000000 00 +05 0F5 80000001 FFFFFFFF 01 = 80000000 00 +05 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 +05 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 +05 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 11 +05 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 11 +05 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFF 10 +05 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFF 10 +05 0FC FFFFFFFF FFFFFFFF 00 = 80000000 10 +05 0FD FFFFFFFF FFFFFFFF 01 = 80000000 10 +05 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +05 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +sar ---D---- ---S---- CZ = ---Q---- CZ +06 000 00000000 00000000 00 = 00000000 01 +06 001 00000000 00000000 01 = 00000000 01 +06 002 00000000 00000000 10 = 00000000 01 +06 003 00000000 00000000 11 = 00000000 01 +06 004 00000001 00000000 00 = 00000001 10 +06 005 00000001 00000000 01 = 00000001 10 +06 006 00000001 00000000 10 = 00000001 10 +06 007 00000001 00000000 11 = 00000001 10 +06 008 00000002 00000000 00 = 00000002 00 +06 009 00000002 00000000 01 = 00000002 00 +06 00A 00000002 00000000 10 = 00000002 00 +06 00B 00000002 00000000 11 = 00000002 00 +06 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +06 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +06 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +06 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +06 010 80000000 00000000 00 = 80000000 00 +06 011 80000000 00000000 01 = 80000000 00 +06 012 80000000 00000000 10 = 80000000 00 +06 013 80000000 00000000 11 = 80000000 00 +06 014 80000001 00000000 00 = 80000001 10 +06 015 80000001 00000000 01 = 80000001 10 +06 016 80000001 00000000 10 = 80000001 10 +06 017 80000001 00000000 11 = 80000001 10 +06 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +06 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +06 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +06 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +06 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +06 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +06 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +06 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +06 020 00000000 00000001 00 = 00000000 01 +06 021 00000000 00000001 01 = 00000000 01 +06 022 00000000 00000001 10 = 00000000 01 +06 023 00000000 00000001 11 = 00000000 01 +06 024 00000001 00000001 00 = 00000000 11 +06 025 00000001 00000001 01 = 00000000 11 +06 026 00000001 00000001 10 = 00000000 11 +06 027 00000001 00000001 11 = 00000000 11 +06 028 00000002 00000001 00 = 00000001 00 +06 029 00000002 00000001 01 = 00000001 00 +06 02A 00000002 00000001 10 = 00000001 00 +06 02B 00000002 00000001 11 = 00000001 00 +06 02C 7FFFFFFF 00000001 00 = 3FFFFFFF 10 +06 02D 7FFFFFFF 00000001 01 = 3FFFFFFF 10 +06 02E 7FFFFFFF 00000001 10 = 3FFFFFFF 10 +06 02F 7FFFFFFF 00000001 11 = 3FFFFFFF 10 +06 030 80000000 00000001 00 = C0000000 00 +06 031 80000000 00000001 01 = C0000000 00 +06 032 80000000 00000001 10 = C0000000 00 +06 033 80000000 00000001 11 = C0000000 00 +06 034 80000001 00000001 00 = C0000000 10 +06 035 80000001 00000001 01 = C0000000 10 +06 036 80000001 00000001 10 = C0000000 10 +06 037 80000001 00000001 11 = C0000000 10 +06 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +06 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +06 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +06 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +06 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +06 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +06 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +06 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +06 040 00000000 00000002 00 = 00000000 01 +06 041 00000000 00000002 01 = 00000000 01 +06 042 00000000 00000002 10 = 00000000 01 +06 043 00000000 00000002 11 = 00000000 01 +06 044 00000001 00000002 00 = 00000000 01 +06 045 00000001 00000002 01 = 00000000 01 +06 046 00000001 00000002 10 = 00000000 01 +06 047 00000001 00000002 11 = 00000000 01 +06 048 00000002 00000002 00 = 00000000 11 +06 049 00000002 00000002 01 = 00000000 11 +06 04A 00000002 00000002 10 = 00000000 11 +06 04B 00000002 00000002 11 = 00000000 11 +06 04C 7FFFFFFF 00000002 00 = 1FFFFFFF 10 +06 04D 7FFFFFFF 00000002 01 = 1FFFFFFF 10 +06 04E 7FFFFFFF 00000002 10 = 1FFFFFFF 10 +06 04F 7FFFFFFF 00000002 11 = 1FFFFFFF 10 +06 050 80000000 00000002 00 = E0000000 00 +06 051 80000000 00000002 01 = E0000000 00 +06 052 80000000 00000002 10 = E0000000 00 +06 053 80000000 00000002 11 = E0000000 00 +06 054 80000001 00000002 00 = E0000000 00 +06 055 80000001 00000002 01 = E0000000 00 +06 056 80000001 00000002 10 = E0000000 00 +06 057 80000001 00000002 11 = E0000000 00 +06 058 FFFFFFFE 00000002 00 = FFFFFFFF 10 +06 059 FFFFFFFE 00000002 01 = FFFFFFFF 10 +06 05A FFFFFFFE 00000002 10 = FFFFFFFF 10 +06 05B FFFFFFFE 00000002 11 = FFFFFFFF 10 +06 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +06 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 +06 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +06 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +06 060 00000000 7FFFFFFF 00 = 00000000 01 +06 061 00000000 7FFFFFFF 01 = 00000000 01 +06 062 00000000 7FFFFFFF 10 = 00000000 01 +06 063 00000000 7FFFFFFF 11 = 00000000 01 +06 064 00000001 7FFFFFFF 00 = 00000000 01 +06 065 00000001 7FFFFFFF 01 = 00000000 01 +06 066 00000001 7FFFFFFF 10 = 00000000 01 +06 067 00000001 7FFFFFFF 11 = 00000000 01 +06 068 00000002 7FFFFFFF 00 = 00000000 01 +06 069 00000002 7FFFFFFF 01 = 00000000 01 +06 06A 00000002 7FFFFFFF 10 = 00000000 01 +06 06B 00000002 7FFFFFFF 11 = 00000000 01 +06 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 11 +06 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 11 +06 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 11 +06 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 11 +06 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +06 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +06 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +06 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +06 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 +06 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 +06 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 +06 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 +06 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 10 +06 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 10 +06 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +06 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 10 +06 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +06 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +06 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +06 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +06 080 00000000 80000000 00 = 00000000 01 +06 081 00000000 80000000 01 = 00000000 01 +06 082 00000000 80000000 10 = 00000000 01 +06 083 00000000 80000000 11 = 00000000 01 +06 084 00000001 80000000 00 = 00000001 10 +06 085 00000001 80000000 01 = 00000001 10 +06 086 00000001 80000000 10 = 00000001 10 +06 087 00000001 80000000 11 = 00000001 10 +06 088 00000002 80000000 00 = 00000002 00 +06 089 00000002 80000000 01 = 00000002 00 +06 08A 00000002 80000000 10 = 00000002 00 +06 08B 00000002 80000000 11 = 00000002 00 +06 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +06 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +06 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +06 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +06 090 80000000 80000000 00 = 80000000 00 +06 091 80000000 80000000 01 = 80000000 00 +06 092 80000000 80000000 10 = 80000000 00 +06 093 80000000 80000000 11 = 80000000 00 +06 094 80000001 80000000 00 = 80000001 10 +06 095 80000001 80000000 01 = 80000001 10 +06 096 80000001 80000000 10 = 80000001 10 +06 097 80000001 80000000 11 = 80000001 10 +06 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +06 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +06 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +06 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +06 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +06 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +06 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +06 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +06 0A0 00000000 80000001 00 = 00000000 01 +06 0A1 00000000 80000001 01 = 00000000 01 +06 0A2 00000000 80000001 10 = 00000000 01 +06 0A3 00000000 80000001 11 = 00000000 01 +06 0A4 00000001 80000001 00 = 00000000 11 +06 0A5 00000001 80000001 01 = 00000000 11 +06 0A6 00000001 80000001 10 = 00000000 11 +06 0A7 00000001 80000001 11 = 00000000 11 +06 0A8 00000002 80000001 00 = 00000001 00 +06 0A9 00000002 80000001 01 = 00000001 00 +06 0AA 00000002 80000001 10 = 00000001 00 +06 0AB 00000002 80000001 11 = 00000001 00 +06 0AC 7FFFFFFF 80000001 00 = 3FFFFFFF 10 +06 0AD 7FFFFFFF 80000001 01 = 3FFFFFFF 10 +06 0AE 7FFFFFFF 80000001 10 = 3FFFFFFF 10 +06 0AF 7FFFFFFF 80000001 11 = 3FFFFFFF 10 +06 0B0 80000000 80000001 00 = C0000000 00 +06 0B1 80000000 80000001 01 = C0000000 00 +06 0B2 80000000 80000001 10 = C0000000 00 +06 0B3 80000000 80000001 11 = C0000000 00 +06 0B4 80000001 80000001 00 = C0000000 10 +06 0B5 80000001 80000001 01 = C0000000 10 +06 0B6 80000001 80000001 10 = C0000000 10 +06 0B7 80000001 80000001 11 = C0000000 10 +06 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 +06 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 00 +06 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 +06 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 +06 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 +06 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 +06 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +06 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 +06 0C0 00000000 FFFFFFFE 00 = 00000000 01 +06 0C1 00000000 FFFFFFFE 01 = 00000000 01 +06 0C2 00000000 FFFFFFFE 10 = 00000000 01 +06 0C3 00000000 FFFFFFFE 11 = 00000000 01 +06 0C4 00000001 FFFFFFFE 00 = 00000000 01 +06 0C5 00000001 FFFFFFFE 01 = 00000000 01 +06 0C6 00000001 FFFFFFFE 10 = 00000000 01 +06 0C7 00000001 FFFFFFFE 11 = 00000000 01 +06 0C8 00000002 FFFFFFFE 00 = 00000000 01 +06 0C9 00000002 FFFFFFFE 01 = 00000000 01 +06 0CA 00000002 FFFFFFFE 10 = 00000000 01 +06 0CB 00000002 FFFFFFFE 11 = 00000000 01 +06 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 +06 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 +06 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 10 +06 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 10 +06 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 00 +06 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 00 +06 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 00 +06 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 00 +06 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 00 +06 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 00 +06 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 00 +06 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 00 +06 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFF 10 +06 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFF 10 +06 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 +06 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 10 +06 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +06 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 +06 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +06 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +06 0E0 00000000 FFFFFFFF 00 = 00000000 01 +06 0E1 00000000 FFFFFFFF 01 = 00000000 01 +06 0E2 00000000 FFFFFFFF 10 = 00000000 01 +06 0E3 00000000 FFFFFFFF 11 = 00000000 01 +06 0E4 00000001 FFFFFFFF 00 = 00000000 01 +06 0E5 00000001 FFFFFFFF 01 = 00000000 01 +06 0E6 00000001 FFFFFFFF 10 = 00000000 01 +06 0E7 00000001 FFFFFFFF 11 = 00000000 01 +06 0E8 00000002 FFFFFFFF 00 = 00000000 01 +06 0E9 00000002 FFFFFFFF 01 = 00000000 01 +06 0EA 00000002 FFFFFFFF 10 = 00000000 01 +06 0EB 00000002 FFFFFFFF 11 = 00000000 01 +06 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 11 +06 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 11 +06 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 11 +06 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 11 +06 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 +06 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 00 +06 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 00 +06 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 00 +06 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +06 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 +06 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 +06 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 +06 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +06 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +06 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +06 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 +06 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +06 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +06 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +06 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +sal ---D---- ---S---- CZ = ---Q---- CZ +07 000 00000000 00000000 00 = 00000000 01 +07 001 00000000 00000000 01 = 00000000 01 +07 002 00000000 00000000 10 = 00000000 01 +07 003 00000000 00000000 11 = 00000000 01 +07 004 00000001 00000000 00 = 00000001 00 +07 005 00000001 00000000 01 = 00000001 00 +07 006 00000001 00000000 10 = 00000001 00 +07 007 00000001 00000000 11 = 00000001 00 +07 008 00000002 00000000 00 = 00000002 00 +07 009 00000002 00000000 01 = 00000002 00 +07 00A 00000002 00000000 10 = 00000002 00 +07 00B 00000002 00000000 11 = 00000002 00 +07 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +07 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +07 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +07 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +07 010 80000000 00000000 00 = 80000000 10 +07 011 80000000 00000000 01 = 80000000 10 +07 012 80000000 00000000 10 = 80000000 10 +07 013 80000000 00000000 11 = 80000000 10 +07 014 80000001 00000000 00 = 80000001 10 +07 015 80000001 00000000 01 = 80000001 10 +07 016 80000001 00000000 10 = 80000001 10 +07 017 80000001 00000000 11 = 80000001 10 +07 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +07 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +07 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +07 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +07 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +07 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +07 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +07 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +07 020 00000000 00000001 00 = 00000000 01 +07 021 00000000 00000001 01 = 00000000 01 +07 022 00000000 00000001 10 = 00000000 01 +07 023 00000000 00000001 11 = 00000000 01 +07 024 00000001 00000001 00 = 00000003 00 +07 025 00000001 00000001 01 = 00000003 00 +07 026 00000001 00000001 10 = 00000003 00 +07 027 00000001 00000001 11 = 00000003 00 +07 028 00000002 00000001 00 = 00000004 00 +07 029 00000002 00000001 01 = 00000004 00 +07 02A 00000002 00000001 10 = 00000004 00 +07 02B 00000002 00000001 11 = 00000004 00 +07 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 +07 02D 7FFFFFFF 00000001 01 = FFFFFFFF 00 +07 02E 7FFFFFFF 00000001 10 = FFFFFFFF 00 +07 02F 7FFFFFFF 00000001 11 = FFFFFFFF 00 +07 030 80000000 00000001 00 = 00000000 11 +07 031 80000000 00000001 01 = 00000000 11 +07 032 80000000 00000001 10 = 00000000 11 +07 033 80000000 00000001 11 = 00000000 11 +07 034 80000001 00000001 00 = 00000003 10 +07 035 80000001 00000001 01 = 00000003 10 +07 036 80000001 00000001 10 = 00000003 10 +07 037 80000001 00000001 11 = 00000003 10 +07 038 FFFFFFFE 00000001 00 = FFFFFFFC 10 +07 039 FFFFFFFE 00000001 01 = FFFFFFFC 10 +07 03A FFFFFFFE 00000001 10 = FFFFFFFC 10 +07 03B FFFFFFFE 00000001 11 = FFFFFFFC 10 +07 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +07 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +07 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +07 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +07 040 00000000 00000002 00 = 00000000 01 +07 041 00000000 00000002 01 = 00000000 01 +07 042 00000000 00000002 10 = 00000000 01 +07 043 00000000 00000002 11 = 00000000 01 +07 044 00000001 00000002 00 = 00000007 00 +07 045 00000001 00000002 01 = 00000007 00 +07 046 00000001 00000002 10 = 00000007 00 +07 047 00000001 00000002 11 = 00000007 00 +07 048 00000002 00000002 00 = 00000008 00 +07 049 00000002 00000002 01 = 00000008 00 +07 04A 00000002 00000002 10 = 00000008 00 +07 04B 00000002 00000002 11 = 00000008 00 +07 04C 7FFFFFFF 00000002 00 = FFFFFFFF 10 +07 04D 7FFFFFFF 00000002 01 = FFFFFFFF 10 +07 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 +07 04F 7FFFFFFF 00000002 11 = FFFFFFFF 10 +07 050 80000000 00000002 00 = 00000000 01 +07 051 80000000 00000002 01 = 00000000 01 +07 052 80000000 00000002 10 = 00000000 01 +07 053 80000000 00000002 11 = 00000000 01 +07 054 80000001 00000002 00 = 00000007 00 +07 055 80000001 00000002 01 = 00000007 00 +07 056 80000001 00000002 10 = 00000007 00 +07 057 80000001 00000002 11 = 00000007 00 +07 058 FFFFFFFE 00000002 00 = FFFFFFF8 10 +07 059 FFFFFFFE 00000002 01 = FFFFFFF8 10 +07 05A FFFFFFFE 00000002 10 = FFFFFFF8 10 +07 05B FFFFFFFE 00000002 11 = FFFFFFF8 10 +07 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +07 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 +07 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +07 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +07 060 00000000 7FFFFFFF 00 = 00000000 01 +07 061 00000000 7FFFFFFF 01 = 00000000 01 +07 062 00000000 7FFFFFFF 10 = 00000000 01 +07 063 00000000 7FFFFFFF 11 = 00000000 01 +07 064 00000001 7FFFFFFF 00 = FFFFFFFF 00 +07 065 00000001 7FFFFFFF 01 = FFFFFFFF 00 +07 066 00000001 7FFFFFFF 10 = FFFFFFFF 00 +07 067 00000001 7FFFFFFF 11 = FFFFFFFF 00 +07 068 00000002 7FFFFFFF 00 = 00000000 11 +07 069 00000002 7FFFFFFF 01 = 00000000 11 +07 06A 00000002 7FFFFFFF 10 = 00000000 11 +07 06B 00000002 7FFFFFFF 11 = 00000000 11 +07 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +07 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +07 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +07 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +07 070 80000000 7FFFFFFF 00 = 00000000 01 +07 071 80000000 7FFFFFFF 01 = 00000000 01 +07 072 80000000 7FFFFFFF 10 = 00000000 01 +07 073 80000000 7FFFFFFF 11 = 00000000 01 +07 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 +07 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 +07 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 +07 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 +07 078 FFFFFFFE 7FFFFFFF 00 = 00000000 11 +07 079 FFFFFFFE 7FFFFFFF 01 = 00000000 11 +07 07A FFFFFFFE 7FFFFFFF 10 = 00000000 11 +07 07B FFFFFFFE 7FFFFFFF 11 = 00000000 11 +07 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +07 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +07 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +07 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +07 080 00000000 80000000 00 = 00000000 01 +07 081 00000000 80000000 01 = 00000000 01 +07 082 00000000 80000000 10 = 00000000 01 +07 083 00000000 80000000 11 = 00000000 01 +07 084 00000001 80000000 00 = 00000001 00 +07 085 00000001 80000000 01 = 00000001 00 +07 086 00000001 80000000 10 = 00000001 00 +07 087 00000001 80000000 11 = 00000001 00 +07 088 00000002 80000000 00 = 00000002 00 +07 089 00000002 80000000 01 = 00000002 00 +07 08A 00000002 80000000 10 = 00000002 00 +07 08B 00000002 80000000 11 = 00000002 00 +07 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +07 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +07 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +07 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +07 090 80000000 80000000 00 = 80000000 10 +07 091 80000000 80000000 01 = 80000000 10 +07 092 80000000 80000000 10 = 80000000 10 +07 093 80000000 80000000 11 = 80000000 10 +07 094 80000001 80000000 00 = 80000001 10 +07 095 80000001 80000000 01 = 80000001 10 +07 096 80000001 80000000 10 = 80000001 10 +07 097 80000001 80000000 11 = 80000001 10 +07 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +07 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 +07 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +07 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 +07 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +07 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +07 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +07 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +07 0A0 00000000 80000001 00 = 00000000 01 +07 0A1 00000000 80000001 01 = 00000000 01 +07 0A2 00000000 80000001 10 = 00000000 01 +07 0A3 00000000 80000001 11 = 00000000 01 +07 0A4 00000001 80000001 00 = 00000003 00 +07 0A5 00000001 80000001 01 = 00000003 00 +07 0A6 00000001 80000001 10 = 00000003 00 +07 0A7 00000001 80000001 11 = 00000003 00 +07 0A8 00000002 80000001 00 = 00000004 00 +07 0A9 00000002 80000001 01 = 00000004 00 +07 0AA 00000002 80000001 10 = 00000004 00 +07 0AB 00000002 80000001 11 = 00000004 00 +07 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +07 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 +07 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 00 +07 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 00 +07 0B0 80000000 80000001 00 = 00000000 11 +07 0B1 80000000 80000001 01 = 00000000 11 +07 0B2 80000000 80000001 10 = 00000000 11 +07 0B3 80000000 80000001 11 = 00000000 11 +07 0B4 80000001 80000001 00 = 00000003 10 +07 0B5 80000001 80000001 01 = 00000003 10 +07 0B6 80000001 80000001 10 = 00000003 10 +07 0B7 80000001 80000001 11 = 00000003 10 +07 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 10 +07 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 10 +07 0BA FFFFFFFE 80000001 10 = FFFFFFFC 10 +07 0BB FFFFFFFE 80000001 11 = FFFFFFFC 10 +07 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 +07 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 +07 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +07 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 +07 0C0 00000000 FFFFFFFE 00 = 00000000 01 +07 0C1 00000000 FFFFFFFE 01 = 00000000 01 +07 0C2 00000000 FFFFFFFE 10 = 00000000 01 +07 0C3 00000000 FFFFFFFE 11 = 00000000 01 +07 0C4 00000001 FFFFFFFE 00 = 7FFFFFFF 00 +07 0C5 00000001 FFFFFFFE 01 = 7FFFFFFF 00 +07 0C6 00000001 FFFFFFFE 10 = 7FFFFFFF 00 +07 0C7 00000001 FFFFFFFE 11 = 7FFFFFFF 00 +07 0C8 00000002 FFFFFFFE 00 = 80000000 00 +07 0C9 00000002 FFFFFFFE 01 = 80000000 00 +07 0CA 00000002 FFFFFFFE 10 = 80000000 00 +07 0CB 00000002 FFFFFFFE 11 = 80000000 00 +07 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +07 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 10 +07 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +07 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +07 0D0 80000000 FFFFFFFE 00 = 00000000 01 +07 0D1 80000000 FFFFFFFE 01 = 00000000 01 +07 0D2 80000000 FFFFFFFE 10 = 00000000 01 +07 0D3 80000000 FFFFFFFE 11 = 00000000 01 +07 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 00 +07 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 00 +07 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 00 +07 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 00 +07 0D8 FFFFFFFE FFFFFFFE 00 = 80000000 10 +07 0D9 FFFFFFFE FFFFFFFE 01 = 80000000 10 +07 0DA FFFFFFFE FFFFFFFE 10 = 80000000 10 +07 0DB FFFFFFFE FFFFFFFE 11 = 80000000 10 +07 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +07 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 +07 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +07 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +07 0E0 00000000 FFFFFFFF 00 = 00000000 01 +07 0E1 00000000 FFFFFFFF 01 = 00000000 01 +07 0E2 00000000 FFFFFFFF 10 = 00000000 01 +07 0E3 00000000 FFFFFFFF 11 = 00000000 01 +07 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 +07 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 +07 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 00 +07 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 00 +07 0E8 00000002 FFFFFFFF 00 = 00000000 11 +07 0E9 00000002 FFFFFFFF 01 = 00000000 11 +07 0EA 00000002 FFFFFFFF 10 = 00000000 11 +07 0EB 00000002 FFFFFFFF 11 = 00000000 11 +07 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +07 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +07 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +07 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +07 0F0 80000000 FFFFFFFF 00 = 00000000 01 +07 0F1 80000000 FFFFFFFF 01 = 00000000 01 +07 0F2 80000000 FFFFFFFF 10 = 00000000 01 +07 0F3 80000000 FFFFFFFF 11 = 00000000 01 +07 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +07 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 +07 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 +07 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 +07 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 11 +07 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 11 +07 0FA FFFFFFFE FFFFFFFF 10 = 00000000 11 +07 0FB FFFFFFFE FFFFFFFF 11 = 00000000 11 +07 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +07 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +07 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +07 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +add ---D---- ---S---- CZ = ---Q---- CZ +08 000 00000000 00000000 00 = 00000000 01 +08 001 00000000 00000000 01 = 00000000 01 +08 002 00000000 00000000 10 = 00000000 01 +08 003 00000000 00000000 11 = 00000000 01 +08 004 00000001 00000000 00 = 00000001 00 +08 005 00000001 00000000 01 = 00000001 00 +08 006 00000001 00000000 10 = 00000001 00 +08 007 00000001 00000000 11 = 00000001 00 +08 008 00000002 00000000 00 = 00000002 00 +08 009 00000002 00000000 01 = 00000002 00 +08 00A 00000002 00000000 10 = 00000002 00 +08 00B 00000002 00000000 11 = 00000002 00 +08 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +08 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +08 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +08 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +08 010 80000000 00000000 00 = 80000000 00 +08 011 80000000 00000000 01 = 80000000 00 +08 012 80000000 00000000 10 = 80000000 00 +08 013 80000000 00000000 11 = 80000000 00 +08 014 80000001 00000000 00 = 80000001 00 +08 015 80000001 00000000 01 = 80000001 00 +08 016 80000001 00000000 10 = 80000001 00 +08 017 80000001 00000000 11 = 80000001 00 +08 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +08 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +08 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +08 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +08 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +08 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +08 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +08 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +08 020 00000000 00000001 00 = 00000001 00 +08 021 00000000 00000001 01 = 00000001 00 +08 022 00000000 00000001 10 = 00000001 00 +08 023 00000000 00000001 11 = 00000001 00 +08 024 00000001 00000001 00 = 00000002 00 +08 025 00000001 00000001 01 = 00000002 00 +08 026 00000001 00000001 10 = 00000002 00 +08 027 00000001 00000001 11 = 00000002 00 +08 028 00000002 00000001 00 = 00000003 00 +08 029 00000002 00000001 01 = 00000003 00 +08 02A 00000002 00000001 10 = 00000003 00 +08 02B 00000002 00000001 11 = 00000003 00 +08 02C 7FFFFFFF 00000001 00 = 80000000 00 +08 02D 7FFFFFFF 00000001 01 = 80000000 00 +08 02E 7FFFFFFF 00000001 10 = 80000000 00 +08 02F 7FFFFFFF 00000001 11 = 80000000 00 +08 030 80000000 00000001 00 = 80000001 00 +08 031 80000000 00000001 01 = 80000001 00 +08 032 80000000 00000001 10 = 80000001 00 +08 033 80000000 00000001 11 = 80000001 00 +08 034 80000001 00000001 00 = 80000002 00 +08 035 80000001 00000001 01 = 80000002 00 +08 036 80000001 00000001 10 = 80000002 00 +08 037 80000001 00000001 11 = 80000002 00 +08 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +08 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +08 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +08 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +08 03C FFFFFFFF 00000001 00 = 00000000 11 +08 03D FFFFFFFF 00000001 01 = 00000000 11 +08 03E FFFFFFFF 00000001 10 = 00000000 11 +08 03F FFFFFFFF 00000001 11 = 00000000 11 +08 040 00000000 00000002 00 = 00000002 00 +08 041 00000000 00000002 01 = 00000002 00 +08 042 00000000 00000002 10 = 00000002 00 +08 043 00000000 00000002 11 = 00000002 00 +08 044 00000001 00000002 00 = 00000003 00 +08 045 00000001 00000002 01 = 00000003 00 +08 046 00000001 00000002 10 = 00000003 00 +08 047 00000001 00000002 11 = 00000003 00 +08 048 00000002 00000002 00 = 00000004 00 +08 049 00000002 00000002 01 = 00000004 00 +08 04A 00000002 00000002 10 = 00000004 00 +08 04B 00000002 00000002 11 = 00000004 00 +08 04C 7FFFFFFF 00000002 00 = 80000001 00 +08 04D 7FFFFFFF 00000002 01 = 80000001 00 +08 04E 7FFFFFFF 00000002 10 = 80000001 00 +08 04F 7FFFFFFF 00000002 11 = 80000001 00 +08 050 80000000 00000002 00 = 80000002 00 +08 051 80000000 00000002 01 = 80000002 00 +08 052 80000000 00000002 10 = 80000002 00 +08 053 80000000 00000002 11 = 80000002 00 +08 054 80000001 00000002 00 = 80000003 00 +08 055 80000001 00000002 01 = 80000003 00 +08 056 80000001 00000002 10 = 80000003 00 +08 057 80000001 00000002 11 = 80000003 00 +08 058 FFFFFFFE 00000002 00 = 00000000 11 +08 059 FFFFFFFE 00000002 01 = 00000000 11 +08 05A FFFFFFFE 00000002 10 = 00000000 11 +08 05B FFFFFFFE 00000002 11 = 00000000 11 +08 05C FFFFFFFF 00000002 00 = 00000001 10 +08 05D FFFFFFFF 00000002 01 = 00000001 10 +08 05E FFFFFFFF 00000002 10 = 00000001 10 +08 05F FFFFFFFF 00000002 11 = 00000001 10 +08 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +08 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +08 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 +08 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 +08 064 00000001 7FFFFFFF 00 = 80000000 00 +08 065 00000001 7FFFFFFF 01 = 80000000 00 +08 066 00000001 7FFFFFFF 10 = 80000000 00 +08 067 00000001 7FFFFFFF 11 = 80000000 00 +08 068 00000002 7FFFFFFF 00 = 80000001 00 +08 069 00000002 7FFFFFFF 01 = 80000001 00 +08 06A 00000002 7FFFFFFF 10 = 80000001 00 +08 06B 00000002 7FFFFFFF 11 = 80000001 00 +08 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 00 +08 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 00 +08 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 00 +08 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 00 +08 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +08 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +08 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +08 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +08 074 80000001 7FFFFFFF 00 = 00000000 11 +08 075 80000001 7FFFFFFF 01 = 00000000 11 +08 076 80000001 7FFFFFFF 10 = 00000000 11 +08 077 80000001 7FFFFFFF 11 = 00000000 11 +08 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 10 +08 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 10 +08 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFD 10 +08 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFD 10 +08 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 10 +08 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 10 +08 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 10 +08 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 10 +08 080 00000000 80000000 00 = 80000000 00 +08 081 00000000 80000000 01 = 80000000 00 +08 082 00000000 80000000 10 = 80000000 00 +08 083 00000000 80000000 11 = 80000000 00 +08 084 00000001 80000000 00 = 80000001 00 +08 085 00000001 80000000 01 = 80000001 00 +08 086 00000001 80000000 10 = 80000001 00 +08 087 00000001 80000000 11 = 80000001 00 +08 088 00000002 80000000 00 = 80000002 00 +08 089 00000002 80000000 01 = 80000002 00 +08 08A 00000002 80000000 10 = 80000002 00 +08 08B 00000002 80000000 11 = 80000002 00 +08 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +08 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +08 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +08 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +08 090 80000000 80000000 00 = 00000000 11 +08 091 80000000 80000000 01 = 00000000 11 +08 092 80000000 80000000 10 = 00000000 11 +08 093 80000000 80000000 11 = 00000000 11 +08 094 80000001 80000000 00 = 00000001 10 +08 095 80000001 80000000 01 = 00000001 10 +08 096 80000001 80000000 10 = 00000001 10 +08 097 80000001 80000000 11 = 00000001 10 +08 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 +08 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 +08 09A FFFFFFFE 80000000 10 = 7FFFFFFE 10 +08 09B FFFFFFFE 80000000 11 = 7FFFFFFE 10 +08 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +08 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +08 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 +08 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 +08 0A0 00000000 80000001 00 = 80000001 00 +08 0A1 00000000 80000001 01 = 80000001 00 +08 0A2 00000000 80000001 10 = 80000001 00 +08 0A3 00000000 80000001 11 = 80000001 00 +08 0A4 00000001 80000001 00 = 80000002 00 +08 0A5 00000001 80000001 01 = 80000002 00 +08 0A6 00000001 80000001 10 = 80000002 00 +08 0A7 00000001 80000001 11 = 80000002 00 +08 0A8 00000002 80000001 00 = 80000003 00 +08 0A9 00000002 80000001 01 = 80000003 00 +08 0AA 00000002 80000001 10 = 80000003 00 +08 0AB 00000002 80000001 11 = 80000003 00 +08 0AC 7FFFFFFF 80000001 00 = 00000000 11 +08 0AD 7FFFFFFF 80000001 01 = 00000000 11 +08 0AE 7FFFFFFF 80000001 10 = 00000000 11 +08 0AF 7FFFFFFF 80000001 11 = 00000000 11 +08 0B0 80000000 80000001 00 = 00000001 10 +08 0B1 80000000 80000001 01 = 00000001 10 +08 0B2 80000000 80000001 10 = 00000001 10 +08 0B3 80000000 80000001 11 = 00000001 10 +08 0B4 80000001 80000001 00 = 00000002 10 +08 0B5 80000001 80000001 01 = 00000002 10 +08 0B6 80000001 80000001 10 = 00000002 10 +08 0B7 80000001 80000001 11 = 00000002 10 +08 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 +08 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 +08 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 +08 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 +08 0BC FFFFFFFF 80000001 00 = 80000000 10 +08 0BD FFFFFFFF 80000001 01 = 80000000 10 +08 0BE FFFFFFFF 80000001 10 = 80000000 10 +08 0BF FFFFFFFF 80000001 11 = 80000000 10 +08 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +08 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 +08 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 +08 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 +08 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +08 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +08 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 +08 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +08 0C8 00000002 FFFFFFFE 00 = 00000000 11 +08 0C9 00000002 FFFFFFFE 01 = 00000000 11 +08 0CA 00000002 FFFFFFFE 10 = 00000000 11 +08 0CB 00000002 FFFFFFFE 11 = 00000000 11 +08 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 10 +08 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 10 +08 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFD 10 +08 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFD 10 +08 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 +08 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 +08 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 10 +08 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 10 +08 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 +08 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 +08 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 +08 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 +08 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 10 +08 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 10 +08 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 10 +08 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 10 +08 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 10 +08 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 10 +08 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 10 +08 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 10 +08 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +08 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +08 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 +08 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +08 0E4 00000001 FFFFFFFF 00 = 00000000 11 +08 0E5 00000001 FFFFFFFF 01 = 00000000 11 +08 0E6 00000001 FFFFFFFF 10 = 00000000 11 +08 0E7 00000001 FFFFFFFF 11 = 00000000 11 +08 0E8 00000002 FFFFFFFF 00 = 00000001 10 +08 0E9 00000002 FFFFFFFF 01 = 00000001 10 +08 0EA 00000002 FFFFFFFF 10 = 00000001 10 +08 0EB 00000002 FFFFFFFF 11 = 00000001 10 +08 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 10 +08 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 10 +08 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 10 +08 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 10 +08 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 +08 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 +08 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 +08 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 +08 0F4 80000001 FFFFFFFF 00 = 80000000 10 +08 0F5 80000001 FFFFFFFF 01 = 80000000 10 +08 0F6 80000001 FFFFFFFF 10 = 80000000 10 +08 0F7 80000001 FFFFFFFF 11 = 80000000 10 +08 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 10 +08 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 10 +08 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 10 +08 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 10 +08 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 10 +08 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 10 +08 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 10 +08 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 10 +addx ---D---- ---S---- CZ = ---Q---- CZ +09 000 00000000 00000000 00 = 00000000 00 +09 001 00000000 00000000 01 = 00000000 01 +09 002 00000000 00000000 10 = 00000001 00 +09 003 00000000 00000000 11 = 00000001 00 +09 004 00000001 00000000 00 = 00000001 00 +09 005 00000001 00000000 01 = 00000001 00 +09 006 00000001 00000000 10 = 00000002 00 +09 007 00000001 00000000 11 = 00000002 00 +09 008 00000002 00000000 00 = 00000002 00 +09 009 00000002 00000000 01 = 00000002 00 +09 00A 00000002 00000000 10 = 00000003 00 +09 00B 00000002 00000000 11 = 00000003 00 +09 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +09 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +09 00E 7FFFFFFF 00000000 10 = 80000000 00 +09 00F 7FFFFFFF 00000000 11 = 80000000 00 +09 010 80000000 00000000 00 = 80000000 00 +09 011 80000000 00000000 01 = 80000000 00 +09 012 80000000 00000000 10 = 80000001 00 +09 013 80000000 00000000 11 = 80000001 00 +09 014 80000001 00000000 00 = 80000001 00 +09 015 80000001 00000000 01 = 80000001 00 +09 016 80000001 00000000 10 = 80000002 00 +09 017 80000001 00000000 11 = 80000002 00 +09 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +09 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +09 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 +09 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 +09 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +09 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +09 01E FFFFFFFF 00000000 10 = 00000000 10 +09 01F FFFFFFFF 00000000 11 = 00000000 11 +09 020 00000000 00000001 00 = 00000001 00 +09 021 00000000 00000001 01 = 00000001 00 +09 022 00000000 00000001 10 = 00000002 00 +09 023 00000000 00000001 11 = 00000002 00 +09 024 00000001 00000001 00 = 00000002 00 +09 025 00000001 00000001 01 = 00000002 00 +09 026 00000001 00000001 10 = 00000003 00 +09 027 00000001 00000001 11 = 00000003 00 +09 028 00000002 00000001 00 = 00000003 00 +09 029 00000002 00000001 01 = 00000003 00 +09 02A 00000002 00000001 10 = 00000004 00 +09 02B 00000002 00000001 11 = 00000004 00 +09 02C 7FFFFFFF 00000001 00 = 80000000 00 +09 02D 7FFFFFFF 00000001 01 = 80000000 00 +09 02E 7FFFFFFF 00000001 10 = 80000001 00 +09 02F 7FFFFFFF 00000001 11 = 80000001 00 +09 030 80000000 00000001 00 = 80000001 00 +09 031 80000000 00000001 01 = 80000001 00 +09 032 80000000 00000001 10 = 80000002 00 +09 033 80000000 00000001 11 = 80000002 00 +09 034 80000001 00000001 00 = 80000002 00 +09 035 80000001 00000001 01 = 80000002 00 +09 036 80000001 00000001 10 = 80000003 00 +09 037 80000001 00000001 11 = 80000003 00 +09 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +09 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +09 03A FFFFFFFE 00000001 10 = 00000000 10 +09 03B FFFFFFFE 00000001 11 = 00000000 11 +09 03C FFFFFFFF 00000001 00 = 00000000 10 +09 03D FFFFFFFF 00000001 01 = 00000000 11 +09 03E FFFFFFFF 00000001 10 = 00000001 10 +09 03F FFFFFFFF 00000001 11 = 00000001 10 +09 040 00000000 00000002 00 = 00000002 00 +09 041 00000000 00000002 01 = 00000002 00 +09 042 00000000 00000002 10 = 00000003 00 +09 043 00000000 00000002 11 = 00000003 00 +09 044 00000001 00000002 00 = 00000003 00 +09 045 00000001 00000002 01 = 00000003 00 +09 046 00000001 00000002 10 = 00000004 00 +09 047 00000001 00000002 11 = 00000004 00 +09 048 00000002 00000002 00 = 00000004 00 +09 049 00000002 00000002 01 = 00000004 00 +09 04A 00000002 00000002 10 = 00000005 00 +09 04B 00000002 00000002 11 = 00000005 00 +09 04C 7FFFFFFF 00000002 00 = 80000001 00 +09 04D 7FFFFFFF 00000002 01 = 80000001 00 +09 04E 7FFFFFFF 00000002 10 = 80000002 00 +09 04F 7FFFFFFF 00000002 11 = 80000002 00 +09 050 80000000 00000002 00 = 80000002 00 +09 051 80000000 00000002 01 = 80000002 00 +09 052 80000000 00000002 10 = 80000003 00 +09 053 80000000 00000002 11 = 80000003 00 +09 054 80000001 00000002 00 = 80000003 00 +09 055 80000001 00000002 01 = 80000003 00 +09 056 80000001 00000002 10 = 80000004 00 +09 057 80000001 00000002 11 = 80000004 00 +09 058 FFFFFFFE 00000002 00 = 00000000 10 +09 059 FFFFFFFE 00000002 01 = 00000000 11 +09 05A FFFFFFFE 00000002 10 = 00000001 10 +09 05B FFFFFFFE 00000002 11 = 00000001 10 +09 05C FFFFFFFF 00000002 00 = 00000001 10 +09 05D FFFFFFFF 00000002 01 = 00000001 10 +09 05E FFFFFFFF 00000002 10 = 00000002 10 +09 05F FFFFFFFF 00000002 11 = 00000002 10 +09 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +09 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +09 062 00000000 7FFFFFFF 10 = 80000000 00 +09 063 00000000 7FFFFFFF 11 = 80000000 00 +09 064 00000001 7FFFFFFF 00 = 80000000 00 +09 065 00000001 7FFFFFFF 01 = 80000000 00 +09 066 00000001 7FFFFFFF 10 = 80000001 00 +09 067 00000001 7FFFFFFF 11 = 80000001 00 +09 068 00000002 7FFFFFFF 00 = 80000001 00 +09 069 00000002 7FFFFFFF 01 = 80000001 00 +09 06A 00000002 7FFFFFFF 10 = 80000002 00 +09 06B 00000002 7FFFFFFF 11 = 80000002 00 +09 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 00 +09 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 00 +09 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +09 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +09 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +09 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +09 072 80000000 7FFFFFFF 10 = 00000000 10 +09 073 80000000 7FFFFFFF 11 = 00000000 11 +09 074 80000001 7FFFFFFF 00 = 00000000 10 +09 075 80000001 7FFFFFFF 01 = 00000000 11 +09 076 80000001 7FFFFFFF 10 = 00000001 10 +09 077 80000001 7FFFFFFF 11 = 00000001 10 +09 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 10 +09 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 10 +09 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 10 +09 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 10 +09 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 10 +09 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 10 +09 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +09 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +09 080 00000000 80000000 00 = 80000000 00 +09 081 00000000 80000000 01 = 80000000 00 +09 082 00000000 80000000 10 = 80000001 00 +09 083 00000000 80000000 11 = 80000001 00 +09 084 00000001 80000000 00 = 80000001 00 +09 085 00000001 80000000 01 = 80000001 00 +09 086 00000001 80000000 10 = 80000002 00 +09 087 00000001 80000000 11 = 80000002 00 +09 088 00000002 80000000 00 = 80000002 00 +09 089 00000002 80000000 01 = 80000002 00 +09 08A 00000002 80000000 10 = 80000003 00 +09 08B 00000002 80000000 11 = 80000003 00 +09 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +09 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +09 08E 7FFFFFFF 80000000 10 = 00000000 10 +09 08F 7FFFFFFF 80000000 11 = 00000000 11 +09 090 80000000 80000000 00 = 00000000 10 +09 091 80000000 80000000 01 = 00000000 11 +09 092 80000000 80000000 10 = 00000001 10 +09 093 80000000 80000000 11 = 00000001 10 +09 094 80000001 80000000 00 = 00000001 10 +09 095 80000001 80000000 01 = 00000001 10 +09 096 80000001 80000000 10 = 00000002 10 +09 097 80000001 80000000 11 = 00000002 10 +09 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 +09 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 +09 09A FFFFFFFE 80000000 10 = 7FFFFFFF 10 +09 09B FFFFFFFE 80000000 11 = 7FFFFFFF 10 +09 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +09 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +09 09E FFFFFFFF 80000000 10 = 80000000 10 +09 09F FFFFFFFF 80000000 11 = 80000000 10 +09 0A0 00000000 80000001 00 = 80000001 00 +09 0A1 00000000 80000001 01 = 80000001 00 +09 0A2 00000000 80000001 10 = 80000002 00 +09 0A3 00000000 80000001 11 = 80000002 00 +09 0A4 00000001 80000001 00 = 80000002 00 +09 0A5 00000001 80000001 01 = 80000002 00 +09 0A6 00000001 80000001 10 = 80000003 00 +09 0A7 00000001 80000001 11 = 80000003 00 +09 0A8 00000002 80000001 00 = 80000003 00 +09 0A9 00000002 80000001 01 = 80000003 00 +09 0AA 00000002 80000001 10 = 80000004 00 +09 0AB 00000002 80000001 11 = 80000004 00 +09 0AC 7FFFFFFF 80000001 00 = 00000000 10 +09 0AD 7FFFFFFF 80000001 01 = 00000000 11 +09 0AE 7FFFFFFF 80000001 10 = 00000001 10 +09 0AF 7FFFFFFF 80000001 11 = 00000001 10 +09 0B0 80000000 80000001 00 = 00000001 10 +09 0B1 80000000 80000001 01 = 00000001 10 +09 0B2 80000000 80000001 10 = 00000002 10 +09 0B3 80000000 80000001 11 = 00000002 10 +09 0B4 80000001 80000001 00 = 00000002 10 +09 0B5 80000001 80000001 01 = 00000002 10 +09 0B6 80000001 80000001 10 = 00000003 10 +09 0B7 80000001 80000001 11 = 00000003 10 +09 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 +09 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 +09 0BA FFFFFFFE 80000001 10 = 80000000 10 +09 0BB FFFFFFFE 80000001 11 = 80000000 10 +09 0BC FFFFFFFF 80000001 00 = 80000000 10 +09 0BD FFFFFFFF 80000001 01 = 80000000 10 +09 0BE FFFFFFFF 80000001 10 = 80000001 10 +09 0BF FFFFFFFF 80000001 11 = 80000001 10 +09 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +09 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 +09 0C2 00000000 FFFFFFFE 10 = FFFFFFFF 00 +09 0C3 00000000 FFFFFFFE 11 = FFFFFFFF 00 +09 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +09 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +09 0C6 00000001 FFFFFFFE 10 = 00000000 10 +09 0C7 00000001 FFFFFFFE 11 = 00000000 11 +09 0C8 00000002 FFFFFFFE 00 = 00000000 10 +09 0C9 00000002 FFFFFFFE 01 = 00000000 11 +09 0CA 00000002 FFFFFFFE 10 = 00000001 10 +09 0CB 00000002 FFFFFFFE 11 = 00000001 10 +09 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 10 +09 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 10 +09 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 10 +09 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 10 +09 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 +09 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 +09 0D2 80000000 FFFFFFFE 10 = 7FFFFFFF 10 +09 0D3 80000000 FFFFFFFE 11 = 7FFFFFFF 10 +09 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 +09 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 +09 0D6 80000001 FFFFFFFE 10 = 80000000 10 +09 0D7 80000001 FFFFFFFE 11 = 80000000 10 +09 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 10 +09 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 10 +09 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFD 10 +09 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFD 10 +09 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 10 +09 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 10 +09 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +09 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +09 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +09 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +09 0E2 00000000 FFFFFFFF 10 = 00000000 10 +09 0E3 00000000 FFFFFFFF 11 = 00000000 11 +09 0E4 00000001 FFFFFFFF 00 = 00000000 10 +09 0E5 00000001 FFFFFFFF 01 = 00000000 11 +09 0E6 00000001 FFFFFFFF 10 = 00000001 10 +09 0E7 00000001 FFFFFFFF 11 = 00000001 10 +09 0E8 00000002 FFFFFFFF 00 = 00000001 10 +09 0E9 00000002 FFFFFFFF 01 = 00000001 10 +09 0EA 00000002 FFFFFFFF 10 = 00000002 10 +09 0EB 00000002 FFFFFFFF 11 = 00000002 10 +09 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 10 +09 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 10 +09 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +09 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 +09 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 +09 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 +09 0F2 80000000 FFFFFFFF 10 = 80000000 10 +09 0F3 80000000 FFFFFFFF 11 = 80000000 10 +09 0F4 80000001 FFFFFFFF 00 = 80000000 10 +09 0F5 80000001 FFFFFFFF 01 = 80000000 10 +09 0F6 80000001 FFFFFFFF 10 = 80000001 10 +09 0F7 80000001 FFFFFFFF 11 = 80000001 10 +09 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 10 +09 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 10 +09 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +09 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +09 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 10 +09 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 10 +09 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +09 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +adds ---D---- ---S---- CZ = ---Q---- CZ +0A 000 00000000 00000000 00 = 00000000 01 +0A 001 00000000 00000000 01 = 00000000 01 +0A 002 00000000 00000000 10 = 00000000 01 +0A 003 00000000 00000000 11 = 00000000 01 +0A 004 00000001 00000000 00 = 00000001 00 +0A 005 00000001 00000000 01 = 00000001 00 +0A 006 00000001 00000000 10 = 00000001 00 +0A 007 00000001 00000000 11 = 00000001 00 +0A 008 00000002 00000000 00 = 00000002 00 +0A 009 00000002 00000000 01 = 00000002 00 +0A 00A 00000002 00000000 10 = 00000002 00 +0A 00B 00000002 00000000 11 = 00000002 00 +0A 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +0A 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +0A 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +0A 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +0A 010 80000000 00000000 00 = 80000000 00 +0A 011 80000000 00000000 01 = 80000000 00 +0A 012 80000000 00000000 10 = 80000000 00 +0A 013 80000000 00000000 11 = 80000000 00 +0A 014 80000001 00000000 00 = 80000001 00 +0A 015 80000001 00000000 01 = 80000001 00 +0A 016 80000001 00000000 10 = 80000001 00 +0A 017 80000001 00000000 11 = 80000001 00 +0A 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +0A 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +0A 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +0A 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +0A 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +0A 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +0A 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +0A 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +0A 020 00000000 00000001 00 = 00000001 00 +0A 021 00000000 00000001 01 = 00000001 00 +0A 022 00000000 00000001 10 = 00000001 00 +0A 023 00000000 00000001 11 = 00000001 00 +0A 024 00000001 00000001 00 = 00000002 00 +0A 025 00000001 00000001 01 = 00000002 00 +0A 026 00000001 00000001 10 = 00000002 00 +0A 027 00000001 00000001 11 = 00000002 00 +0A 028 00000002 00000001 00 = 00000003 00 +0A 029 00000002 00000001 01 = 00000003 00 +0A 02A 00000002 00000001 10 = 00000003 00 +0A 02B 00000002 00000001 11 = 00000003 00 +0A 02C 7FFFFFFF 00000001 00 = 80000000 10 +0A 02D 7FFFFFFF 00000001 01 = 80000000 10 +0A 02E 7FFFFFFF 00000001 10 = 80000000 10 +0A 02F 7FFFFFFF 00000001 11 = 80000000 10 +0A 030 80000000 00000001 00 = 80000001 00 +0A 031 80000000 00000001 01 = 80000001 00 +0A 032 80000000 00000001 10 = 80000001 00 +0A 033 80000000 00000001 11 = 80000001 00 +0A 034 80000001 00000001 00 = 80000002 00 +0A 035 80000001 00000001 01 = 80000002 00 +0A 036 80000001 00000001 10 = 80000002 00 +0A 037 80000001 00000001 11 = 80000002 00 +0A 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +0A 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +0A 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +0A 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +0A 03C FFFFFFFF 00000001 00 = 00000000 01 +0A 03D FFFFFFFF 00000001 01 = 00000000 01 +0A 03E FFFFFFFF 00000001 10 = 00000000 01 +0A 03F FFFFFFFF 00000001 11 = 00000000 01 +0A 040 00000000 00000002 00 = 00000002 00 +0A 041 00000000 00000002 01 = 00000002 00 +0A 042 00000000 00000002 10 = 00000002 00 +0A 043 00000000 00000002 11 = 00000002 00 +0A 044 00000001 00000002 00 = 00000003 00 +0A 045 00000001 00000002 01 = 00000003 00 +0A 046 00000001 00000002 10 = 00000003 00 +0A 047 00000001 00000002 11 = 00000003 00 +0A 048 00000002 00000002 00 = 00000004 00 +0A 049 00000002 00000002 01 = 00000004 00 +0A 04A 00000002 00000002 10 = 00000004 00 +0A 04B 00000002 00000002 11 = 00000004 00 +0A 04C 7FFFFFFF 00000002 00 = 80000001 10 +0A 04D 7FFFFFFF 00000002 01 = 80000001 10 +0A 04E 7FFFFFFF 00000002 10 = 80000001 10 +0A 04F 7FFFFFFF 00000002 11 = 80000001 10 +0A 050 80000000 00000002 00 = 80000002 00 +0A 051 80000000 00000002 01 = 80000002 00 +0A 052 80000000 00000002 10 = 80000002 00 +0A 053 80000000 00000002 11 = 80000002 00 +0A 054 80000001 00000002 00 = 80000003 00 +0A 055 80000001 00000002 01 = 80000003 00 +0A 056 80000001 00000002 10 = 80000003 00 +0A 057 80000001 00000002 11 = 80000003 00 +0A 058 FFFFFFFE 00000002 00 = 00000000 01 +0A 059 FFFFFFFE 00000002 01 = 00000000 01 +0A 05A FFFFFFFE 00000002 10 = 00000000 01 +0A 05B FFFFFFFE 00000002 11 = 00000000 01 +0A 05C FFFFFFFF 00000002 00 = 00000001 00 +0A 05D FFFFFFFF 00000002 01 = 00000001 00 +0A 05E FFFFFFFF 00000002 10 = 00000001 00 +0A 05F FFFFFFFF 00000002 11 = 00000001 00 +0A 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +0A 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +0A 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 +0A 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 +0A 064 00000001 7FFFFFFF 00 = 80000000 10 +0A 065 00000001 7FFFFFFF 01 = 80000000 10 +0A 066 00000001 7FFFFFFF 10 = 80000000 10 +0A 067 00000001 7FFFFFFF 11 = 80000000 10 +0A 068 00000002 7FFFFFFF 00 = 80000001 10 +0A 069 00000002 7FFFFFFF 01 = 80000001 10 +0A 06A 00000002 7FFFFFFF 10 = 80000001 10 +0A 06B 00000002 7FFFFFFF 11 = 80000001 10 +0A 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 +0A 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 +0A 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 +0A 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 +0A 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +0A 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +0A 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +0A 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +0A 074 80000001 7FFFFFFF 00 = 00000000 01 +0A 075 80000001 7FFFFFFF 01 = 00000000 01 +0A 076 80000001 7FFFFFFF 10 = 00000000 01 +0A 077 80000001 7FFFFFFF 11 = 00000000 01 +0A 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 00 +0A 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 00 +0A 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFD 00 +0A 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFD 00 +0A 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 +0A 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 +0A 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 +0A 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 +0A 080 00000000 80000000 00 = 80000000 00 +0A 081 00000000 80000000 01 = 80000000 00 +0A 082 00000000 80000000 10 = 80000000 00 +0A 083 00000000 80000000 11 = 80000000 00 +0A 084 00000001 80000000 00 = 80000001 00 +0A 085 00000001 80000000 01 = 80000001 00 +0A 086 00000001 80000000 10 = 80000001 00 +0A 087 00000001 80000000 11 = 80000001 00 +0A 088 00000002 80000000 00 = 80000002 00 +0A 089 00000002 80000000 01 = 80000002 00 +0A 08A 00000002 80000000 10 = 80000002 00 +0A 08B 00000002 80000000 11 = 80000002 00 +0A 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +0A 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +0A 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +0A 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +0A 090 80000000 80000000 00 = 00000000 11 +0A 091 80000000 80000000 01 = 00000000 11 +0A 092 80000000 80000000 10 = 00000000 11 +0A 093 80000000 80000000 11 = 00000000 11 +0A 094 80000001 80000000 00 = 00000001 10 +0A 095 80000001 80000000 01 = 00000001 10 +0A 096 80000001 80000000 10 = 00000001 10 +0A 097 80000001 80000000 11 = 00000001 10 +0A 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 +0A 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 +0A 09A FFFFFFFE 80000000 10 = 7FFFFFFE 10 +0A 09B FFFFFFFE 80000000 11 = 7FFFFFFE 10 +0A 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +0A 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +0A 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 +0A 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 +0A 0A0 00000000 80000001 00 = 80000001 00 +0A 0A1 00000000 80000001 01 = 80000001 00 +0A 0A2 00000000 80000001 10 = 80000001 00 +0A 0A3 00000000 80000001 11 = 80000001 00 +0A 0A4 00000001 80000001 00 = 80000002 00 +0A 0A5 00000001 80000001 01 = 80000002 00 +0A 0A6 00000001 80000001 10 = 80000002 00 +0A 0A7 00000001 80000001 11 = 80000002 00 +0A 0A8 00000002 80000001 00 = 80000003 00 +0A 0A9 00000002 80000001 01 = 80000003 00 +0A 0AA 00000002 80000001 10 = 80000003 00 +0A 0AB 00000002 80000001 11 = 80000003 00 +0A 0AC 7FFFFFFF 80000001 00 = 00000000 01 +0A 0AD 7FFFFFFF 80000001 01 = 00000000 01 +0A 0AE 7FFFFFFF 80000001 10 = 00000000 01 +0A 0AF 7FFFFFFF 80000001 11 = 00000000 01 +0A 0B0 80000000 80000001 00 = 00000001 10 +0A 0B1 80000000 80000001 01 = 00000001 10 +0A 0B2 80000000 80000001 10 = 00000001 10 +0A 0B3 80000000 80000001 11 = 00000001 10 +0A 0B4 80000001 80000001 00 = 00000002 10 +0A 0B5 80000001 80000001 01 = 00000002 10 +0A 0B6 80000001 80000001 10 = 00000002 10 +0A 0B7 80000001 80000001 11 = 00000002 10 +0A 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 +0A 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 +0A 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 +0A 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 +0A 0BC FFFFFFFF 80000001 00 = 80000000 00 +0A 0BD FFFFFFFF 80000001 01 = 80000000 00 +0A 0BE FFFFFFFF 80000001 10 = 80000000 00 +0A 0BF FFFFFFFF 80000001 11 = 80000000 00 +0A 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +0A 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 +0A 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 +0A 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 +0A 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +0A 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +0A 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 +0A 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +0A 0C8 00000002 FFFFFFFE 00 = 00000000 01 +0A 0C9 00000002 FFFFFFFE 01 = 00000000 01 +0A 0CA 00000002 FFFFFFFE 10 = 00000000 01 +0A 0CB 00000002 FFFFFFFE 11 = 00000000 01 +0A 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 00 +0A 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 00 +0A 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFD 00 +0A 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFD 00 +0A 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 +0A 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 +0A 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 10 +0A 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 10 +0A 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 +0A 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 +0A 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 +0A 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 +0A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 +0A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 +0A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 00 +0A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 00 +0A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 +0A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 +0A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 00 +0A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 00 +0A 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +0A 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +0A 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 +0A 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +0A 0E4 00000001 FFFFFFFF 00 = 00000000 01 +0A 0E5 00000001 FFFFFFFF 01 = 00000000 01 +0A 0E6 00000001 FFFFFFFF 10 = 00000000 01 +0A 0E7 00000001 FFFFFFFF 11 = 00000000 01 +0A 0E8 00000002 FFFFFFFF 00 = 00000001 00 +0A 0E9 00000002 FFFFFFFF 01 = 00000001 00 +0A 0EA 00000002 FFFFFFFF 10 = 00000001 00 +0A 0EB 00000002 FFFFFFFF 11 = 00000001 00 +0A 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 +0A 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 +0A 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 00 +0A 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 00 +0A 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 +0A 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 +0A 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 +0A 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 +0A 0F4 80000001 FFFFFFFF 00 = 80000000 00 +0A 0F5 80000001 FFFFFFFF 01 = 80000000 00 +0A 0F6 80000001 FFFFFFFF 10 = 80000000 00 +0A 0F7 80000001 FFFFFFFF 11 = 80000000 00 +0A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 +0A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 +0A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 +0A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 +0A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 +0A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 +0A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 +0A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 +addsx ---D---- ---S---- CZ = ---Q---- CZ +0B 000 00000000 00000000 00 = 00000000 00 +0B 001 00000000 00000000 01 = 00000000 01 +0B 002 00000000 00000000 10 = 00000001 00 +0B 003 00000000 00000000 11 = 00000001 00 +0B 004 00000001 00000000 00 = 00000001 00 +0B 005 00000001 00000000 01 = 00000001 00 +0B 006 00000001 00000000 10 = 00000002 00 +0B 007 00000001 00000000 11 = 00000002 00 +0B 008 00000002 00000000 00 = 00000002 00 +0B 009 00000002 00000000 01 = 00000002 00 +0B 00A 00000002 00000000 10 = 00000003 00 +0B 00B 00000002 00000000 11 = 00000003 00 +0B 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +0B 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +0B 00E 7FFFFFFF 00000000 10 = 80000000 10 +0B 00F 7FFFFFFF 00000000 11 = 80000000 10 +0B 010 80000000 00000000 00 = 80000000 00 +0B 011 80000000 00000000 01 = 80000000 00 +0B 012 80000000 00000000 10 = 80000001 00 +0B 013 80000000 00000000 11 = 80000001 00 +0B 014 80000001 00000000 00 = 80000001 00 +0B 015 80000001 00000000 01 = 80000001 00 +0B 016 80000001 00000000 10 = 80000002 00 +0B 017 80000001 00000000 11 = 80000002 00 +0B 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +0B 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +0B 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 +0B 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 +0B 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +0B 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +0B 01E FFFFFFFF 00000000 10 = 00000000 00 +0B 01F FFFFFFFF 00000000 11 = 00000000 01 +0B 020 00000000 00000001 00 = 00000001 00 +0B 021 00000000 00000001 01 = 00000001 00 +0B 022 00000000 00000001 10 = 00000002 00 +0B 023 00000000 00000001 11 = 00000002 00 +0B 024 00000001 00000001 00 = 00000002 00 +0B 025 00000001 00000001 01 = 00000002 00 +0B 026 00000001 00000001 10 = 00000003 00 +0B 027 00000001 00000001 11 = 00000003 00 +0B 028 00000002 00000001 00 = 00000003 00 +0B 029 00000002 00000001 01 = 00000003 00 +0B 02A 00000002 00000001 10 = 00000004 00 +0B 02B 00000002 00000001 11 = 00000004 00 +0B 02C 7FFFFFFF 00000001 00 = 80000000 10 +0B 02D 7FFFFFFF 00000001 01 = 80000000 10 +0B 02E 7FFFFFFF 00000001 10 = 80000001 10 +0B 02F 7FFFFFFF 00000001 11 = 80000001 10 +0B 030 80000000 00000001 00 = 80000001 00 +0B 031 80000000 00000001 01 = 80000001 00 +0B 032 80000000 00000001 10 = 80000002 00 +0B 033 80000000 00000001 11 = 80000002 00 +0B 034 80000001 00000001 00 = 80000002 00 +0B 035 80000001 00000001 01 = 80000002 00 +0B 036 80000001 00000001 10 = 80000003 00 +0B 037 80000001 00000001 11 = 80000003 00 +0B 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +0B 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +0B 03A FFFFFFFE 00000001 10 = 00000000 00 +0B 03B FFFFFFFE 00000001 11 = 00000000 01 +0B 03C FFFFFFFF 00000001 00 = 00000000 00 +0B 03D FFFFFFFF 00000001 01 = 00000000 01 +0B 03E FFFFFFFF 00000001 10 = 00000001 00 +0B 03F FFFFFFFF 00000001 11 = 00000001 00 +0B 040 00000000 00000002 00 = 00000002 00 +0B 041 00000000 00000002 01 = 00000002 00 +0B 042 00000000 00000002 10 = 00000003 00 +0B 043 00000000 00000002 11 = 00000003 00 +0B 044 00000001 00000002 00 = 00000003 00 +0B 045 00000001 00000002 01 = 00000003 00 +0B 046 00000001 00000002 10 = 00000004 00 +0B 047 00000001 00000002 11 = 00000004 00 +0B 048 00000002 00000002 00 = 00000004 00 +0B 049 00000002 00000002 01 = 00000004 00 +0B 04A 00000002 00000002 10 = 00000005 00 +0B 04B 00000002 00000002 11 = 00000005 00 +0B 04C 7FFFFFFF 00000002 00 = 80000001 10 +0B 04D 7FFFFFFF 00000002 01 = 80000001 10 +0B 04E 7FFFFFFF 00000002 10 = 80000002 10 +0B 04F 7FFFFFFF 00000002 11 = 80000002 10 +0B 050 80000000 00000002 00 = 80000002 00 +0B 051 80000000 00000002 01 = 80000002 00 +0B 052 80000000 00000002 10 = 80000003 00 +0B 053 80000000 00000002 11 = 80000003 00 +0B 054 80000001 00000002 00 = 80000003 00 +0B 055 80000001 00000002 01 = 80000003 00 +0B 056 80000001 00000002 10 = 80000004 00 +0B 057 80000001 00000002 11 = 80000004 00 +0B 058 FFFFFFFE 00000002 00 = 00000000 00 +0B 059 FFFFFFFE 00000002 01 = 00000000 01 +0B 05A FFFFFFFE 00000002 10 = 00000001 00 +0B 05B FFFFFFFE 00000002 11 = 00000001 00 +0B 05C FFFFFFFF 00000002 00 = 00000001 00 +0B 05D FFFFFFFF 00000002 01 = 00000001 00 +0B 05E FFFFFFFF 00000002 10 = 00000002 00 +0B 05F FFFFFFFF 00000002 11 = 00000002 00 +0B 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +0B 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +0B 062 00000000 7FFFFFFF 10 = 80000000 10 +0B 063 00000000 7FFFFFFF 11 = 80000000 10 +0B 064 00000001 7FFFFFFF 00 = 80000000 10 +0B 065 00000001 7FFFFFFF 01 = 80000000 10 +0B 066 00000001 7FFFFFFF 10 = 80000001 10 +0B 067 00000001 7FFFFFFF 11 = 80000001 10 +0B 068 00000002 7FFFFFFF 00 = 80000001 10 +0B 069 00000002 7FFFFFFF 01 = 80000001 10 +0B 06A 00000002 7FFFFFFF 10 = 80000002 10 +0B 06B 00000002 7FFFFFFF 11 = 80000002 10 +0B 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 +0B 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 +0B 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +0B 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +0B 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +0B 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +0B 072 80000000 7FFFFFFF 10 = 00000000 00 +0B 073 80000000 7FFFFFFF 11 = 00000000 01 +0B 074 80000001 7FFFFFFF 00 = 00000000 00 +0B 075 80000001 7FFFFFFF 01 = 00000000 01 +0B 076 80000001 7FFFFFFF 10 = 00000001 00 +0B 077 80000001 7FFFFFFF 11 = 00000001 00 +0B 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 00 +0B 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 00 +0B 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 00 +0B 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 00 +0B 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 +0B 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 +0B 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +0B 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +0B 080 00000000 80000000 00 = 80000000 00 +0B 081 00000000 80000000 01 = 80000000 00 +0B 082 00000000 80000000 10 = 80000001 00 +0B 083 00000000 80000000 11 = 80000001 00 +0B 084 00000001 80000000 00 = 80000001 00 +0B 085 00000001 80000000 01 = 80000001 00 +0B 086 00000001 80000000 10 = 80000002 00 +0B 087 00000001 80000000 11 = 80000002 00 +0B 088 00000002 80000000 00 = 80000002 00 +0B 089 00000002 80000000 01 = 80000002 00 +0B 08A 00000002 80000000 10 = 80000003 00 +0B 08B 00000002 80000000 11 = 80000003 00 +0B 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +0B 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +0B 08E 7FFFFFFF 80000000 10 = 00000000 00 +0B 08F 7FFFFFFF 80000000 11 = 00000000 01 +0B 090 80000000 80000000 00 = 00000000 10 +0B 091 80000000 80000000 01 = 00000000 11 +0B 092 80000000 80000000 10 = 00000001 10 +0B 093 80000000 80000000 11 = 00000001 10 +0B 094 80000001 80000000 00 = 00000001 10 +0B 095 80000001 80000000 01 = 00000001 10 +0B 096 80000001 80000000 10 = 00000002 10 +0B 097 80000001 80000000 11 = 00000002 10 +0B 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 +0B 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 +0B 09A FFFFFFFE 80000000 10 = 7FFFFFFF 10 +0B 09B FFFFFFFE 80000000 11 = 7FFFFFFF 10 +0B 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +0B 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +0B 09E FFFFFFFF 80000000 10 = 80000000 00 +0B 09F FFFFFFFF 80000000 11 = 80000000 00 +0B 0A0 00000000 80000001 00 = 80000001 00 +0B 0A1 00000000 80000001 01 = 80000001 00 +0B 0A2 00000000 80000001 10 = 80000002 00 +0B 0A3 00000000 80000001 11 = 80000002 00 +0B 0A4 00000001 80000001 00 = 80000002 00 +0B 0A5 00000001 80000001 01 = 80000002 00 +0B 0A6 00000001 80000001 10 = 80000003 00 +0B 0A7 00000001 80000001 11 = 80000003 00 +0B 0A8 00000002 80000001 00 = 80000003 00 +0B 0A9 00000002 80000001 01 = 80000003 00 +0B 0AA 00000002 80000001 10 = 80000004 00 +0B 0AB 00000002 80000001 11 = 80000004 00 +0B 0AC 7FFFFFFF 80000001 00 = 00000000 00 +0B 0AD 7FFFFFFF 80000001 01 = 00000000 01 +0B 0AE 7FFFFFFF 80000001 10 = 00000001 00 +0B 0AF 7FFFFFFF 80000001 11 = 00000001 00 +0B 0B0 80000000 80000001 00 = 00000001 10 +0B 0B1 80000000 80000001 01 = 00000001 10 +0B 0B2 80000000 80000001 10 = 00000002 10 +0B 0B3 80000000 80000001 11 = 00000002 10 +0B 0B4 80000001 80000001 00 = 00000002 10 +0B 0B5 80000001 80000001 01 = 00000002 10 +0B 0B6 80000001 80000001 10 = 00000003 10 +0B 0B7 80000001 80000001 11 = 00000003 10 +0B 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 +0B 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 +0B 0BA FFFFFFFE 80000001 10 = 80000000 00 +0B 0BB FFFFFFFE 80000001 11 = 80000000 00 +0B 0BC FFFFFFFF 80000001 00 = 80000000 00 +0B 0BD FFFFFFFF 80000001 01 = 80000000 00 +0B 0BE FFFFFFFF 80000001 10 = 80000001 00 +0B 0BF FFFFFFFF 80000001 11 = 80000001 00 +0B 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +0B 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 +0B 0C2 00000000 FFFFFFFE 10 = FFFFFFFF 00 +0B 0C3 00000000 FFFFFFFE 11 = FFFFFFFF 00 +0B 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +0B 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +0B 0C6 00000001 FFFFFFFE 10 = 00000000 00 +0B 0C7 00000001 FFFFFFFE 11 = 00000000 01 +0B 0C8 00000002 FFFFFFFE 00 = 00000000 00 +0B 0C9 00000002 FFFFFFFE 01 = 00000000 01 +0B 0CA 00000002 FFFFFFFE 10 = 00000001 00 +0B 0CB 00000002 FFFFFFFE 11 = 00000001 00 +0B 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 00 +0B 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 00 +0B 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 00 +0B 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 00 +0B 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 +0B 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 +0B 0D2 80000000 FFFFFFFE 10 = 7FFFFFFF 10 +0B 0D3 80000000 FFFFFFFE 11 = 7FFFFFFF 10 +0B 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 +0B 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 +0B 0D6 80000001 FFFFFFFE 10 = 80000000 00 +0B 0D7 80000001 FFFFFFFE 11 = 80000000 00 +0B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 +0B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 +0B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFD 00 +0B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFD 00 +0B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 +0B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 +0B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 00 +0B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 00 +0B 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +0B 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +0B 0E2 00000000 FFFFFFFF 10 = 00000000 00 +0B 0E3 00000000 FFFFFFFF 11 = 00000000 01 +0B 0E4 00000001 FFFFFFFF 00 = 00000000 00 +0B 0E5 00000001 FFFFFFFF 01 = 00000000 01 +0B 0E6 00000001 FFFFFFFF 10 = 00000001 00 +0B 0E7 00000001 FFFFFFFF 11 = 00000001 00 +0B 0E8 00000002 FFFFFFFF 00 = 00000001 00 +0B 0E9 00000002 FFFFFFFF 01 = 00000001 00 +0B 0EA 00000002 FFFFFFFF 10 = 00000002 00 +0B 0EB 00000002 FFFFFFFF 11 = 00000002 00 +0B 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 +0B 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 +0B 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +0B 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +0B 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 +0B 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 +0B 0F2 80000000 FFFFFFFF 10 = 80000000 00 +0B 0F3 80000000 FFFFFFFF 11 = 80000000 00 +0B 0F4 80000001 FFFFFFFF 00 = 80000000 00 +0B 0F5 80000001 FFFFFFFF 01 = 80000000 00 +0B 0F6 80000001 FFFFFFFF 10 = 80000001 00 +0B 0F7 80000001 FFFFFFFF 11 = 80000001 00 +0B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 +0B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 +0B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 +0B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 +0B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 +0B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 +0B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +0B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +sub ---D---- ---S---- CZ = ---Q---- CZ +0C 000 00000000 00000000 00 = 00000000 01 +0C 001 00000000 00000000 01 = 00000000 01 +0C 002 00000000 00000000 10 = 00000000 01 +0C 003 00000000 00000000 11 = 00000000 01 +0C 004 00000001 00000000 00 = 00000001 00 +0C 005 00000001 00000000 01 = 00000001 00 +0C 006 00000001 00000000 10 = 00000001 00 +0C 007 00000001 00000000 11 = 00000001 00 +0C 008 00000002 00000000 00 = 00000002 00 +0C 009 00000002 00000000 01 = 00000002 00 +0C 00A 00000002 00000000 10 = 00000002 00 +0C 00B 00000002 00000000 11 = 00000002 00 +0C 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +0C 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +0C 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +0C 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +0C 010 80000000 00000000 00 = 80000000 00 +0C 011 80000000 00000000 01 = 80000000 00 +0C 012 80000000 00000000 10 = 80000000 00 +0C 013 80000000 00000000 11 = 80000000 00 +0C 014 80000001 00000000 00 = 80000001 00 +0C 015 80000001 00000000 01 = 80000001 00 +0C 016 80000001 00000000 10 = 80000001 00 +0C 017 80000001 00000000 11 = 80000001 00 +0C 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +0C 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +0C 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +0C 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +0C 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +0C 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +0C 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +0C 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +0C 020 00000000 00000001 00 = FFFFFFFF 10 +0C 021 00000000 00000001 01 = FFFFFFFF 10 +0C 022 00000000 00000001 10 = FFFFFFFF 10 +0C 023 00000000 00000001 11 = FFFFFFFF 10 +0C 024 00000001 00000001 00 = 00000000 01 +0C 025 00000001 00000001 01 = 00000000 01 +0C 026 00000001 00000001 10 = 00000000 01 +0C 027 00000001 00000001 11 = 00000000 01 +0C 028 00000002 00000001 00 = 00000001 00 +0C 029 00000002 00000001 01 = 00000001 00 +0C 02A 00000002 00000001 10 = 00000001 00 +0C 02B 00000002 00000001 11 = 00000001 00 +0C 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +0C 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +0C 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 +0C 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 +0C 030 80000000 00000001 00 = 7FFFFFFF 00 +0C 031 80000000 00000001 01 = 7FFFFFFF 00 +0C 032 80000000 00000001 10 = 7FFFFFFF 00 +0C 033 80000000 00000001 11 = 7FFFFFFF 00 +0C 034 80000001 00000001 00 = 80000000 00 +0C 035 80000001 00000001 01 = 80000000 00 +0C 036 80000001 00000001 10 = 80000000 00 +0C 037 80000001 00000001 11 = 80000000 00 +0C 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 +0C 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 +0C 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 +0C 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 +0C 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 +0C 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 +0C 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 +0C 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 +0C 040 00000000 00000002 00 = FFFFFFFE 10 +0C 041 00000000 00000002 01 = FFFFFFFE 10 +0C 042 00000000 00000002 10 = FFFFFFFE 10 +0C 043 00000000 00000002 11 = FFFFFFFE 10 +0C 044 00000001 00000002 00 = FFFFFFFF 10 +0C 045 00000001 00000002 01 = FFFFFFFF 10 +0C 046 00000001 00000002 10 = FFFFFFFF 10 +0C 047 00000001 00000002 11 = FFFFFFFF 10 +0C 048 00000002 00000002 00 = 00000000 01 +0C 049 00000002 00000002 01 = 00000000 01 +0C 04A 00000002 00000002 10 = 00000000 01 +0C 04B 00000002 00000002 11 = 00000000 01 +0C 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 +0C 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 +0C 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 +0C 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 +0C 050 80000000 00000002 00 = 7FFFFFFE 00 +0C 051 80000000 00000002 01 = 7FFFFFFE 00 +0C 052 80000000 00000002 10 = 7FFFFFFE 00 +0C 053 80000000 00000002 11 = 7FFFFFFE 00 +0C 054 80000001 00000002 00 = 7FFFFFFF 00 +0C 055 80000001 00000002 01 = 7FFFFFFF 00 +0C 056 80000001 00000002 10 = 7FFFFFFF 00 +0C 057 80000001 00000002 11 = 7FFFFFFF 00 +0C 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +0C 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +0C 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 +0C 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 +0C 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 +0C 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 +0C 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 +0C 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 +0C 060 00000000 7FFFFFFF 00 = 80000001 10 +0C 061 00000000 7FFFFFFF 01 = 80000001 10 +0C 062 00000000 7FFFFFFF 10 = 80000001 10 +0C 063 00000000 7FFFFFFF 11 = 80000001 10 +0C 064 00000001 7FFFFFFF 00 = 80000002 10 +0C 065 00000001 7FFFFFFF 01 = 80000002 10 +0C 066 00000001 7FFFFFFF 10 = 80000002 10 +0C 067 00000001 7FFFFFFF 11 = 80000002 10 +0C 068 00000002 7FFFFFFF 00 = 80000003 10 +0C 069 00000002 7FFFFFFF 01 = 80000003 10 +0C 06A 00000002 7FFFFFFF 10 = 80000003 10 +0C 06B 00000002 7FFFFFFF 11 = 80000003 10 +0C 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 +0C 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +0C 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 +0C 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 +0C 070 80000000 7FFFFFFF 00 = 00000001 00 +0C 071 80000000 7FFFFFFF 01 = 00000001 00 +0C 072 80000000 7FFFFFFF 10 = 00000001 00 +0C 073 80000000 7FFFFFFF 11 = 00000001 00 +0C 074 80000001 7FFFFFFF 00 = 00000002 00 +0C 075 80000001 7FFFFFFF 01 = 00000002 00 +0C 076 80000001 7FFFFFFF 10 = 00000002 00 +0C 077 80000001 7FFFFFFF 11 = 00000002 00 +0C 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 +0C 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 +0C 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 00 +0C 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 00 +0C 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 +0C 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 +0C 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 +0C 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 +0C 080 00000000 80000000 00 = 80000000 10 +0C 081 00000000 80000000 01 = 80000000 10 +0C 082 00000000 80000000 10 = 80000000 10 +0C 083 00000000 80000000 11 = 80000000 10 +0C 084 00000001 80000000 00 = 80000001 10 +0C 085 00000001 80000000 01 = 80000001 10 +0C 086 00000001 80000000 10 = 80000001 10 +0C 087 00000001 80000000 11 = 80000001 10 +0C 088 00000002 80000000 00 = 80000002 10 +0C 089 00000002 80000000 01 = 80000002 10 +0C 08A 00000002 80000000 10 = 80000002 10 +0C 08B 00000002 80000000 11 = 80000002 10 +0C 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +0C 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 +0C 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +0C 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 +0C 090 80000000 80000000 00 = 00000000 01 +0C 091 80000000 80000000 01 = 00000000 01 +0C 092 80000000 80000000 10 = 00000000 01 +0C 093 80000000 80000000 11 = 00000000 01 +0C 094 80000001 80000000 00 = 00000001 00 +0C 095 80000001 80000000 01 = 00000001 00 +0C 096 80000001 80000000 10 = 00000001 00 +0C 097 80000001 80000000 11 = 00000001 00 +0C 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 +0C 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 +0C 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 +0C 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 +0C 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 +0C 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 +0C 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 +0C 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 +0C 0A0 00000000 80000001 00 = 7FFFFFFF 10 +0C 0A1 00000000 80000001 01 = 7FFFFFFF 10 +0C 0A2 00000000 80000001 10 = 7FFFFFFF 10 +0C 0A3 00000000 80000001 11 = 7FFFFFFF 10 +0C 0A4 00000001 80000001 00 = 80000000 10 +0C 0A5 00000001 80000001 01 = 80000000 10 +0C 0A6 00000001 80000001 10 = 80000000 10 +0C 0A7 00000001 80000001 11 = 80000000 10 +0C 0A8 00000002 80000001 00 = 80000001 10 +0C 0A9 00000002 80000001 01 = 80000001 10 +0C 0AA 00000002 80000001 10 = 80000001 10 +0C 0AB 00000002 80000001 11 = 80000001 10 +0C 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 +0C 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 +0C 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 +0C 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 +0C 0B0 80000000 80000001 00 = FFFFFFFF 10 +0C 0B1 80000000 80000001 01 = FFFFFFFF 10 +0C 0B2 80000000 80000001 10 = FFFFFFFF 10 +0C 0B3 80000000 80000001 11 = FFFFFFFF 10 +0C 0B4 80000001 80000001 00 = 00000000 01 +0C 0B5 80000001 80000001 01 = 00000000 01 +0C 0B6 80000001 80000001 10 = 00000000 01 +0C 0B7 80000001 80000001 11 = 00000000 01 +0C 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 +0C 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 +0C 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 00 +0C 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 00 +0C 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +0C 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +0C 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 +0C 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 +0C 0C0 00000000 FFFFFFFE 00 = 00000002 10 +0C 0C1 00000000 FFFFFFFE 01 = 00000002 10 +0C 0C2 00000000 FFFFFFFE 10 = 00000002 10 +0C 0C3 00000000 FFFFFFFE 11 = 00000002 10 +0C 0C4 00000001 FFFFFFFE 00 = 00000003 10 +0C 0C5 00000001 FFFFFFFE 01 = 00000003 10 +0C 0C6 00000001 FFFFFFFE 10 = 00000003 10 +0C 0C7 00000001 FFFFFFFE 11 = 00000003 10 +0C 0C8 00000002 FFFFFFFE 00 = 00000004 10 +0C 0C9 00000002 FFFFFFFE 01 = 00000004 10 +0C 0CA 00000002 FFFFFFFE 10 = 00000004 10 +0C 0CB 00000002 FFFFFFFE 11 = 00000004 10 +0C 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 +0C 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 +0C 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 +0C 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 +0C 0D0 80000000 FFFFFFFE 00 = 80000002 10 +0C 0D1 80000000 FFFFFFFE 01 = 80000002 10 +0C 0D2 80000000 FFFFFFFE 10 = 80000002 10 +0C 0D3 80000000 FFFFFFFE 11 = 80000002 10 +0C 0D4 80000001 FFFFFFFE 00 = 80000003 10 +0C 0D5 80000001 FFFFFFFE 01 = 80000003 10 +0C 0D6 80000001 FFFFFFFE 10 = 80000003 10 +0C 0D7 80000001 FFFFFFFE 11 = 80000003 10 +0C 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 +0C 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +0C 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 +0C 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 +0C 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 +0C 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 +0C 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 +0C 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 +0C 0E0 00000000 FFFFFFFF 00 = 00000001 10 +0C 0E1 00000000 FFFFFFFF 01 = 00000001 10 +0C 0E2 00000000 FFFFFFFF 10 = 00000001 10 +0C 0E3 00000000 FFFFFFFF 11 = 00000001 10 +0C 0E4 00000001 FFFFFFFF 00 = 00000002 10 +0C 0E5 00000001 FFFFFFFF 01 = 00000002 10 +0C 0E6 00000001 FFFFFFFF 10 = 00000002 10 +0C 0E7 00000001 FFFFFFFF 11 = 00000002 10 +0C 0E8 00000002 FFFFFFFF 00 = 00000003 10 +0C 0E9 00000002 FFFFFFFF 01 = 00000003 10 +0C 0EA 00000002 FFFFFFFF 10 = 00000003 10 +0C 0EB 00000002 FFFFFFFF 11 = 00000003 10 +0C 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 +0C 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +0C 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 +0C 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 +0C 0F0 80000000 FFFFFFFF 00 = 80000001 10 +0C 0F1 80000000 FFFFFFFF 01 = 80000001 10 +0C 0F2 80000000 FFFFFFFF 10 = 80000001 10 +0C 0F3 80000000 FFFFFFFF 11 = 80000001 10 +0C 0F4 80000001 FFFFFFFF 00 = 80000002 10 +0C 0F5 80000001 FFFFFFFF 01 = 80000002 10 +0C 0F6 80000001 FFFFFFFF 10 = 80000002 10 +0C 0F7 80000001 FFFFFFFF 11 = 80000002 10 +0C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +0C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +0C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +0C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 +0C 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 +0C 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +0C 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 +0C 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 +subx ---D---- ---S---- CZ = ---Q---- CZ +0D 000 00000000 00000000 00 = 00000000 00 +0D 001 00000000 00000000 01 = 00000000 01 +0D 002 00000000 00000000 10 = FFFFFFFF 10 +0D 003 00000000 00000000 11 = FFFFFFFF 10 +0D 004 00000001 00000000 00 = 00000001 00 +0D 005 00000001 00000000 01 = 00000001 00 +0D 006 00000001 00000000 10 = 00000000 00 +0D 007 00000001 00000000 11 = 00000000 01 +0D 008 00000002 00000000 00 = 00000002 00 +0D 009 00000002 00000000 01 = 00000002 00 +0D 00A 00000002 00000000 10 = 00000001 00 +0D 00B 00000002 00000000 11 = 00000001 00 +0D 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +0D 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +0D 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 00 +0D 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 00 +0D 010 80000000 00000000 00 = 80000000 00 +0D 011 80000000 00000000 01 = 80000000 00 +0D 012 80000000 00000000 10 = 7FFFFFFF 00 +0D 013 80000000 00000000 11 = 7FFFFFFF 00 +0D 014 80000001 00000000 00 = 80000001 00 +0D 015 80000001 00000000 01 = 80000001 00 +0D 016 80000001 00000000 10 = 80000000 00 +0D 017 80000001 00000000 11 = 80000000 00 +0D 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +0D 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +0D 01A FFFFFFFE 00000000 10 = FFFFFFFD 00 +0D 01B FFFFFFFE 00000000 11 = FFFFFFFD 00 +0D 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +0D 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +0D 01E FFFFFFFF 00000000 10 = FFFFFFFE 00 +0D 01F FFFFFFFF 00000000 11 = FFFFFFFE 00 +0D 020 00000000 00000001 00 = FFFFFFFF 10 +0D 021 00000000 00000001 01 = FFFFFFFF 10 +0D 022 00000000 00000001 10 = FFFFFFFE 10 +0D 023 00000000 00000001 11 = FFFFFFFE 10 +0D 024 00000001 00000001 00 = 00000000 00 +0D 025 00000001 00000001 01 = 00000000 01 +0D 026 00000001 00000001 10 = FFFFFFFF 10 +0D 027 00000001 00000001 11 = FFFFFFFF 10 +0D 028 00000002 00000001 00 = 00000001 00 +0D 029 00000002 00000001 01 = 00000001 00 +0D 02A 00000002 00000001 10 = 00000000 00 +0D 02B 00000002 00000001 11 = 00000000 01 +0D 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +0D 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +0D 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 00 +0D 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 00 +0D 030 80000000 00000001 00 = 7FFFFFFF 00 +0D 031 80000000 00000001 01 = 7FFFFFFF 00 +0D 032 80000000 00000001 10 = 7FFFFFFE 00 +0D 033 80000000 00000001 11 = 7FFFFFFE 00 +0D 034 80000001 00000001 00 = 80000000 00 +0D 035 80000001 00000001 01 = 80000000 00 +0D 036 80000001 00000001 10 = 7FFFFFFF 00 +0D 037 80000001 00000001 11 = 7FFFFFFF 00 +0D 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 +0D 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 +0D 03A FFFFFFFE 00000001 10 = FFFFFFFC 00 +0D 03B FFFFFFFE 00000001 11 = FFFFFFFC 00 +0D 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 +0D 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 +0D 03E FFFFFFFF 00000001 10 = FFFFFFFD 00 +0D 03F FFFFFFFF 00000001 11 = FFFFFFFD 00 +0D 040 00000000 00000002 00 = FFFFFFFE 10 +0D 041 00000000 00000002 01 = FFFFFFFE 10 +0D 042 00000000 00000002 10 = FFFFFFFD 10 +0D 043 00000000 00000002 11 = FFFFFFFD 10 +0D 044 00000001 00000002 00 = FFFFFFFF 10 +0D 045 00000001 00000002 01 = FFFFFFFF 10 +0D 046 00000001 00000002 10 = FFFFFFFE 10 +0D 047 00000001 00000002 11 = FFFFFFFE 10 +0D 048 00000002 00000002 00 = 00000000 00 +0D 049 00000002 00000002 01 = 00000000 01 +0D 04A 00000002 00000002 10 = FFFFFFFF 10 +0D 04B 00000002 00000002 11 = FFFFFFFF 10 +0D 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 +0D 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 +0D 04E 7FFFFFFF 00000002 10 = 7FFFFFFC 00 +0D 04F 7FFFFFFF 00000002 11 = 7FFFFFFC 00 +0D 050 80000000 00000002 00 = 7FFFFFFE 00 +0D 051 80000000 00000002 01 = 7FFFFFFE 00 +0D 052 80000000 00000002 10 = 7FFFFFFD 00 +0D 053 80000000 00000002 11 = 7FFFFFFD 00 +0D 054 80000001 00000002 00 = 7FFFFFFF 00 +0D 055 80000001 00000002 01 = 7FFFFFFF 00 +0D 056 80000001 00000002 10 = 7FFFFFFE 00 +0D 057 80000001 00000002 11 = 7FFFFFFE 00 +0D 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +0D 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +0D 05A FFFFFFFE 00000002 10 = FFFFFFFB 00 +0D 05B FFFFFFFE 00000002 11 = FFFFFFFB 00 +0D 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 +0D 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 +0D 05E FFFFFFFF 00000002 10 = FFFFFFFC 00 +0D 05F FFFFFFFF 00000002 11 = FFFFFFFC 00 +0D 060 00000000 7FFFFFFF 00 = 80000001 10 +0D 061 00000000 7FFFFFFF 01 = 80000001 10 +0D 062 00000000 7FFFFFFF 10 = 80000000 10 +0D 063 00000000 7FFFFFFF 11 = 80000000 10 +0D 064 00000001 7FFFFFFF 00 = 80000002 10 +0D 065 00000001 7FFFFFFF 01 = 80000002 10 +0D 066 00000001 7FFFFFFF 10 = 80000001 10 +0D 067 00000001 7FFFFFFF 11 = 80000001 10 +0D 068 00000002 7FFFFFFF 00 = 80000003 10 +0D 069 00000002 7FFFFFFF 01 = 80000003 10 +0D 06A 00000002 7FFFFFFF 10 = 80000002 10 +0D 06B 00000002 7FFFFFFF 11 = 80000002 10 +0D 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 00 +0D 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +0D 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +0D 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +0D 070 80000000 7FFFFFFF 00 = 00000001 00 +0D 071 80000000 7FFFFFFF 01 = 00000001 00 +0D 072 80000000 7FFFFFFF 10 = 00000000 00 +0D 073 80000000 7FFFFFFF 11 = 00000000 01 +0D 074 80000001 7FFFFFFF 00 = 00000002 00 +0D 075 80000001 7FFFFFFF 01 = 00000002 00 +0D 076 80000001 7FFFFFFF 10 = 00000001 00 +0D 077 80000001 7FFFFFFF 11 = 00000001 00 +0D 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 +0D 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 +0D 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 00 +0D 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 00 +0D 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 +0D 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 +0D 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +0D 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +0D 080 00000000 80000000 00 = 80000000 10 +0D 081 00000000 80000000 01 = 80000000 10 +0D 082 00000000 80000000 10 = 7FFFFFFF 10 +0D 083 00000000 80000000 11 = 7FFFFFFF 10 +0D 084 00000001 80000000 00 = 80000001 10 +0D 085 00000001 80000000 01 = 80000001 10 +0D 086 00000001 80000000 10 = 80000000 10 +0D 087 00000001 80000000 11 = 80000000 10 +0D 088 00000002 80000000 00 = 80000002 10 +0D 089 00000002 80000000 01 = 80000002 10 +0D 08A 00000002 80000000 10 = 80000001 10 +0D 08B 00000002 80000000 11 = 80000001 10 +0D 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +0D 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 +0D 08E 7FFFFFFF 80000000 10 = FFFFFFFE 10 +0D 08F 7FFFFFFF 80000000 11 = FFFFFFFE 10 +0D 090 80000000 80000000 00 = 00000000 00 +0D 091 80000000 80000000 01 = 00000000 01 +0D 092 80000000 80000000 10 = FFFFFFFF 10 +0D 093 80000000 80000000 11 = FFFFFFFF 10 +0D 094 80000001 80000000 00 = 00000001 00 +0D 095 80000001 80000000 01 = 00000001 00 +0D 096 80000001 80000000 10 = 00000000 00 +0D 097 80000001 80000000 11 = 00000000 01 +0D 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 +0D 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 +0D 09A FFFFFFFE 80000000 10 = 7FFFFFFD 00 +0D 09B FFFFFFFE 80000000 11 = 7FFFFFFD 00 +0D 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 +0D 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 +0D 09E FFFFFFFF 80000000 10 = 7FFFFFFE 00 +0D 09F FFFFFFFF 80000000 11 = 7FFFFFFE 00 +0D 0A0 00000000 80000001 00 = 7FFFFFFF 10 +0D 0A1 00000000 80000001 01 = 7FFFFFFF 10 +0D 0A2 00000000 80000001 10 = 7FFFFFFE 10 +0D 0A3 00000000 80000001 11 = 7FFFFFFE 10 +0D 0A4 00000001 80000001 00 = 80000000 10 +0D 0A5 00000001 80000001 01 = 80000000 10 +0D 0A6 00000001 80000001 10 = 7FFFFFFF 10 +0D 0A7 00000001 80000001 11 = 7FFFFFFF 10 +0D 0A8 00000002 80000001 00 = 80000001 10 +0D 0A9 00000002 80000001 01 = 80000001 10 +0D 0AA 00000002 80000001 10 = 80000000 10 +0D 0AB 00000002 80000001 11 = 80000000 10 +0D 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 +0D 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 +0D 0AE 7FFFFFFF 80000001 10 = FFFFFFFD 10 +0D 0AF 7FFFFFFF 80000001 11 = FFFFFFFD 10 +0D 0B0 80000000 80000001 00 = FFFFFFFF 10 +0D 0B1 80000000 80000001 01 = FFFFFFFF 10 +0D 0B2 80000000 80000001 10 = FFFFFFFE 10 +0D 0B3 80000000 80000001 11 = FFFFFFFE 10 +0D 0B4 80000001 80000001 00 = 00000000 00 +0D 0B5 80000001 80000001 01 = 00000000 01 +0D 0B6 80000001 80000001 10 = FFFFFFFF 10 +0D 0B7 80000001 80000001 11 = FFFFFFFF 10 +0D 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 +0D 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 +0D 0BA FFFFFFFE 80000001 10 = 7FFFFFFC 00 +0D 0BB FFFFFFFE 80000001 11 = 7FFFFFFC 00 +0D 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +0D 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +0D 0BE FFFFFFFF 80000001 10 = 7FFFFFFD 00 +0D 0BF FFFFFFFF 80000001 11 = 7FFFFFFD 00 +0D 0C0 00000000 FFFFFFFE 00 = 00000002 10 +0D 0C1 00000000 FFFFFFFE 01 = 00000002 10 +0D 0C2 00000000 FFFFFFFE 10 = 00000001 10 +0D 0C3 00000000 FFFFFFFE 11 = 00000001 10 +0D 0C4 00000001 FFFFFFFE 00 = 00000003 10 +0D 0C5 00000001 FFFFFFFE 01 = 00000003 10 +0D 0C6 00000001 FFFFFFFE 10 = 00000002 10 +0D 0C7 00000001 FFFFFFFE 11 = 00000002 10 +0D 0C8 00000002 FFFFFFFE 00 = 00000004 10 +0D 0C9 00000002 FFFFFFFE 01 = 00000004 10 +0D 0CA 00000002 FFFFFFFE 10 = 00000003 10 +0D 0CB 00000002 FFFFFFFE 11 = 00000003 10 +0D 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 +0D 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 +0D 0CE 7FFFFFFF FFFFFFFE 10 = 80000000 10 +0D 0CF 7FFFFFFF FFFFFFFE 11 = 80000000 10 +0D 0D0 80000000 FFFFFFFE 00 = 80000002 10 +0D 0D1 80000000 FFFFFFFE 01 = 80000002 10 +0D 0D2 80000000 FFFFFFFE 10 = 80000001 10 +0D 0D3 80000000 FFFFFFFE 11 = 80000001 10 +0D 0D4 80000001 FFFFFFFE 00 = 80000003 10 +0D 0D5 80000001 FFFFFFFE 01 = 80000003 10 +0D 0D6 80000001 FFFFFFFE 10 = 80000002 10 +0D 0D7 80000001 FFFFFFFE 11 = 80000002 10 +0D 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 00 +0D 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +0D 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 +0D 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 10 +0D 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 +0D 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 +0D 0DE FFFFFFFF FFFFFFFE 10 = 00000000 00 +0D 0DF FFFFFFFF FFFFFFFE 11 = 00000000 01 +0D 0E0 00000000 FFFFFFFF 00 = 00000001 10 +0D 0E1 00000000 FFFFFFFF 01 = 00000001 10 +0D 0E2 00000000 FFFFFFFF 10 = 00000000 10 +0D 0E3 00000000 FFFFFFFF 11 = 00000000 11 +0D 0E4 00000001 FFFFFFFF 00 = 00000002 10 +0D 0E5 00000001 FFFFFFFF 01 = 00000002 10 +0D 0E6 00000001 FFFFFFFF 10 = 00000001 10 +0D 0E7 00000001 FFFFFFFF 11 = 00000001 10 +0D 0E8 00000002 FFFFFFFF 00 = 00000003 10 +0D 0E9 00000002 FFFFFFFF 01 = 00000003 10 +0D 0EA 00000002 FFFFFFFF 10 = 00000002 10 +0D 0EB 00000002 FFFFFFFF 11 = 00000002 10 +0D 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 +0D 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +0D 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +0D 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 +0D 0F0 80000000 FFFFFFFF 00 = 80000001 10 +0D 0F1 80000000 FFFFFFFF 01 = 80000001 10 +0D 0F2 80000000 FFFFFFFF 10 = 80000000 10 +0D 0F3 80000000 FFFFFFFF 11 = 80000000 10 +0D 0F4 80000001 FFFFFFFF 00 = 80000002 10 +0D 0F5 80000001 FFFFFFFF 01 = 80000002 10 +0D 0F6 80000001 FFFFFFFF 10 = 80000001 10 +0D 0F7 80000001 FFFFFFFF 11 = 80000001 10 +0D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +0D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +0D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +0D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +0D 0FC FFFFFFFF FFFFFFFF 00 = 00000000 00 +0D 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +0D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +0D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +subs ---D---- ---S---- CZ = ---Q---- CZ +0E 000 00000000 00000000 00 = 00000000 01 +0E 001 00000000 00000000 01 = 00000000 01 +0E 002 00000000 00000000 10 = 00000000 01 +0E 003 00000000 00000000 11 = 00000000 01 +0E 004 00000001 00000000 00 = 00000001 00 +0E 005 00000001 00000000 01 = 00000001 00 +0E 006 00000001 00000000 10 = 00000001 00 +0E 007 00000001 00000000 11 = 00000001 00 +0E 008 00000002 00000000 00 = 00000002 00 +0E 009 00000002 00000000 01 = 00000002 00 +0E 00A 00000002 00000000 10 = 00000002 00 +0E 00B 00000002 00000000 11 = 00000002 00 +0E 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +0E 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +0E 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +0E 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +0E 010 80000000 00000000 00 = 80000000 00 +0E 011 80000000 00000000 01 = 80000000 00 +0E 012 80000000 00000000 10 = 80000000 00 +0E 013 80000000 00000000 11 = 80000000 00 +0E 014 80000001 00000000 00 = 80000001 00 +0E 015 80000001 00000000 01 = 80000001 00 +0E 016 80000001 00000000 10 = 80000001 00 +0E 017 80000001 00000000 11 = 80000001 00 +0E 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +0E 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +0E 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +0E 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +0E 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +0E 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +0E 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +0E 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +0E 020 00000000 00000001 00 = FFFFFFFF 00 +0E 021 00000000 00000001 01 = FFFFFFFF 00 +0E 022 00000000 00000001 10 = FFFFFFFF 00 +0E 023 00000000 00000001 11 = FFFFFFFF 00 +0E 024 00000001 00000001 00 = 00000000 01 +0E 025 00000001 00000001 01 = 00000000 01 +0E 026 00000001 00000001 10 = 00000000 01 +0E 027 00000001 00000001 11 = 00000000 01 +0E 028 00000002 00000001 00 = 00000001 00 +0E 029 00000002 00000001 01 = 00000001 00 +0E 02A 00000002 00000001 10 = 00000001 00 +0E 02B 00000002 00000001 11 = 00000001 00 +0E 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +0E 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +0E 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 +0E 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 +0E 030 80000000 00000001 00 = 7FFFFFFF 10 +0E 031 80000000 00000001 01 = 7FFFFFFF 10 +0E 032 80000000 00000001 10 = 7FFFFFFF 10 +0E 033 80000000 00000001 11 = 7FFFFFFF 10 +0E 034 80000001 00000001 00 = 80000000 00 +0E 035 80000001 00000001 01 = 80000000 00 +0E 036 80000001 00000001 10 = 80000000 00 +0E 037 80000001 00000001 11 = 80000000 00 +0E 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 +0E 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 +0E 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 +0E 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 +0E 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 +0E 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 +0E 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 +0E 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 +0E 040 00000000 00000002 00 = FFFFFFFE 00 +0E 041 00000000 00000002 01 = FFFFFFFE 00 +0E 042 00000000 00000002 10 = FFFFFFFE 00 +0E 043 00000000 00000002 11 = FFFFFFFE 00 +0E 044 00000001 00000002 00 = FFFFFFFF 00 +0E 045 00000001 00000002 01 = FFFFFFFF 00 +0E 046 00000001 00000002 10 = FFFFFFFF 00 +0E 047 00000001 00000002 11 = FFFFFFFF 00 +0E 048 00000002 00000002 00 = 00000000 01 +0E 049 00000002 00000002 01 = 00000000 01 +0E 04A 00000002 00000002 10 = 00000000 01 +0E 04B 00000002 00000002 11 = 00000000 01 +0E 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 +0E 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 +0E 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 +0E 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 +0E 050 80000000 00000002 00 = 7FFFFFFE 10 +0E 051 80000000 00000002 01 = 7FFFFFFE 10 +0E 052 80000000 00000002 10 = 7FFFFFFE 10 +0E 053 80000000 00000002 11 = 7FFFFFFE 10 +0E 054 80000001 00000002 00 = 7FFFFFFF 10 +0E 055 80000001 00000002 01 = 7FFFFFFF 10 +0E 056 80000001 00000002 10 = 7FFFFFFF 10 +0E 057 80000001 00000002 11 = 7FFFFFFF 10 +0E 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +0E 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +0E 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 +0E 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 +0E 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 +0E 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 +0E 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 +0E 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 +0E 060 00000000 7FFFFFFF 00 = 80000001 00 +0E 061 00000000 7FFFFFFF 01 = 80000001 00 +0E 062 00000000 7FFFFFFF 10 = 80000001 00 +0E 063 00000000 7FFFFFFF 11 = 80000001 00 +0E 064 00000001 7FFFFFFF 00 = 80000002 00 +0E 065 00000001 7FFFFFFF 01 = 80000002 00 +0E 066 00000001 7FFFFFFF 10 = 80000002 00 +0E 067 00000001 7FFFFFFF 11 = 80000002 00 +0E 068 00000002 7FFFFFFF 00 = 80000003 00 +0E 069 00000002 7FFFFFFF 01 = 80000003 00 +0E 06A 00000002 7FFFFFFF 10 = 80000003 00 +0E 06B 00000002 7FFFFFFF 11 = 80000003 00 +0E 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 +0E 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +0E 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 +0E 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 +0E 070 80000000 7FFFFFFF 00 = 00000001 10 +0E 071 80000000 7FFFFFFF 01 = 00000001 10 +0E 072 80000000 7FFFFFFF 10 = 00000001 10 +0E 073 80000000 7FFFFFFF 11 = 00000001 10 +0E 074 80000001 7FFFFFFF 00 = 00000002 10 +0E 075 80000001 7FFFFFFF 01 = 00000002 10 +0E 076 80000001 7FFFFFFF 10 = 00000002 10 +0E 077 80000001 7FFFFFFF 11 = 00000002 10 +0E 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 +0E 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 +0E 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 +0E 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 +0E 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 +0E 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 +0E 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 +0E 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 +0E 080 00000000 80000000 00 = 80000000 10 +0E 081 00000000 80000000 01 = 80000000 10 +0E 082 00000000 80000000 10 = 80000000 10 +0E 083 00000000 80000000 11 = 80000000 10 +0E 084 00000001 80000000 00 = 80000001 10 +0E 085 00000001 80000000 01 = 80000001 10 +0E 086 00000001 80000000 10 = 80000001 10 +0E 087 00000001 80000000 11 = 80000001 10 +0E 088 00000002 80000000 00 = 80000002 10 +0E 089 00000002 80000000 01 = 80000002 10 +0E 08A 00000002 80000000 10 = 80000002 10 +0E 08B 00000002 80000000 11 = 80000002 10 +0E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +0E 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 +0E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +0E 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 +0E 090 80000000 80000000 00 = 00000000 01 +0E 091 80000000 80000000 01 = 00000000 01 +0E 092 80000000 80000000 10 = 00000000 01 +0E 093 80000000 80000000 11 = 00000000 01 +0E 094 80000001 80000000 00 = 00000001 00 +0E 095 80000001 80000000 01 = 00000001 00 +0E 096 80000001 80000000 10 = 00000001 00 +0E 097 80000001 80000000 11 = 00000001 00 +0E 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 +0E 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 +0E 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 +0E 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 +0E 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 +0E 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 +0E 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 +0E 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 +0E 0A0 00000000 80000001 00 = 7FFFFFFF 00 +0E 0A1 00000000 80000001 01 = 7FFFFFFF 00 +0E 0A2 00000000 80000001 10 = 7FFFFFFF 00 +0E 0A3 00000000 80000001 11 = 7FFFFFFF 00 +0E 0A4 00000001 80000001 00 = 80000000 10 +0E 0A5 00000001 80000001 01 = 80000000 10 +0E 0A6 00000001 80000001 10 = 80000000 10 +0E 0A7 00000001 80000001 11 = 80000000 10 +0E 0A8 00000002 80000001 00 = 80000001 10 +0E 0A9 00000002 80000001 01 = 80000001 10 +0E 0AA 00000002 80000001 10 = 80000001 10 +0E 0AB 00000002 80000001 11 = 80000001 10 +0E 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 +0E 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 +0E 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 +0E 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 +0E 0B0 80000000 80000001 00 = FFFFFFFF 00 +0E 0B1 80000000 80000001 01 = FFFFFFFF 00 +0E 0B2 80000000 80000001 10 = FFFFFFFF 00 +0E 0B3 80000000 80000001 11 = FFFFFFFF 00 +0E 0B4 80000001 80000001 00 = 00000000 01 +0E 0B5 80000001 80000001 01 = 00000000 01 +0E 0B6 80000001 80000001 10 = 00000000 01 +0E 0B7 80000001 80000001 11 = 00000000 01 +0E 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 +0E 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 +0E 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 00 +0E 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 00 +0E 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +0E 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +0E 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 +0E 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 +0E 0C0 00000000 FFFFFFFE 00 = 00000002 00 +0E 0C1 00000000 FFFFFFFE 01 = 00000002 00 +0E 0C2 00000000 FFFFFFFE 10 = 00000002 00 +0E 0C3 00000000 FFFFFFFE 11 = 00000002 00 +0E 0C4 00000001 FFFFFFFE 00 = 00000003 00 +0E 0C5 00000001 FFFFFFFE 01 = 00000003 00 +0E 0C6 00000001 FFFFFFFE 10 = 00000003 00 +0E 0C7 00000001 FFFFFFFE 11 = 00000003 00 +0E 0C8 00000002 FFFFFFFE 00 = 00000004 00 +0E 0C9 00000002 FFFFFFFE 01 = 00000004 00 +0E 0CA 00000002 FFFFFFFE 10 = 00000004 00 +0E 0CB 00000002 FFFFFFFE 11 = 00000004 00 +0E 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 +0E 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 +0E 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 +0E 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 +0E 0D0 80000000 FFFFFFFE 00 = 80000002 00 +0E 0D1 80000000 FFFFFFFE 01 = 80000002 00 +0E 0D2 80000000 FFFFFFFE 10 = 80000002 00 +0E 0D3 80000000 FFFFFFFE 11 = 80000002 00 +0E 0D4 80000001 FFFFFFFE 00 = 80000003 00 +0E 0D5 80000001 FFFFFFFE 01 = 80000003 00 +0E 0D6 80000001 FFFFFFFE 10 = 80000003 00 +0E 0D7 80000001 FFFFFFFE 11 = 80000003 00 +0E 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 +0E 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +0E 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 +0E 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 +0E 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 +0E 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 +0E 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 +0E 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 +0E 0E0 00000000 FFFFFFFF 00 = 00000001 00 +0E 0E1 00000000 FFFFFFFF 01 = 00000001 00 +0E 0E2 00000000 FFFFFFFF 10 = 00000001 00 +0E 0E3 00000000 FFFFFFFF 11 = 00000001 00 +0E 0E4 00000001 FFFFFFFF 00 = 00000002 00 +0E 0E5 00000001 FFFFFFFF 01 = 00000002 00 +0E 0E6 00000001 FFFFFFFF 10 = 00000002 00 +0E 0E7 00000001 FFFFFFFF 11 = 00000002 00 +0E 0E8 00000002 FFFFFFFF 00 = 00000003 00 +0E 0E9 00000002 FFFFFFFF 01 = 00000003 00 +0E 0EA 00000002 FFFFFFFF 10 = 00000003 00 +0E 0EB 00000002 FFFFFFFF 11 = 00000003 00 +0E 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 +0E 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +0E 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 +0E 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 +0E 0F0 80000000 FFFFFFFF 00 = 80000001 00 +0E 0F1 80000000 FFFFFFFF 01 = 80000001 00 +0E 0F2 80000000 FFFFFFFF 10 = 80000001 00 +0E 0F3 80000000 FFFFFFFF 11 = 80000001 00 +0E 0F4 80000001 FFFFFFFF 00 = 80000002 00 +0E 0F5 80000001 FFFFFFFF 01 = 80000002 00 +0E 0F6 80000001 FFFFFFFF 10 = 80000002 00 +0E 0F7 80000001 FFFFFFFF 11 = 80000002 00 +0E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +0E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 +0E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 +0E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 +0E 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 +0E 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +0E 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 +0E 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 +subsx ---D---- ---S---- CZ = ---Q---- CZ +0F 000 00000000 00000000 00 = 00000000 00 +0F 001 00000000 00000000 01 = 00000000 01 +0F 002 00000000 00000000 10 = FFFFFFFF 00 +0F 003 00000000 00000000 11 = FFFFFFFF 00 +0F 004 00000001 00000000 00 = 00000001 00 +0F 005 00000001 00000000 01 = 00000001 00 +0F 006 00000001 00000000 10 = 00000000 00 +0F 007 00000001 00000000 11 = 00000000 01 +0F 008 00000002 00000000 00 = 00000002 00 +0F 009 00000002 00000000 01 = 00000002 00 +0F 00A 00000002 00000000 10 = 00000001 00 +0F 00B 00000002 00000000 11 = 00000001 00 +0F 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +0F 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +0F 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 00 +0F 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 00 +0F 010 80000000 00000000 00 = 80000000 00 +0F 011 80000000 00000000 01 = 80000000 00 +0F 012 80000000 00000000 10 = 7FFFFFFF 10 +0F 013 80000000 00000000 11 = 7FFFFFFF 10 +0F 014 80000001 00000000 00 = 80000001 00 +0F 015 80000001 00000000 01 = 80000001 00 +0F 016 80000001 00000000 10 = 80000000 00 +0F 017 80000001 00000000 11 = 80000000 00 +0F 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +0F 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +0F 01A FFFFFFFE 00000000 10 = FFFFFFFD 00 +0F 01B FFFFFFFE 00000000 11 = FFFFFFFD 00 +0F 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +0F 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +0F 01E FFFFFFFF 00000000 10 = FFFFFFFE 00 +0F 01F FFFFFFFF 00000000 11 = FFFFFFFE 00 +0F 020 00000000 00000001 00 = FFFFFFFF 00 +0F 021 00000000 00000001 01 = FFFFFFFF 00 +0F 022 00000000 00000001 10 = FFFFFFFE 00 +0F 023 00000000 00000001 11 = FFFFFFFE 00 +0F 024 00000001 00000001 00 = 00000000 00 +0F 025 00000001 00000001 01 = 00000000 01 +0F 026 00000001 00000001 10 = FFFFFFFF 00 +0F 027 00000001 00000001 11 = FFFFFFFF 00 +0F 028 00000002 00000001 00 = 00000001 00 +0F 029 00000002 00000001 01 = 00000001 00 +0F 02A 00000002 00000001 10 = 00000000 00 +0F 02B 00000002 00000001 11 = 00000000 01 +0F 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +0F 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +0F 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 00 +0F 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 00 +0F 030 80000000 00000001 00 = 7FFFFFFF 10 +0F 031 80000000 00000001 01 = 7FFFFFFF 10 +0F 032 80000000 00000001 10 = 7FFFFFFE 10 +0F 033 80000000 00000001 11 = 7FFFFFFE 10 +0F 034 80000001 00000001 00 = 80000000 00 +0F 035 80000001 00000001 01 = 80000000 00 +0F 036 80000001 00000001 10 = 7FFFFFFF 10 +0F 037 80000001 00000001 11 = 7FFFFFFF 10 +0F 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 +0F 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 +0F 03A FFFFFFFE 00000001 10 = FFFFFFFC 00 +0F 03B FFFFFFFE 00000001 11 = FFFFFFFC 00 +0F 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 +0F 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 +0F 03E FFFFFFFF 00000001 10 = FFFFFFFD 00 +0F 03F FFFFFFFF 00000001 11 = FFFFFFFD 00 +0F 040 00000000 00000002 00 = FFFFFFFE 00 +0F 041 00000000 00000002 01 = FFFFFFFE 00 +0F 042 00000000 00000002 10 = FFFFFFFD 00 +0F 043 00000000 00000002 11 = FFFFFFFD 00 +0F 044 00000001 00000002 00 = FFFFFFFF 00 +0F 045 00000001 00000002 01 = FFFFFFFF 00 +0F 046 00000001 00000002 10 = FFFFFFFE 00 +0F 047 00000001 00000002 11 = FFFFFFFE 00 +0F 048 00000002 00000002 00 = 00000000 00 +0F 049 00000002 00000002 01 = 00000000 01 +0F 04A 00000002 00000002 10 = FFFFFFFF 00 +0F 04B 00000002 00000002 11 = FFFFFFFF 00 +0F 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 +0F 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 +0F 04E 7FFFFFFF 00000002 10 = 7FFFFFFC 00 +0F 04F 7FFFFFFF 00000002 11 = 7FFFFFFC 00 +0F 050 80000000 00000002 00 = 7FFFFFFE 10 +0F 051 80000000 00000002 01 = 7FFFFFFE 10 +0F 052 80000000 00000002 10 = 7FFFFFFD 10 +0F 053 80000000 00000002 11 = 7FFFFFFD 10 +0F 054 80000001 00000002 00 = 7FFFFFFF 10 +0F 055 80000001 00000002 01 = 7FFFFFFF 10 +0F 056 80000001 00000002 10 = 7FFFFFFE 10 +0F 057 80000001 00000002 11 = 7FFFFFFE 10 +0F 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +0F 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +0F 05A FFFFFFFE 00000002 10 = FFFFFFFB 00 +0F 05B FFFFFFFE 00000002 11 = FFFFFFFB 00 +0F 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 +0F 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 +0F 05E FFFFFFFF 00000002 10 = FFFFFFFC 00 +0F 05F FFFFFFFF 00000002 11 = FFFFFFFC 00 +0F 060 00000000 7FFFFFFF 00 = 80000001 00 +0F 061 00000000 7FFFFFFF 01 = 80000001 00 +0F 062 00000000 7FFFFFFF 10 = 80000000 00 +0F 063 00000000 7FFFFFFF 11 = 80000000 00 +0F 064 00000001 7FFFFFFF 00 = 80000002 00 +0F 065 00000001 7FFFFFFF 01 = 80000002 00 +0F 066 00000001 7FFFFFFF 10 = 80000001 00 +0F 067 00000001 7FFFFFFF 11 = 80000001 00 +0F 068 00000002 7FFFFFFF 00 = 80000003 00 +0F 069 00000002 7FFFFFFF 01 = 80000003 00 +0F 06A 00000002 7FFFFFFF 10 = 80000002 00 +0F 06B 00000002 7FFFFFFF 11 = 80000002 00 +0F 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 00 +0F 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +0F 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +0F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +0F 070 80000000 7FFFFFFF 00 = 00000001 10 +0F 071 80000000 7FFFFFFF 01 = 00000001 10 +0F 072 80000000 7FFFFFFF 10 = 00000000 10 +0F 073 80000000 7FFFFFFF 11 = 00000000 11 +0F 074 80000001 7FFFFFFF 00 = 00000002 10 +0F 075 80000001 7FFFFFFF 01 = 00000002 10 +0F 076 80000001 7FFFFFFF 10 = 00000001 10 +0F 077 80000001 7FFFFFFF 11 = 00000001 10 +0F 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 +0F 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 +0F 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 10 +0F 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 10 +0F 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 +0F 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 +0F 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +0F 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +0F 080 00000000 80000000 00 = 80000000 10 +0F 081 00000000 80000000 01 = 80000000 10 +0F 082 00000000 80000000 10 = 7FFFFFFF 00 +0F 083 00000000 80000000 11 = 7FFFFFFF 00 +0F 084 00000001 80000000 00 = 80000001 10 +0F 085 00000001 80000000 01 = 80000001 10 +0F 086 00000001 80000000 10 = 80000000 10 +0F 087 00000001 80000000 11 = 80000000 10 +0F 088 00000002 80000000 00 = 80000002 10 +0F 089 00000002 80000000 01 = 80000002 10 +0F 08A 00000002 80000000 10 = 80000001 10 +0F 08B 00000002 80000000 11 = 80000001 10 +0F 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +0F 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 +0F 08E 7FFFFFFF 80000000 10 = FFFFFFFE 10 +0F 08F 7FFFFFFF 80000000 11 = FFFFFFFE 10 +0F 090 80000000 80000000 00 = 00000000 00 +0F 091 80000000 80000000 01 = 00000000 01 +0F 092 80000000 80000000 10 = FFFFFFFF 00 +0F 093 80000000 80000000 11 = FFFFFFFF 00 +0F 094 80000001 80000000 00 = 00000001 00 +0F 095 80000001 80000000 01 = 00000001 00 +0F 096 80000001 80000000 10 = 00000000 00 +0F 097 80000001 80000000 11 = 00000000 01 +0F 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 +0F 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 +0F 09A FFFFFFFE 80000000 10 = 7FFFFFFD 00 +0F 09B FFFFFFFE 80000000 11 = 7FFFFFFD 00 +0F 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 +0F 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 +0F 09E FFFFFFFF 80000000 10 = 7FFFFFFE 00 +0F 09F FFFFFFFF 80000000 11 = 7FFFFFFE 00 +0F 0A0 00000000 80000001 00 = 7FFFFFFF 00 +0F 0A1 00000000 80000001 01 = 7FFFFFFF 00 +0F 0A2 00000000 80000001 10 = 7FFFFFFE 00 +0F 0A3 00000000 80000001 11 = 7FFFFFFE 00 +0F 0A4 00000001 80000001 00 = 80000000 10 +0F 0A5 00000001 80000001 01 = 80000000 10 +0F 0A6 00000001 80000001 10 = 7FFFFFFF 00 +0F 0A7 00000001 80000001 11 = 7FFFFFFF 00 +0F 0A8 00000002 80000001 00 = 80000001 10 +0F 0A9 00000002 80000001 01 = 80000001 10 +0F 0AA 00000002 80000001 10 = 80000000 10 +0F 0AB 00000002 80000001 11 = 80000000 10 +0F 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 +0F 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 +0F 0AE 7FFFFFFF 80000001 10 = FFFFFFFD 10 +0F 0AF 7FFFFFFF 80000001 11 = FFFFFFFD 10 +0F 0B0 80000000 80000001 00 = FFFFFFFF 00 +0F 0B1 80000000 80000001 01 = FFFFFFFF 00 +0F 0B2 80000000 80000001 10 = FFFFFFFE 00 +0F 0B3 80000000 80000001 11 = FFFFFFFE 00 +0F 0B4 80000001 80000001 00 = 00000000 00 +0F 0B5 80000001 80000001 01 = 00000000 01 +0F 0B6 80000001 80000001 10 = FFFFFFFF 00 +0F 0B7 80000001 80000001 11 = FFFFFFFF 00 +0F 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 +0F 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 +0F 0BA FFFFFFFE 80000001 10 = 7FFFFFFC 00 +0F 0BB FFFFFFFE 80000001 11 = 7FFFFFFC 00 +0F 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +0F 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +0F 0BE FFFFFFFF 80000001 10 = 7FFFFFFD 00 +0F 0BF FFFFFFFF 80000001 11 = 7FFFFFFD 00 +0F 0C0 00000000 FFFFFFFE 00 = 00000002 00 +0F 0C1 00000000 FFFFFFFE 01 = 00000002 00 +0F 0C2 00000000 FFFFFFFE 10 = 00000001 00 +0F 0C3 00000000 FFFFFFFE 11 = 00000001 00 +0F 0C4 00000001 FFFFFFFE 00 = 00000003 00 +0F 0C5 00000001 FFFFFFFE 01 = 00000003 00 +0F 0C6 00000001 FFFFFFFE 10 = 00000002 00 +0F 0C7 00000001 FFFFFFFE 11 = 00000002 00 +0F 0C8 00000002 FFFFFFFE 00 = 00000004 00 +0F 0C9 00000002 FFFFFFFE 01 = 00000004 00 +0F 0CA 00000002 FFFFFFFE 10 = 00000003 00 +0F 0CB 00000002 FFFFFFFE 11 = 00000003 00 +0F 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 +0F 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 +0F 0CE 7FFFFFFF FFFFFFFE 10 = 80000000 10 +0F 0CF 7FFFFFFF FFFFFFFE 11 = 80000000 10 +0F 0D0 80000000 FFFFFFFE 00 = 80000002 00 +0F 0D1 80000000 FFFFFFFE 01 = 80000002 00 +0F 0D2 80000000 FFFFFFFE 10 = 80000001 00 +0F 0D3 80000000 FFFFFFFE 11 = 80000001 00 +0F 0D4 80000001 FFFFFFFE 00 = 80000003 00 +0F 0D5 80000001 FFFFFFFE 01 = 80000003 00 +0F 0D6 80000001 FFFFFFFE 10 = 80000002 00 +0F 0D7 80000001 FFFFFFFE 11 = 80000002 00 +0F 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 00 +0F 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +0F 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 00 +0F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 00 +0F 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 +0F 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 +0F 0DE FFFFFFFF FFFFFFFE 10 = 00000000 00 +0F 0DF FFFFFFFF FFFFFFFE 11 = 00000000 01 +0F 0E0 00000000 FFFFFFFF 00 = 00000001 00 +0F 0E1 00000000 FFFFFFFF 01 = 00000001 00 +0F 0E2 00000000 FFFFFFFF 10 = 00000000 00 +0F 0E3 00000000 FFFFFFFF 11 = 00000000 01 +0F 0E4 00000001 FFFFFFFF 00 = 00000002 00 +0F 0E5 00000001 FFFFFFFF 01 = 00000002 00 +0F 0E6 00000001 FFFFFFFF 10 = 00000001 00 +0F 0E7 00000001 FFFFFFFF 11 = 00000001 00 +0F 0E8 00000002 FFFFFFFF 00 = 00000003 00 +0F 0E9 00000002 FFFFFFFF 01 = 00000003 00 +0F 0EA 00000002 FFFFFFFF 10 = 00000002 00 +0F 0EB 00000002 FFFFFFFF 11 = 00000002 00 +0F 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 +0F 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +0F 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +0F 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +0F 0F0 80000000 FFFFFFFF 00 = 80000001 00 +0F 0F1 80000000 FFFFFFFF 01 = 80000001 00 +0F 0F2 80000000 FFFFFFFF 10 = 80000000 00 +0F 0F3 80000000 FFFFFFFF 11 = 80000000 00 +0F 0F4 80000001 FFFFFFFF 00 = 80000002 00 +0F 0F5 80000001 FFFFFFFF 01 = 80000002 00 +0F 0F6 80000001 FFFFFFFF 10 = 80000001 00 +0F 0F7 80000001 FFFFFFFF 11 = 80000001 00 +0F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +0F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 +0F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 +0F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 +0F 0FC FFFFFFFF FFFFFFFF 00 = 00000000 00 +0F 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +0F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +0F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +cmp ---D---- ---S---- CZ = ---Q---- CZ +10 000 00000000 00000000 00 = 00000000 01 +10 001 00000000 00000000 01 = 00000000 01 +10 002 00000000 00000000 10 = 00000000 01 +10 003 00000000 00000000 11 = 00000000 01 +10 004 00000001 00000000 00 = 00000001 00 +10 005 00000001 00000000 01 = 00000001 00 +10 006 00000001 00000000 10 = 00000001 00 +10 007 00000001 00000000 11 = 00000001 00 +10 008 00000002 00000000 00 = 00000002 00 +10 009 00000002 00000000 01 = 00000002 00 +10 00A 00000002 00000000 10 = 00000002 00 +10 00B 00000002 00000000 11 = 00000002 00 +10 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +10 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +10 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +10 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +10 010 80000000 00000000 00 = 80000000 00 +10 011 80000000 00000000 01 = 80000000 00 +10 012 80000000 00000000 10 = 80000000 00 +10 013 80000000 00000000 11 = 80000000 00 +10 014 80000001 00000000 00 = 80000001 00 +10 015 80000001 00000000 01 = 80000001 00 +10 016 80000001 00000000 10 = 80000001 00 +10 017 80000001 00000000 11 = 80000001 00 +10 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +10 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +10 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +10 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +10 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +10 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +10 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +10 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +10 020 00000000 00000001 00 = 00000000 10 +10 021 00000000 00000001 01 = 00000000 10 +10 022 00000000 00000001 10 = 00000000 10 +10 023 00000000 00000001 11 = 00000000 10 +10 024 00000001 00000001 00 = 00000001 01 +10 025 00000001 00000001 01 = 00000001 01 +10 026 00000001 00000001 10 = 00000001 01 +10 027 00000001 00000001 11 = 00000001 01 +10 028 00000002 00000001 00 = 00000002 00 +10 029 00000002 00000001 01 = 00000002 00 +10 02A 00000002 00000001 10 = 00000002 00 +10 02B 00000002 00000001 11 = 00000002 00 +10 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +10 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 +10 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +10 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 +10 030 80000000 00000001 00 = 80000000 00 +10 031 80000000 00000001 01 = 80000000 00 +10 032 80000000 00000001 10 = 80000000 00 +10 033 80000000 00000001 11 = 80000000 00 +10 034 80000001 00000001 00 = 80000001 00 +10 035 80000001 00000001 01 = 80000001 00 +10 036 80000001 00000001 10 = 80000001 00 +10 037 80000001 00000001 11 = 80000001 00 +10 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +10 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 +10 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 +10 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 +10 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +10 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 +10 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +10 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 +10 040 00000000 00000002 00 = 00000000 10 +10 041 00000000 00000002 01 = 00000000 10 +10 042 00000000 00000002 10 = 00000000 10 +10 043 00000000 00000002 11 = 00000000 10 +10 044 00000001 00000002 00 = 00000001 10 +10 045 00000001 00000002 01 = 00000001 10 +10 046 00000001 00000002 10 = 00000001 10 +10 047 00000001 00000002 11 = 00000001 10 +10 048 00000002 00000002 00 = 00000002 01 +10 049 00000002 00000002 01 = 00000002 01 +10 04A 00000002 00000002 10 = 00000002 01 +10 04B 00000002 00000002 11 = 00000002 01 +10 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +10 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 +10 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +10 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 +10 050 80000000 00000002 00 = 80000000 00 +10 051 80000000 00000002 01 = 80000000 00 +10 052 80000000 00000002 10 = 80000000 00 +10 053 80000000 00000002 11 = 80000000 00 +10 054 80000001 00000002 00 = 80000001 00 +10 055 80000001 00000002 01 = 80000001 00 +10 056 80000001 00000002 10 = 80000001 00 +10 057 80000001 00000002 11 = 80000001 00 +10 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +10 059 FFFFFFFE 00000002 01 = FFFFFFFE 00 +10 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 +10 05B FFFFFFFE 00000002 11 = FFFFFFFE 00 +10 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +10 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 +10 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +10 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 +10 060 00000000 7FFFFFFF 00 = 00000000 10 +10 061 00000000 7FFFFFFF 01 = 00000000 10 +10 062 00000000 7FFFFFFF 10 = 00000000 10 +10 063 00000000 7FFFFFFF 11 = 00000000 10 +10 064 00000001 7FFFFFFF 00 = 00000001 10 +10 065 00000001 7FFFFFFF 01 = 00000001 10 +10 066 00000001 7FFFFFFF 10 = 00000001 10 +10 067 00000001 7FFFFFFF 11 = 00000001 10 +10 068 00000002 7FFFFFFF 00 = 00000002 10 +10 069 00000002 7FFFFFFF 01 = 00000002 10 +10 06A 00000002 7FFFFFFF 10 = 00000002 10 +10 06B 00000002 7FFFFFFF 11 = 00000002 10 +10 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 01 +10 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +10 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 01 +10 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 +10 070 80000000 7FFFFFFF 00 = 80000000 00 +10 071 80000000 7FFFFFFF 01 = 80000000 00 +10 072 80000000 7FFFFFFF 10 = 80000000 00 +10 073 80000000 7FFFFFFF 11 = 80000000 00 +10 074 80000001 7FFFFFFF 00 = 80000001 00 +10 075 80000001 7FFFFFFF 01 = 80000001 00 +10 076 80000001 7FFFFFFF 10 = 80000001 00 +10 077 80000001 7FFFFFFF 11 = 80000001 00 +10 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +10 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 +10 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 +10 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 +10 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +10 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +10 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +10 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +10 080 00000000 80000000 00 = 00000000 10 +10 081 00000000 80000000 01 = 00000000 10 +10 082 00000000 80000000 10 = 00000000 10 +10 083 00000000 80000000 11 = 00000000 10 +10 084 00000001 80000000 00 = 00000001 10 +10 085 00000001 80000000 01 = 00000001 10 +10 086 00000001 80000000 10 = 00000001 10 +10 087 00000001 80000000 11 = 00000001 10 +10 088 00000002 80000000 00 = 00000002 10 +10 089 00000002 80000000 01 = 00000002 10 +10 08A 00000002 80000000 10 = 00000002 10 +10 08B 00000002 80000000 11 = 00000002 10 +10 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +10 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +10 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +10 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +10 090 80000000 80000000 00 = 80000000 01 +10 091 80000000 80000000 01 = 80000000 01 +10 092 80000000 80000000 10 = 80000000 01 +10 093 80000000 80000000 11 = 80000000 01 +10 094 80000001 80000000 00 = 80000001 00 +10 095 80000001 80000000 01 = 80000001 00 +10 096 80000001 80000000 10 = 80000001 00 +10 097 80000001 80000000 11 = 80000001 00 +10 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +10 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +10 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +10 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +10 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +10 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +10 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +10 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +10 0A0 00000000 80000001 00 = 00000000 10 +10 0A1 00000000 80000001 01 = 00000000 10 +10 0A2 00000000 80000001 10 = 00000000 10 +10 0A3 00000000 80000001 11 = 00000000 10 +10 0A4 00000001 80000001 00 = 00000001 10 +10 0A5 00000001 80000001 01 = 00000001 10 +10 0A6 00000001 80000001 10 = 00000001 10 +10 0A7 00000001 80000001 11 = 00000001 10 +10 0A8 00000002 80000001 00 = 00000002 10 +10 0A9 00000002 80000001 01 = 00000002 10 +10 0AA 00000002 80000001 10 = 00000002 10 +10 0AB 00000002 80000001 11 = 00000002 10 +10 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 +10 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 10 +10 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 +10 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 10 +10 0B0 80000000 80000001 00 = 80000000 10 +10 0B1 80000000 80000001 01 = 80000000 10 +10 0B2 80000000 80000001 10 = 80000000 10 +10 0B3 80000000 80000001 11 = 80000000 10 +10 0B4 80000001 80000001 00 = 80000001 01 +10 0B5 80000001 80000001 01 = 80000001 01 +10 0B6 80000001 80000001 10 = 80000001 01 +10 0B7 80000001 80000001 11 = 80000001 01 +10 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +10 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +10 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +10 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 +10 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +10 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +10 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +10 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +10 0C0 00000000 FFFFFFFE 00 = 00000000 10 +10 0C1 00000000 FFFFFFFE 01 = 00000000 10 +10 0C2 00000000 FFFFFFFE 10 = 00000000 10 +10 0C3 00000000 FFFFFFFE 11 = 00000000 10 +10 0C4 00000001 FFFFFFFE 00 = 00000001 10 +10 0C5 00000001 FFFFFFFE 01 = 00000001 10 +10 0C6 00000001 FFFFFFFE 10 = 00000001 10 +10 0C7 00000001 FFFFFFFE 11 = 00000001 10 +10 0C8 00000002 FFFFFFFE 00 = 00000002 10 +10 0C9 00000002 FFFFFFFE 01 = 00000002 10 +10 0CA 00000002 FFFFFFFE 10 = 00000002 10 +10 0CB 00000002 FFFFFFFE 11 = 00000002 10 +10 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 +10 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 10 +10 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +10 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 10 +10 0D0 80000000 FFFFFFFE 00 = 80000000 10 +10 0D1 80000000 FFFFFFFE 01 = 80000000 10 +10 0D2 80000000 FFFFFFFE 10 = 80000000 10 +10 0D3 80000000 FFFFFFFE 11 = 80000000 10 +10 0D4 80000001 FFFFFFFE 00 = 80000001 10 +10 0D5 80000001 FFFFFFFE 01 = 80000001 10 +10 0D6 80000001 FFFFFFFE 10 = 80000001 10 +10 0D7 80000001 FFFFFFFE 11 = 80000001 10 +10 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 01 +10 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +10 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 01 +10 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 +10 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +10 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +10 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +10 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +10 0E0 00000000 FFFFFFFF 00 = 00000000 10 +10 0E1 00000000 FFFFFFFF 01 = 00000000 10 +10 0E2 00000000 FFFFFFFF 10 = 00000000 10 +10 0E3 00000000 FFFFFFFF 11 = 00000000 10 +10 0E4 00000001 FFFFFFFF 00 = 00000001 10 +10 0E5 00000001 FFFFFFFF 01 = 00000001 10 +10 0E6 00000001 FFFFFFFF 10 = 00000001 10 +10 0E7 00000001 FFFFFFFF 11 = 00000001 10 +10 0E8 00000002 FFFFFFFF 00 = 00000002 10 +10 0E9 00000002 FFFFFFFF 01 = 00000002 10 +10 0EA 00000002 FFFFFFFF 10 = 00000002 10 +10 0EB 00000002 FFFFFFFF 11 = 00000002 10 +10 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 +10 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 10 +10 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +10 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 +10 0F0 80000000 FFFFFFFF 00 = 80000000 10 +10 0F1 80000000 FFFFFFFF 01 = 80000000 10 +10 0F2 80000000 FFFFFFFF 10 = 80000000 10 +10 0F3 80000000 FFFFFFFF 11 = 80000000 10 +10 0F4 80000001 FFFFFFFF 00 = 80000001 10 +10 0F5 80000001 FFFFFFFF 01 = 80000001 10 +10 0F6 80000001 FFFFFFFF 10 = 80000001 10 +10 0F7 80000001 FFFFFFFF 11 = 80000001 10 +10 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +10 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 +10 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +10 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +10 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 01 +10 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +10 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 01 +10 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 +cmpx ---D---- ---S---- CZ = ---Q---- CZ +11 000 00000000 00000000 00 = 00000000 00 +11 001 00000000 00000000 01 = 00000000 01 +11 002 00000000 00000000 10 = 00000000 10 +11 003 00000000 00000000 11 = 00000000 10 +11 004 00000001 00000000 00 = 00000001 00 +11 005 00000001 00000000 01 = 00000001 00 +11 006 00000001 00000000 10 = 00000001 00 +11 007 00000001 00000000 11 = 00000001 01 +11 008 00000002 00000000 00 = 00000002 00 +11 009 00000002 00000000 01 = 00000002 00 +11 00A 00000002 00000000 10 = 00000002 00 +11 00B 00000002 00000000 11 = 00000002 00 +11 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +11 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +11 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +11 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +11 010 80000000 00000000 00 = 80000000 00 +11 011 80000000 00000000 01 = 80000000 00 +11 012 80000000 00000000 10 = 80000000 00 +11 013 80000000 00000000 11 = 80000000 00 +11 014 80000001 00000000 00 = 80000001 00 +11 015 80000001 00000000 01 = 80000001 00 +11 016 80000001 00000000 10 = 80000001 00 +11 017 80000001 00000000 11 = 80000001 00 +11 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +11 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +11 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +11 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +11 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +11 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +11 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +11 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +11 020 00000000 00000001 00 = 00000000 10 +11 021 00000000 00000001 01 = 00000000 10 +11 022 00000000 00000001 10 = 00000000 10 +11 023 00000000 00000001 11 = 00000000 10 +11 024 00000001 00000001 00 = 00000001 00 +11 025 00000001 00000001 01 = 00000001 01 +11 026 00000001 00000001 10 = 00000001 10 +11 027 00000001 00000001 11 = 00000001 10 +11 028 00000002 00000001 00 = 00000002 00 +11 029 00000002 00000001 01 = 00000002 00 +11 02A 00000002 00000001 10 = 00000002 00 +11 02B 00000002 00000001 11 = 00000002 01 +11 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +11 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 +11 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +11 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 +11 030 80000000 00000001 00 = 80000000 00 +11 031 80000000 00000001 01 = 80000000 00 +11 032 80000000 00000001 10 = 80000000 00 +11 033 80000000 00000001 11 = 80000000 00 +11 034 80000001 00000001 00 = 80000001 00 +11 035 80000001 00000001 01 = 80000001 00 +11 036 80000001 00000001 10 = 80000001 00 +11 037 80000001 00000001 11 = 80000001 00 +11 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +11 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 +11 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 +11 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 +11 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +11 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 +11 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +11 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 +11 040 00000000 00000002 00 = 00000000 10 +11 041 00000000 00000002 01 = 00000000 10 +11 042 00000000 00000002 10 = 00000000 10 +11 043 00000000 00000002 11 = 00000000 10 +11 044 00000001 00000002 00 = 00000001 10 +11 045 00000001 00000002 01 = 00000001 10 +11 046 00000001 00000002 10 = 00000001 10 +11 047 00000001 00000002 11 = 00000001 10 +11 048 00000002 00000002 00 = 00000002 00 +11 049 00000002 00000002 01 = 00000002 01 +11 04A 00000002 00000002 10 = 00000002 10 +11 04B 00000002 00000002 11 = 00000002 10 +11 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +11 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 +11 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +11 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 +11 050 80000000 00000002 00 = 80000000 00 +11 051 80000000 00000002 01 = 80000000 00 +11 052 80000000 00000002 10 = 80000000 00 +11 053 80000000 00000002 11 = 80000000 00 +11 054 80000001 00000002 00 = 80000001 00 +11 055 80000001 00000002 01 = 80000001 00 +11 056 80000001 00000002 10 = 80000001 00 +11 057 80000001 00000002 11 = 80000001 00 +11 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +11 059 FFFFFFFE 00000002 01 = FFFFFFFE 00 +11 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 +11 05B FFFFFFFE 00000002 11 = FFFFFFFE 00 +11 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +11 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 +11 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +11 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 +11 060 00000000 7FFFFFFF 00 = 00000000 10 +11 061 00000000 7FFFFFFF 01 = 00000000 10 +11 062 00000000 7FFFFFFF 10 = 00000000 10 +11 063 00000000 7FFFFFFF 11 = 00000000 10 +11 064 00000001 7FFFFFFF 00 = 00000001 10 +11 065 00000001 7FFFFFFF 01 = 00000001 10 +11 066 00000001 7FFFFFFF 10 = 00000001 10 +11 067 00000001 7FFFFFFF 11 = 00000001 10 +11 068 00000002 7FFFFFFF 00 = 00000002 10 +11 069 00000002 7FFFFFFF 01 = 00000002 10 +11 06A 00000002 7FFFFFFF 10 = 00000002 10 +11 06B 00000002 7FFFFFFF 11 = 00000002 10 +11 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +11 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +11 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +11 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +11 070 80000000 7FFFFFFF 00 = 80000000 00 +11 071 80000000 7FFFFFFF 01 = 80000000 00 +11 072 80000000 7FFFFFFF 10 = 80000000 00 +11 073 80000000 7FFFFFFF 11 = 80000000 01 +11 074 80000001 7FFFFFFF 00 = 80000001 00 +11 075 80000001 7FFFFFFF 01 = 80000001 00 +11 076 80000001 7FFFFFFF 10 = 80000001 00 +11 077 80000001 7FFFFFFF 11 = 80000001 00 +11 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +11 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 +11 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 +11 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 +11 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +11 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +11 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +11 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +11 080 00000000 80000000 00 = 00000000 10 +11 081 00000000 80000000 01 = 00000000 10 +11 082 00000000 80000000 10 = 00000000 10 +11 083 00000000 80000000 11 = 00000000 10 +11 084 00000001 80000000 00 = 00000001 10 +11 085 00000001 80000000 01 = 00000001 10 +11 086 00000001 80000000 10 = 00000001 10 +11 087 00000001 80000000 11 = 00000001 10 +11 088 00000002 80000000 00 = 00000002 10 +11 089 00000002 80000000 01 = 00000002 10 +11 08A 00000002 80000000 10 = 00000002 10 +11 08B 00000002 80000000 11 = 00000002 10 +11 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +11 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +11 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +11 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +11 090 80000000 80000000 00 = 80000000 00 +11 091 80000000 80000000 01 = 80000000 01 +11 092 80000000 80000000 10 = 80000000 10 +11 093 80000000 80000000 11 = 80000000 10 +11 094 80000001 80000000 00 = 80000001 00 +11 095 80000001 80000000 01 = 80000001 00 +11 096 80000001 80000000 10 = 80000001 00 +11 097 80000001 80000000 11 = 80000001 01 +11 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +11 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +11 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +11 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +11 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +11 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +11 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +11 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +11 0A0 00000000 80000001 00 = 00000000 10 +11 0A1 00000000 80000001 01 = 00000000 10 +11 0A2 00000000 80000001 10 = 00000000 10 +11 0A3 00000000 80000001 11 = 00000000 10 +11 0A4 00000001 80000001 00 = 00000001 10 +11 0A5 00000001 80000001 01 = 00000001 10 +11 0A6 00000001 80000001 10 = 00000001 10 +11 0A7 00000001 80000001 11 = 00000001 10 +11 0A8 00000002 80000001 00 = 00000002 10 +11 0A9 00000002 80000001 01 = 00000002 10 +11 0AA 00000002 80000001 10 = 00000002 10 +11 0AB 00000002 80000001 11 = 00000002 10 +11 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 +11 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 10 +11 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 +11 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 10 +11 0B0 80000000 80000001 00 = 80000000 10 +11 0B1 80000000 80000001 01 = 80000000 10 +11 0B2 80000000 80000001 10 = 80000000 10 +11 0B3 80000000 80000001 11 = 80000000 10 +11 0B4 80000001 80000001 00 = 80000001 00 +11 0B5 80000001 80000001 01 = 80000001 01 +11 0B6 80000001 80000001 10 = 80000001 10 +11 0B7 80000001 80000001 11 = 80000001 10 +11 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +11 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +11 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +11 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 +11 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +11 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +11 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +11 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +11 0C0 00000000 FFFFFFFE 00 = 00000000 10 +11 0C1 00000000 FFFFFFFE 01 = 00000000 10 +11 0C2 00000000 FFFFFFFE 10 = 00000000 10 +11 0C3 00000000 FFFFFFFE 11 = 00000000 10 +11 0C4 00000001 FFFFFFFE 00 = 00000001 10 +11 0C5 00000001 FFFFFFFE 01 = 00000001 10 +11 0C6 00000001 FFFFFFFE 10 = 00000001 10 +11 0C7 00000001 FFFFFFFE 11 = 00000001 10 +11 0C8 00000002 FFFFFFFE 00 = 00000002 10 +11 0C9 00000002 FFFFFFFE 01 = 00000002 10 +11 0CA 00000002 FFFFFFFE 10 = 00000002 10 +11 0CB 00000002 FFFFFFFE 11 = 00000002 10 +11 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 +11 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 10 +11 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +11 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 10 +11 0D0 80000000 FFFFFFFE 00 = 80000000 10 +11 0D1 80000000 FFFFFFFE 01 = 80000000 10 +11 0D2 80000000 FFFFFFFE 10 = 80000000 10 +11 0D3 80000000 FFFFFFFE 11 = 80000000 10 +11 0D4 80000001 FFFFFFFE 00 = 80000001 10 +11 0D5 80000001 FFFFFFFE 01 = 80000001 10 +11 0D6 80000001 FFFFFFFE 10 = 80000001 10 +11 0D7 80000001 FFFFFFFE 11 = 80000001 10 +11 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +11 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +11 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +11 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +11 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +11 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +11 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +11 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 01 +11 0E0 00000000 FFFFFFFF 00 = 00000000 10 +11 0E1 00000000 FFFFFFFF 01 = 00000000 10 +11 0E2 00000000 FFFFFFFF 10 = 00000000 10 +11 0E3 00000000 FFFFFFFF 11 = 00000000 11 +11 0E4 00000001 FFFFFFFF 00 = 00000001 10 +11 0E5 00000001 FFFFFFFF 01 = 00000001 10 +11 0E6 00000001 FFFFFFFF 10 = 00000001 10 +11 0E7 00000001 FFFFFFFF 11 = 00000001 10 +11 0E8 00000002 FFFFFFFF 00 = 00000002 10 +11 0E9 00000002 FFFFFFFF 01 = 00000002 10 +11 0EA 00000002 FFFFFFFF 10 = 00000002 10 +11 0EB 00000002 FFFFFFFF 11 = 00000002 10 +11 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 +11 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 10 +11 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +11 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 +11 0F0 80000000 FFFFFFFF 00 = 80000000 10 +11 0F1 80000000 FFFFFFFF 01 = 80000000 10 +11 0F2 80000000 FFFFFFFF 10 = 80000000 10 +11 0F3 80000000 FFFFFFFF 11 = 80000000 10 +11 0F4 80000001 FFFFFFFF 00 = 80000001 10 +11 0F5 80000001 FFFFFFFF 01 = 80000001 10 +11 0F6 80000001 FFFFFFFF 10 = 80000001 10 +11 0F7 80000001 FFFFFFFF 11 = 80000001 10 +11 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +11 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 +11 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +11 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +11 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +11 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +11 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +11 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +cmps ---D---- ---S---- CZ = ---Q---- CZ +12 000 00000000 00000000 00 = 00000000 01 +12 001 00000000 00000000 01 = 00000000 01 +12 002 00000000 00000000 10 = 00000000 01 +12 003 00000000 00000000 11 = 00000000 01 +12 004 00000001 00000000 00 = 00000001 00 +12 005 00000001 00000000 01 = 00000001 00 +12 006 00000001 00000000 10 = 00000001 00 +12 007 00000001 00000000 11 = 00000001 00 +12 008 00000002 00000000 00 = 00000002 00 +12 009 00000002 00000000 01 = 00000002 00 +12 00A 00000002 00000000 10 = 00000002 00 +12 00B 00000002 00000000 11 = 00000002 00 +12 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +12 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +12 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +12 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +12 010 80000000 00000000 00 = 80000000 10 +12 011 80000000 00000000 01 = 80000000 10 +12 012 80000000 00000000 10 = 80000000 10 +12 013 80000000 00000000 11 = 80000000 10 +12 014 80000001 00000000 00 = 80000001 10 +12 015 80000001 00000000 01 = 80000001 10 +12 016 80000001 00000000 10 = 80000001 10 +12 017 80000001 00000000 11 = 80000001 10 +12 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +12 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +12 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +12 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +12 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +12 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +12 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +12 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +12 020 00000000 00000001 00 = 00000000 10 +12 021 00000000 00000001 01 = 00000000 10 +12 022 00000000 00000001 10 = 00000000 10 +12 023 00000000 00000001 11 = 00000000 10 +12 024 00000001 00000001 00 = 00000001 01 +12 025 00000001 00000001 01 = 00000001 01 +12 026 00000001 00000001 10 = 00000001 01 +12 027 00000001 00000001 11 = 00000001 01 +12 028 00000002 00000001 00 = 00000002 00 +12 029 00000002 00000001 01 = 00000002 00 +12 02A 00000002 00000001 10 = 00000002 00 +12 02B 00000002 00000001 11 = 00000002 00 +12 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +12 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 +12 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +12 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 +12 030 80000000 00000001 00 = 80000000 10 +12 031 80000000 00000001 01 = 80000000 10 +12 032 80000000 00000001 10 = 80000000 10 +12 033 80000000 00000001 11 = 80000000 10 +12 034 80000001 00000001 00 = 80000001 10 +12 035 80000001 00000001 01 = 80000001 10 +12 036 80000001 00000001 10 = 80000001 10 +12 037 80000001 00000001 11 = 80000001 10 +12 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +12 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 +12 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +12 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +12 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +12 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +12 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +12 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +12 040 00000000 00000002 00 = 00000000 10 +12 041 00000000 00000002 01 = 00000000 10 +12 042 00000000 00000002 10 = 00000000 10 +12 043 00000000 00000002 11 = 00000000 10 +12 044 00000001 00000002 00 = 00000001 10 +12 045 00000001 00000002 01 = 00000001 10 +12 046 00000001 00000002 10 = 00000001 10 +12 047 00000001 00000002 11 = 00000001 10 +12 048 00000002 00000002 00 = 00000002 01 +12 049 00000002 00000002 01 = 00000002 01 +12 04A 00000002 00000002 10 = 00000002 01 +12 04B 00000002 00000002 11 = 00000002 01 +12 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +12 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 +12 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +12 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 +12 050 80000000 00000002 00 = 80000000 10 +12 051 80000000 00000002 01 = 80000000 10 +12 052 80000000 00000002 10 = 80000000 10 +12 053 80000000 00000002 11 = 80000000 10 +12 054 80000001 00000002 00 = 80000001 10 +12 055 80000001 00000002 01 = 80000001 10 +12 056 80000001 00000002 10 = 80000001 10 +12 057 80000001 00000002 11 = 80000001 10 +12 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +12 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +12 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +12 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +12 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +12 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 +12 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +12 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +12 060 00000000 7FFFFFFF 00 = 00000000 10 +12 061 00000000 7FFFFFFF 01 = 00000000 10 +12 062 00000000 7FFFFFFF 10 = 00000000 10 +12 063 00000000 7FFFFFFF 11 = 00000000 10 +12 064 00000001 7FFFFFFF 00 = 00000001 10 +12 065 00000001 7FFFFFFF 01 = 00000001 10 +12 066 00000001 7FFFFFFF 10 = 00000001 10 +12 067 00000001 7FFFFFFF 11 = 00000001 10 +12 068 00000002 7FFFFFFF 00 = 00000002 10 +12 069 00000002 7FFFFFFF 01 = 00000002 10 +12 06A 00000002 7FFFFFFF 10 = 00000002 10 +12 06B 00000002 7FFFFFFF 11 = 00000002 10 +12 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 01 +12 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +12 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 01 +12 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 +12 070 80000000 7FFFFFFF 00 = 80000000 10 +12 071 80000000 7FFFFFFF 01 = 80000000 10 +12 072 80000000 7FFFFFFF 10 = 80000000 10 +12 073 80000000 7FFFFFFF 11 = 80000000 10 +12 074 80000001 7FFFFFFF 00 = 80000001 10 +12 075 80000001 7FFFFFFF 01 = 80000001 10 +12 076 80000001 7FFFFFFF 10 = 80000001 10 +12 077 80000001 7FFFFFFF 11 = 80000001 10 +12 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 +12 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 10 +12 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +12 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 10 +12 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +12 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +12 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +12 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +12 080 00000000 80000000 00 = 00000000 00 +12 081 00000000 80000000 01 = 00000000 00 +12 082 00000000 80000000 10 = 00000000 00 +12 083 00000000 80000000 11 = 00000000 00 +12 084 00000001 80000000 00 = 00000001 00 +12 085 00000001 80000000 01 = 00000001 00 +12 086 00000001 80000000 10 = 00000001 00 +12 087 00000001 80000000 11 = 00000001 00 +12 088 00000002 80000000 00 = 00000002 00 +12 089 00000002 80000000 01 = 00000002 00 +12 08A 00000002 80000000 10 = 00000002 00 +12 08B 00000002 80000000 11 = 00000002 00 +12 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +12 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +12 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +12 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +12 090 80000000 80000000 00 = 80000000 01 +12 091 80000000 80000000 01 = 80000000 01 +12 092 80000000 80000000 10 = 80000000 01 +12 093 80000000 80000000 11 = 80000000 01 +12 094 80000001 80000000 00 = 80000001 00 +12 095 80000001 80000000 01 = 80000001 00 +12 096 80000001 80000000 10 = 80000001 00 +12 097 80000001 80000000 11 = 80000001 00 +12 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +12 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +12 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +12 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +12 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +12 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +12 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +12 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +12 0A0 00000000 80000001 00 = 00000000 00 +12 0A1 00000000 80000001 01 = 00000000 00 +12 0A2 00000000 80000001 10 = 00000000 00 +12 0A3 00000000 80000001 11 = 00000000 00 +12 0A4 00000001 80000001 00 = 00000001 00 +12 0A5 00000001 80000001 01 = 00000001 00 +12 0A6 00000001 80000001 10 = 00000001 00 +12 0A7 00000001 80000001 11 = 00000001 00 +12 0A8 00000002 80000001 00 = 00000002 00 +12 0A9 00000002 80000001 01 = 00000002 00 +12 0AA 00000002 80000001 10 = 00000002 00 +12 0AB 00000002 80000001 11 = 00000002 00 +12 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +12 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +12 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +12 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +12 0B0 80000000 80000001 00 = 80000000 10 +12 0B1 80000000 80000001 01 = 80000000 10 +12 0B2 80000000 80000001 10 = 80000000 10 +12 0B3 80000000 80000001 11 = 80000000 10 +12 0B4 80000001 80000001 00 = 80000001 01 +12 0B5 80000001 80000001 01 = 80000001 01 +12 0B6 80000001 80000001 10 = 80000001 01 +12 0B7 80000001 80000001 11 = 80000001 01 +12 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +12 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +12 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +12 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 +12 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +12 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +12 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +12 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +12 0C0 00000000 FFFFFFFE 00 = 00000000 00 +12 0C1 00000000 FFFFFFFE 01 = 00000000 00 +12 0C2 00000000 FFFFFFFE 10 = 00000000 00 +12 0C3 00000000 FFFFFFFE 11 = 00000000 00 +12 0C4 00000001 FFFFFFFE 00 = 00000001 00 +12 0C5 00000001 FFFFFFFE 01 = 00000001 00 +12 0C6 00000001 FFFFFFFE 10 = 00000001 00 +12 0C7 00000001 FFFFFFFE 11 = 00000001 00 +12 0C8 00000002 FFFFFFFE 00 = 00000002 00 +12 0C9 00000002 FFFFFFFE 01 = 00000002 00 +12 0CA 00000002 FFFFFFFE 10 = 00000002 00 +12 0CB 00000002 FFFFFFFE 11 = 00000002 00 +12 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +12 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 +12 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +12 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 +12 0D0 80000000 FFFFFFFE 00 = 80000000 10 +12 0D1 80000000 FFFFFFFE 01 = 80000000 10 +12 0D2 80000000 FFFFFFFE 10 = 80000000 10 +12 0D3 80000000 FFFFFFFE 11 = 80000000 10 +12 0D4 80000001 FFFFFFFE 00 = 80000001 10 +12 0D5 80000001 FFFFFFFE 01 = 80000001 10 +12 0D6 80000001 FFFFFFFE 10 = 80000001 10 +12 0D7 80000001 FFFFFFFE 11 = 80000001 10 +12 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 01 +12 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +12 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 01 +12 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 +12 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +12 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +12 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +12 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +12 0E0 00000000 FFFFFFFF 00 = 00000000 00 +12 0E1 00000000 FFFFFFFF 01 = 00000000 00 +12 0E2 00000000 FFFFFFFF 10 = 00000000 00 +12 0E3 00000000 FFFFFFFF 11 = 00000000 00 +12 0E4 00000001 FFFFFFFF 00 = 00000001 00 +12 0E5 00000001 FFFFFFFF 01 = 00000001 00 +12 0E6 00000001 FFFFFFFF 10 = 00000001 00 +12 0E7 00000001 FFFFFFFF 11 = 00000001 00 +12 0E8 00000002 FFFFFFFF 00 = 00000002 00 +12 0E9 00000002 FFFFFFFF 01 = 00000002 00 +12 0EA 00000002 FFFFFFFF 10 = 00000002 00 +12 0EB 00000002 FFFFFFFF 11 = 00000002 00 +12 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +12 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +12 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +12 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +12 0F0 80000000 FFFFFFFF 00 = 80000000 10 +12 0F1 80000000 FFFFFFFF 01 = 80000000 10 +12 0F2 80000000 FFFFFFFF 10 = 80000000 10 +12 0F3 80000000 FFFFFFFF 11 = 80000000 10 +12 0F4 80000001 FFFFFFFF 00 = 80000001 10 +12 0F5 80000001 FFFFFFFF 01 = 80000001 10 +12 0F6 80000001 FFFFFFFF 10 = 80000001 10 +12 0F7 80000001 FFFFFFFF 11 = 80000001 10 +12 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +12 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 +12 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +12 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +12 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 01 +12 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +12 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 01 +12 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 +cmpsx ---D---- ---S---- CZ = ---Q---- CZ +13 000 00000000 00000000 00 = 00000000 00 +13 001 00000000 00000000 01 = 00000000 01 +13 002 00000000 00000000 10 = 00000000 10 +13 003 00000000 00000000 11 = 00000000 10 +13 004 00000001 00000000 00 = 00000001 00 +13 005 00000001 00000000 01 = 00000001 00 +13 006 00000001 00000000 10 = 00000001 00 +13 007 00000001 00000000 11 = 00000001 01 +13 008 00000002 00000000 00 = 00000002 00 +13 009 00000002 00000000 01 = 00000002 00 +13 00A 00000002 00000000 10 = 00000002 00 +13 00B 00000002 00000000 11 = 00000002 00 +13 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +13 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +13 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +13 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +13 010 80000000 00000000 00 = 80000000 10 +13 011 80000000 00000000 01 = 80000000 10 +13 012 80000000 00000000 10 = 80000000 10 +13 013 80000000 00000000 11 = 80000000 10 +13 014 80000001 00000000 00 = 80000001 10 +13 015 80000001 00000000 01 = 80000001 10 +13 016 80000001 00000000 10 = 80000001 10 +13 017 80000001 00000000 11 = 80000001 10 +13 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +13 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +13 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +13 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +13 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +13 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +13 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +13 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +13 020 00000000 00000001 00 = 00000000 10 +13 021 00000000 00000001 01 = 00000000 10 +13 022 00000000 00000001 10 = 00000000 10 +13 023 00000000 00000001 11 = 00000000 10 +13 024 00000001 00000001 00 = 00000001 00 +13 025 00000001 00000001 01 = 00000001 01 +13 026 00000001 00000001 10 = 00000001 10 +13 027 00000001 00000001 11 = 00000001 10 +13 028 00000002 00000001 00 = 00000002 00 +13 029 00000002 00000001 01 = 00000002 00 +13 02A 00000002 00000001 10 = 00000002 00 +13 02B 00000002 00000001 11 = 00000002 01 +13 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +13 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 +13 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +13 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 +13 030 80000000 00000001 00 = 80000000 10 +13 031 80000000 00000001 01 = 80000000 10 +13 032 80000000 00000001 10 = 80000000 10 +13 033 80000000 00000001 11 = 80000000 10 +13 034 80000001 00000001 00 = 80000001 10 +13 035 80000001 00000001 01 = 80000001 10 +13 036 80000001 00000001 10 = 80000001 10 +13 037 80000001 00000001 11 = 80000001 10 +13 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +13 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 +13 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +13 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +13 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +13 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +13 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +13 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +13 040 00000000 00000002 00 = 00000000 10 +13 041 00000000 00000002 01 = 00000000 10 +13 042 00000000 00000002 10 = 00000000 10 +13 043 00000000 00000002 11 = 00000000 10 +13 044 00000001 00000002 00 = 00000001 10 +13 045 00000001 00000002 01 = 00000001 10 +13 046 00000001 00000002 10 = 00000001 10 +13 047 00000001 00000002 11 = 00000001 10 +13 048 00000002 00000002 00 = 00000002 00 +13 049 00000002 00000002 01 = 00000002 01 +13 04A 00000002 00000002 10 = 00000002 10 +13 04B 00000002 00000002 11 = 00000002 10 +13 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +13 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 +13 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +13 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 +13 050 80000000 00000002 00 = 80000000 10 +13 051 80000000 00000002 01 = 80000000 10 +13 052 80000000 00000002 10 = 80000000 10 +13 053 80000000 00000002 11 = 80000000 10 +13 054 80000001 00000002 00 = 80000001 10 +13 055 80000001 00000002 01 = 80000001 10 +13 056 80000001 00000002 10 = 80000001 10 +13 057 80000001 00000002 11 = 80000001 10 +13 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +13 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +13 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +13 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +13 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +13 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 +13 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +13 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +13 060 00000000 7FFFFFFF 00 = 00000000 10 +13 061 00000000 7FFFFFFF 01 = 00000000 10 +13 062 00000000 7FFFFFFF 10 = 00000000 10 +13 063 00000000 7FFFFFFF 11 = 00000000 10 +13 064 00000001 7FFFFFFF 00 = 00000001 10 +13 065 00000001 7FFFFFFF 01 = 00000001 10 +13 066 00000001 7FFFFFFF 10 = 00000001 10 +13 067 00000001 7FFFFFFF 11 = 00000001 10 +13 068 00000002 7FFFFFFF 00 = 00000002 10 +13 069 00000002 7FFFFFFF 01 = 00000002 10 +13 06A 00000002 7FFFFFFF 10 = 00000002 10 +13 06B 00000002 7FFFFFFF 11 = 00000002 10 +13 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +13 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +13 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +13 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +13 070 80000000 7FFFFFFF 00 = 80000000 10 +13 071 80000000 7FFFFFFF 01 = 80000000 10 +13 072 80000000 7FFFFFFF 10 = 80000000 10 +13 073 80000000 7FFFFFFF 11 = 80000000 11 +13 074 80000001 7FFFFFFF 00 = 80000001 10 +13 075 80000001 7FFFFFFF 01 = 80000001 10 +13 076 80000001 7FFFFFFF 10 = 80000001 10 +13 077 80000001 7FFFFFFF 11 = 80000001 10 +13 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 +13 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 10 +13 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +13 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 10 +13 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +13 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +13 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +13 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +13 080 00000000 80000000 00 = 00000000 00 +13 081 00000000 80000000 01 = 00000000 00 +13 082 00000000 80000000 10 = 00000000 00 +13 083 00000000 80000000 11 = 00000000 00 +13 084 00000001 80000000 00 = 00000001 00 +13 085 00000001 80000000 01 = 00000001 00 +13 086 00000001 80000000 10 = 00000001 00 +13 087 00000001 80000000 11 = 00000001 00 +13 088 00000002 80000000 00 = 00000002 00 +13 089 00000002 80000000 01 = 00000002 00 +13 08A 00000002 80000000 10 = 00000002 00 +13 08B 00000002 80000000 11 = 00000002 00 +13 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +13 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +13 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +13 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +13 090 80000000 80000000 00 = 80000000 00 +13 091 80000000 80000000 01 = 80000000 01 +13 092 80000000 80000000 10 = 80000000 10 +13 093 80000000 80000000 11 = 80000000 10 +13 094 80000001 80000000 00 = 80000001 00 +13 095 80000001 80000000 01 = 80000001 00 +13 096 80000001 80000000 10 = 80000001 00 +13 097 80000001 80000000 11 = 80000001 01 +13 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +13 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +13 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +13 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +13 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +13 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +13 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +13 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +13 0A0 00000000 80000001 00 = 00000000 00 +13 0A1 00000000 80000001 01 = 00000000 00 +13 0A2 00000000 80000001 10 = 00000000 00 +13 0A3 00000000 80000001 11 = 00000000 00 +13 0A4 00000001 80000001 00 = 00000001 00 +13 0A5 00000001 80000001 01 = 00000001 00 +13 0A6 00000001 80000001 10 = 00000001 00 +13 0A7 00000001 80000001 11 = 00000001 00 +13 0A8 00000002 80000001 00 = 00000002 00 +13 0A9 00000002 80000001 01 = 00000002 00 +13 0AA 00000002 80000001 10 = 00000002 00 +13 0AB 00000002 80000001 11 = 00000002 00 +13 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +13 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +13 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +13 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +13 0B0 80000000 80000001 00 = 80000000 10 +13 0B1 80000000 80000001 01 = 80000000 10 +13 0B2 80000000 80000001 10 = 80000000 10 +13 0B3 80000000 80000001 11 = 80000000 10 +13 0B4 80000001 80000001 00 = 80000001 00 +13 0B5 80000001 80000001 01 = 80000001 01 +13 0B6 80000001 80000001 10 = 80000001 10 +13 0B7 80000001 80000001 11 = 80000001 10 +13 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +13 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +13 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +13 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 +13 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +13 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +13 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +13 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +13 0C0 00000000 FFFFFFFE 00 = 00000000 00 +13 0C1 00000000 FFFFFFFE 01 = 00000000 00 +13 0C2 00000000 FFFFFFFE 10 = 00000000 00 +13 0C3 00000000 FFFFFFFE 11 = 00000000 00 +13 0C4 00000001 FFFFFFFE 00 = 00000001 00 +13 0C5 00000001 FFFFFFFE 01 = 00000001 00 +13 0C6 00000001 FFFFFFFE 10 = 00000001 00 +13 0C7 00000001 FFFFFFFE 11 = 00000001 00 +13 0C8 00000002 FFFFFFFE 00 = 00000002 00 +13 0C9 00000002 FFFFFFFE 01 = 00000002 00 +13 0CA 00000002 FFFFFFFE 10 = 00000002 00 +13 0CB 00000002 FFFFFFFE 11 = 00000002 00 +13 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +13 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 +13 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +13 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 +13 0D0 80000000 FFFFFFFE 00 = 80000000 10 +13 0D1 80000000 FFFFFFFE 01 = 80000000 10 +13 0D2 80000000 FFFFFFFE 10 = 80000000 10 +13 0D3 80000000 FFFFFFFE 11 = 80000000 10 +13 0D4 80000001 FFFFFFFE 00 = 80000001 10 +13 0D5 80000001 FFFFFFFE 01 = 80000001 10 +13 0D6 80000001 FFFFFFFE 10 = 80000001 10 +13 0D7 80000001 FFFFFFFE 11 = 80000001 10 +13 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +13 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +13 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +13 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +13 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +13 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +13 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +13 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 01 +13 0E0 00000000 FFFFFFFF 00 = 00000000 00 +13 0E1 00000000 FFFFFFFF 01 = 00000000 00 +13 0E2 00000000 FFFFFFFF 10 = 00000000 00 +13 0E3 00000000 FFFFFFFF 11 = 00000000 01 +13 0E4 00000001 FFFFFFFF 00 = 00000001 00 +13 0E5 00000001 FFFFFFFF 01 = 00000001 00 +13 0E6 00000001 FFFFFFFF 10 = 00000001 00 +13 0E7 00000001 FFFFFFFF 11 = 00000001 00 +13 0E8 00000002 FFFFFFFF 00 = 00000002 00 +13 0E9 00000002 FFFFFFFF 01 = 00000002 00 +13 0EA 00000002 FFFFFFFF 10 = 00000002 00 +13 0EB 00000002 FFFFFFFF 11 = 00000002 00 +13 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +13 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +13 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +13 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +13 0F0 80000000 FFFFFFFF 00 = 80000000 10 +13 0F1 80000000 FFFFFFFF 01 = 80000000 10 +13 0F2 80000000 FFFFFFFF 10 = 80000000 10 +13 0F3 80000000 FFFFFFFF 11 = 80000000 10 +13 0F4 80000001 FFFFFFFF 00 = 80000001 10 +13 0F5 80000001 FFFFFFFF 01 = 80000001 10 +13 0F6 80000001 FFFFFFFF 10 = 80000001 10 +13 0F7 80000001 FFFFFFFF 11 = 80000001 10 +13 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +13 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 +13 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +13 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +13 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +13 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +13 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +13 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +cmpr ---D---- ---S---- CZ = ---Q---- CZ +14 000 00000000 00000000 00 = 00000000 01 +14 001 00000000 00000000 01 = 00000000 01 +14 002 00000000 00000000 10 = 00000000 01 +14 003 00000000 00000000 11 = 00000000 01 +14 004 00000001 00000000 00 = 00000001 10 +14 005 00000001 00000000 01 = 00000001 10 +14 006 00000001 00000000 10 = 00000001 10 +14 007 00000001 00000000 11 = 00000001 10 +14 008 00000002 00000000 00 = 00000002 10 +14 009 00000002 00000000 01 = 00000002 10 +14 00A 00000002 00000000 10 = 00000002 10 +14 00B 00000002 00000000 11 = 00000002 10 +14 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +14 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +14 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +14 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +14 010 80000000 00000000 00 = 80000000 10 +14 011 80000000 00000000 01 = 80000000 10 +14 012 80000000 00000000 10 = 80000000 10 +14 013 80000000 00000000 11 = 80000000 10 +14 014 80000001 00000000 00 = 80000001 10 +14 015 80000001 00000000 01 = 80000001 10 +14 016 80000001 00000000 10 = 80000001 10 +14 017 80000001 00000000 11 = 80000001 10 +14 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +14 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +14 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +14 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +14 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +14 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +14 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +14 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +14 020 00000000 00000001 00 = 00000000 00 +14 021 00000000 00000001 01 = 00000000 00 +14 022 00000000 00000001 10 = 00000000 00 +14 023 00000000 00000001 11 = 00000000 00 +14 024 00000001 00000001 00 = 00000001 01 +14 025 00000001 00000001 01 = 00000001 01 +14 026 00000001 00000001 10 = 00000001 01 +14 027 00000001 00000001 11 = 00000001 01 +14 028 00000002 00000001 00 = 00000002 10 +14 029 00000002 00000001 01 = 00000002 10 +14 02A 00000002 00000001 10 = 00000002 10 +14 02B 00000002 00000001 11 = 00000002 10 +14 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 +14 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 +14 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +14 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 +14 030 80000000 00000001 00 = 80000000 10 +14 031 80000000 00000001 01 = 80000000 10 +14 032 80000000 00000001 10 = 80000000 10 +14 033 80000000 00000001 11 = 80000000 10 +14 034 80000001 00000001 00 = 80000001 10 +14 035 80000001 00000001 01 = 80000001 10 +14 036 80000001 00000001 10 = 80000001 10 +14 037 80000001 00000001 11 = 80000001 10 +14 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +14 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 +14 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +14 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +14 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +14 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +14 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +14 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +14 040 00000000 00000002 00 = 00000000 00 +14 041 00000000 00000002 01 = 00000000 00 +14 042 00000000 00000002 10 = 00000000 00 +14 043 00000000 00000002 11 = 00000000 00 +14 044 00000001 00000002 00 = 00000001 00 +14 045 00000001 00000002 01 = 00000001 00 +14 046 00000001 00000002 10 = 00000001 00 +14 047 00000001 00000002 11 = 00000001 00 +14 048 00000002 00000002 00 = 00000002 01 +14 049 00000002 00000002 01 = 00000002 01 +14 04A 00000002 00000002 10 = 00000002 01 +14 04B 00000002 00000002 11 = 00000002 01 +14 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 +14 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 +14 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +14 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 +14 050 80000000 00000002 00 = 80000000 10 +14 051 80000000 00000002 01 = 80000000 10 +14 052 80000000 00000002 10 = 80000000 10 +14 053 80000000 00000002 11 = 80000000 10 +14 054 80000001 00000002 00 = 80000001 10 +14 055 80000001 00000002 01 = 80000001 10 +14 056 80000001 00000002 10 = 80000001 10 +14 057 80000001 00000002 11 = 80000001 10 +14 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +14 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +14 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +14 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +14 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +14 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 +14 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +14 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +14 060 00000000 7FFFFFFF 00 = 00000000 00 +14 061 00000000 7FFFFFFF 01 = 00000000 00 +14 062 00000000 7FFFFFFF 10 = 00000000 00 +14 063 00000000 7FFFFFFF 11 = 00000000 00 +14 064 00000001 7FFFFFFF 00 = 00000001 00 +14 065 00000001 7FFFFFFF 01 = 00000001 00 +14 066 00000001 7FFFFFFF 10 = 00000001 00 +14 067 00000001 7FFFFFFF 11 = 00000001 00 +14 068 00000002 7FFFFFFF 00 = 00000002 00 +14 069 00000002 7FFFFFFF 01 = 00000002 00 +14 06A 00000002 7FFFFFFF 10 = 00000002 00 +14 06B 00000002 7FFFFFFF 11 = 00000002 00 +14 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 01 +14 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +14 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 01 +14 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 +14 070 80000000 7FFFFFFF 00 = 80000000 10 +14 071 80000000 7FFFFFFF 01 = 80000000 10 +14 072 80000000 7FFFFFFF 10 = 80000000 10 +14 073 80000000 7FFFFFFF 11 = 80000000 10 +14 074 80000001 7FFFFFFF 00 = 80000001 10 +14 075 80000001 7FFFFFFF 01 = 80000001 10 +14 076 80000001 7FFFFFFF 10 = 80000001 10 +14 077 80000001 7FFFFFFF 11 = 80000001 10 +14 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 +14 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 10 +14 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +14 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 10 +14 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +14 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +14 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +14 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +14 080 00000000 80000000 00 = 00000000 00 +14 081 00000000 80000000 01 = 00000000 00 +14 082 00000000 80000000 10 = 00000000 00 +14 083 00000000 80000000 11 = 00000000 00 +14 084 00000001 80000000 00 = 00000001 00 +14 085 00000001 80000000 01 = 00000001 00 +14 086 00000001 80000000 10 = 00000001 00 +14 087 00000001 80000000 11 = 00000001 00 +14 088 00000002 80000000 00 = 00000002 00 +14 089 00000002 80000000 01 = 00000002 00 +14 08A 00000002 80000000 10 = 00000002 00 +14 08B 00000002 80000000 11 = 00000002 00 +14 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +14 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +14 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +14 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +14 090 80000000 80000000 00 = 80000000 01 +14 091 80000000 80000000 01 = 80000000 01 +14 092 80000000 80000000 10 = 80000000 01 +14 093 80000000 80000000 11 = 80000000 01 +14 094 80000001 80000000 00 = 80000001 10 +14 095 80000001 80000000 01 = 80000001 10 +14 096 80000001 80000000 10 = 80000001 10 +14 097 80000001 80000000 11 = 80000001 10 +14 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +14 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 +14 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +14 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 +14 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +14 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +14 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +14 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +14 0A0 00000000 80000001 00 = 00000000 00 +14 0A1 00000000 80000001 01 = 00000000 00 +14 0A2 00000000 80000001 10 = 00000000 00 +14 0A3 00000000 80000001 11 = 00000000 00 +14 0A4 00000001 80000001 00 = 00000001 00 +14 0A5 00000001 80000001 01 = 00000001 00 +14 0A6 00000001 80000001 10 = 00000001 00 +14 0A7 00000001 80000001 11 = 00000001 00 +14 0A8 00000002 80000001 00 = 00000002 00 +14 0A9 00000002 80000001 01 = 00000002 00 +14 0AA 00000002 80000001 10 = 00000002 00 +14 0AB 00000002 80000001 11 = 00000002 00 +14 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +14 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +14 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +14 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +14 0B0 80000000 80000001 00 = 80000000 00 +14 0B1 80000000 80000001 01 = 80000000 00 +14 0B2 80000000 80000001 10 = 80000000 00 +14 0B3 80000000 80000001 11 = 80000000 00 +14 0B4 80000001 80000001 00 = 80000001 01 +14 0B5 80000001 80000001 01 = 80000001 01 +14 0B6 80000001 80000001 10 = 80000001 01 +14 0B7 80000001 80000001 11 = 80000001 01 +14 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 10 +14 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 10 +14 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +14 0BB FFFFFFFE 80000001 11 = FFFFFFFE 10 +14 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 +14 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 +14 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +14 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 +14 0C0 00000000 FFFFFFFE 00 = 00000000 00 +14 0C1 00000000 FFFFFFFE 01 = 00000000 00 +14 0C2 00000000 FFFFFFFE 10 = 00000000 00 +14 0C3 00000000 FFFFFFFE 11 = 00000000 00 +14 0C4 00000001 FFFFFFFE 00 = 00000001 00 +14 0C5 00000001 FFFFFFFE 01 = 00000001 00 +14 0C6 00000001 FFFFFFFE 10 = 00000001 00 +14 0C7 00000001 FFFFFFFE 11 = 00000001 00 +14 0C8 00000002 FFFFFFFE 00 = 00000002 00 +14 0C9 00000002 FFFFFFFE 01 = 00000002 00 +14 0CA 00000002 FFFFFFFE 10 = 00000002 00 +14 0CB 00000002 FFFFFFFE 11 = 00000002 00 +14 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +14 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 +14 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +14 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 +14 0D0 80000000 FFFFFFFE 00 = 80000000 00 +14 0D1 80000000 FFFFFFFE 01 = 80000000 00 +14 0D2 80000000 FFFFFFFE 10 = 80000000 00 +14 0D3 80000000 FFFFFFFE 11 = 80000000 00 +14 0D4 80000001 FFFFFFFE 00 = 80000001 00 +14 0D5 80000001 FFFFFFFE 01 = 80000001 00 +14 0D6 80000001 FFFFFFFE 10 = 80000001 00 +14 0D7 80000001 FFFFFFFE 11 = 80000001 00 +14 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 01 +14 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +14 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 01 +14 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 +14 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +14 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 +14 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +14 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +14 0E0 00000000 FFFFFFFF 00 = 00000000 00 +14 0E1 00000000 FFFFFFFF 01 = 00000000 00 +14 0E2 00000000 FFFFFFFF 10 = 00000000 00 +14 0E3 00000000 FFFFFFFF 11 = 00000000 00 +14 0E4 00000001 FFFFFFFF 00 = 00000001 00 +14 0E5 00000001 FFFFFFFF 01 = 00000001 00 +14 0E6 00000001 FFFFFFFF 10 = 00000001 00 +14 0E7 00000001 FFFFFFFF 11 = 00000001 00 +14 0E8 00000002 FFFFFFFF 00 = 00000002 00 +14 0E9 00000002 FFFFFFFF 01 = 00000002 00 +14 0EA 00000002 FFFFFFFF 10 = 00000002 00 +14 0EB 00000002 FFFFFFFF 11 = 00000002 00 +14 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +14 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +14 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +14 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +14 0F0 80000000 FFFFFFFF 00 = 80000000 00 +14 0F1 80000000 FFFFFFFF 01 = 80000000 00 +14 0F2 80000000 FFFFFFFF 10 = 80000000 00 +14 0F3 80000000 FFFFFFFF 11 = 80000000 00 +14 0F4 80000001 FFFFFFFF 00 = 80000001 00 +14 0F5 80000001 FFFFFFFF 01 = 80000001 00 +14 0F6 80000001 FFFFFFFF 10 = 80000001 00 +14 0F7 80000001 FFFFFFFF 11 = 80000001 00 +14 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +14 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 +14 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 +14 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 +14 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 01 +14 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +14 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 01 +14 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 +cmpm ---D---- ---S---- CZ = ---Q---- CZ +15 000 00000000 00000000 00 = 00000000 01 +15 001 00000000 00000000 01 = 00000000 01 +15 002 00000000 00000000 10 = 00000000 01 +15 003 00000000 00000000 11 = 00000000 01 +15 004 00000001 00000000 00 = 00000001 00 +15 005 00000001 00000000 01 = 00000001 00 +15 006 00000001 00000000 10 = 00000001 00 +15 007 00000001 00000000 11 = 00000001 00 +15 008 00000002 00000000 00 = 00000002 00 +15 009 00000002 00000000 01 = 00000002 00 +15 00A 00000002 00000000 10 = 00000002 00 +15 00B 00000002 00000000 11 = 00000002 00 +15 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +15 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +15 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +15 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +15 010 80000000 00000000 00 = 80000000 10 +15 011 80000000 00000000 01 = 80000000 10 +15 012 80000000 00000000 10 = 80000000 10 +15 013 80000000 00000000 11 = 80000000 10 +15 014 80000001 00000000 00 = 80000001 10 +15 015 80000001 00000000 01 = 80000001 10 +15 016 80000001 00000000 10 = 80000001 10 +15 017 80000001 00000000 11 = 80000001 10 +15 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +15 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +15 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +15 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +15 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +15 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +15 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +15 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +15 020 00000000 00000001 00 = 00000000 10 +15 021 00000000 00000001 01 = 00000000 10 +15 022 00000000 00000001 10 = 00000000 10 +15 023 00000000 00000001 11 = 00000000 10 +15 024 00000001 00000001 00 = 00000001 01 +15 025 00000001 00000001 01 = 00000001 01 +15 026 00000001 00000001 10 = 00000001 01 +15 027 00000001 00000001 11 = 00000001 01 +15 028 00000002 00000001 00 = 00000002 00 +15 029 00000002 00000001 01 = 00000002 00 +15 02A 00000002 00000001 10 = 00000002 00 +15 02B 00000002 00000001 11 = 00000002 00 +15 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +15 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 +15 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +15 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 +15 030 80000000 00000001 00 = 80000000 00 +15 031 80000000 00000001 01 = 80000000 00 +15 032 80000000 00000001 10 = 80000000 00 +15 033 80000000 00000001 11 = 80000000 00 +15 034 80000001 00000001 00 = 80000001 10 +15 035 80000001 00000001 01 = 80000001 10 +15 036 80000001 00000001 10 = 80000001 10 +15 037 80000001 00000001 11 = 80000001 10 +15 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +15 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 +15 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +15 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +15 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +15 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +15 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +15 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +15 040 00000000 00000002 00 = 00000000 10 +15 041 00000000 00000002 01 = 00000000 10 +15 042 00000000 00000002 10 = 00000000 10 +15 043 00000000 00000002 11 = 00000000 10 +15 044 00000001 00000002 00 = 00000001 10 +15 045 00000001 00000002 01 = 00000001 10 +15 046 00000001 00000002 10 = 00000001 10 +15 047 00000001 00000002 11 = 00000001 10 +15 048 00000002 00000002 00 = 00000002 01 +15 049 00000002 00000002 01 = 00000002 01 +15 04A 00000002 00000002 10 = 00000002 01 +15 04B 00000002 00000002 11 = 00000002 01 +15 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +15 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 +15 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +15 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 +15 050 80000000 00000002 00 = 80000000 00 +15 051 80000000 00000002 01 = 80000000 00 +15 052 80000000 00000002 10 = 80000000 00 +15 053 80000000 00000002 11 = 80000000 00 +15 054 80000001 00000002 00 = 80000001 00 +15 055 80000001 00000002 01 = 80000001 00 +15 056 80000001 00000002 10 = 80000001 00 +15 057 80000001 00000002 11 = 80000001 00 +15 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +15 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +15 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +15 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +15 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +15 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 +15 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +15 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +15 060 00000000 7FFFFFFF 00 = 00000000 10 +15 061 00000000 7FFFFFFF 01 = 00000000 10 +15 062 00000000 7FFFFFFF 10 = 00000000 10 +15 063 00000000 7FFFFFFF 11 = 00000000 10 +15 064 00000001 7FFFFFFF 00 = 00000001 10 +15 065 00000001 7FFFFFFF 01 = 00000001 10 +15 066 00000001 7FFFFFFF 10 = 00000001 10 +15 067 00000001 7FFFFFFF 11 = 00000001 10 +15 068 00000002 7FFFFFFF 00 = 00000002 10 +15 069 00000002 7FFFFFFF 01 = 00000002 10 +15 06A 00000002 7FFFFFFF 10 = 00000002 10 +15 06B 00000002 7FFFFFFF 11 = 00000002 10 +15 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 01 +15 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +15 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 01 +15 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 +15 070 80000000 7FFFFFFF 00 = 80000000 00 +15 071 80000000 7FFFFFFF 01 = 80000000 00 +15 072 80000000 7FFFFFFF 10 = 80000000 00 +15 073 80000000 7FFFFFFF 11 = 80000000 00 +15 074 80000001 7FFFFFFF 00 = 80000001 00 +15 075 80000001 7FFFFFFF 01 = 80000001 00 +15 076 80000001 7FFFFFFF 10 = 80000001 00 +15 077 80000001 7FFFFFFF 11 = 80000001 00 +15 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +15 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 +15 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 +15 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 +15 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +15 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +15 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +15 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +15 080 00000000 80000000 00 = 00000000 10 +15 081 00000000 80000000 01 = 00000000 10 +15 082 00000000 80000000 10 = 00000000 10 +15 083 00000000 80000000 11 = 00000000 10 +15 084 00000001 80000000 00 = 00000001 10 +15 085 00000001 80000000 01 = 00000001 10 +15 086 00000001 80000000 10 = 00000001 10 +15 087 00000001 80000000 11 = 00000001 10 +15 088 00000002 80000000 00 = 00000002 10 +15 089 00000002 80000000 01 = 00000002 10 +15 08A 00000002 80000000 10 = 00000002 10 +15 08B 00000002 80000000 11 = 00000002 10 +15 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +15 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +15 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +15 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +15 090 80000000 80000000 00 = 80000000 01 +15 091 80000000 80000000 01 = 80000000 01 +15 092 80000000 80000000 10 = 80000000 01 +15 093 80000000 80000000 11 = 80000000 01 +15 094 80000001 80000000 00 = 80000001 00 +15 095 80000001 80000000 01 = 80000001 00 +15 096 80000001 80000000 10 = 80000001 00 +15 097 80000001 80000000 11 = 80000001 00 +15 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +15 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +15 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +15 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +15 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +15 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +15 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +15 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +15 0A0 00000000 80000001 00 = 00000000 00 +15 0A1 00000000 80000001 01 = 00000000 00 +15 0A2 00000000 80000001 10 = 00000000 00 +15 0A3 00000000 80000001 11 = 00000000 00 +15 0A4 00000001 80000001 00 = 00000001 10 +15 0A5 00000001 80000001 01 = 00000001 10 +15 0A6 00000001 80000001 10 = 00000001 10 +15 0A7 00000001 80000001 11 = 00000001 10 +15 0A8 00000002 80000001 00 = 00000002 10 +15 0A9 00000002 80000001 01 = 00000002 10 +15 0AA 00000002 80000001 10 = 00000002 10 +15 0AB 00000002 80000001 11 = 00000002 10 +15 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 +15 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 10 +15 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 +15 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 10 +15 0B0 80000000 80000001 00 = 80000000 10 +15 0B1 80000000 80000001 01 = 80000000 10 +15 0B2 80000000 80000001 10 = 80000000 10 +15 0B3 80000000 80000001 11 = 80000000 10 +15 0B4 80000001 80000001 00 = 80000001 01 +15 0B5 80000001 80000001 01 = 80000001 01 +15 0B6 80000001 80000001 10 = 80000001 01 +15 0B7 80000001 80000001 11 = 80000001 01 +15 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +15 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +15 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +15 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 +15 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +15 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +15 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +15 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +15 0C0 00000000 FFFFFFFE 00 = 00000000 00 +15 0C1 00000000 FFFFFFFE 01 = 00000000 00 +15 0C2 00000000 FFFFFFFE 10 = 00000000 00 +15 0C3 00000000 FFFFFFFE 11 = 00000000 00 +15 0C4 00000001 FFFFFFFE 00 = 00000001 00 +15 0C5 00000001 FFFFFFFE 01 = 00000001 00 +15 0C6 00000001 FFFFFFFE 10 = 00000001 00 +15 0C7 00000001 FFFFFFFE 11 = 00000001 00 +15 0C8 00000002 FFFFFFFE 00 = 00000002 00 +15 0C9 00000002 FFFFFFFE 01 = 00000002 00 +15 0CA 00000002 FFFFFFFE 10 = 00000002 00 +15 0CB 00000002 FFFFFFFE 11 = 00000002 00 +15 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 +15 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 10 +15 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +15 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 10 +15 0D0 80000000 FFFFFFFE 00 = 80000000 10 +15 0D1 80000000 FFFFFFFE 01 = 80000000 10 +15 0D2 80000000 FFFFFFFE 10 = 80000000 10 +15 0D3 80000000 FFFFFFFE 11 = 80000000 10 +15 0D4 80000001 FFFFFFFE 00 = 80000001 10 +15 0D5 80000001 FFFFFFFE 01 = 80000001 10 +15 0D6 80000001 FFFFFFFE 10 = 80000001 10 +15 0D7 80000001 FFFFFFFE 11 = 80000001 10 +15 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 01 +15 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +15 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 01 +15 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 +15 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +15 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +15 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +15 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +15 0E0 00000000 FFFFFFFF 00 = 00000000 00 +15 0E1 00000000 FFFFFFFF 01 = 00000000 00 +15 0E2 00000000 FFFFFFFF 10 = 00000000 00 +15 0E3 00000000 FFFFFFFF 11 = 00000000 00 +15 0E4 00000001 FFFFFFFF 00 = 00000001 00 +15 0E5 00000001 FFFFFFFF 01 = 00000001 00 +15 0E6 00000001 FFFFFFFF 10 = 00000001 00 +15 0E7 00000001 FFFFFFFF 11 = 00000001 00 +15 0E8 00000002 FFFFFFFF 00 = 00000002 00 +15 0E9 00000002 FFFFFFFF 01 = 00000002 00 +15 0EA 00000002 FFFFFFFF 10 = 00000002 00 +15 0EB 00000002 FFFFFFFF 11 = 00000002 00 +15 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 +15 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 10 +15 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +15 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 +15 0F0 80000000 FFFFFFFF 00 = 80000000 10 +15 0F1 80000000 FFFFFFFF 01 = 80000000 10 +15 0F2 80000000 FFFFFFFF 10 = 80000000 10 +15 0F3 80000000 FFFFFFFF 11 = 80000000 10 +15 0F4 80000001 FFFFFFFF 00 = 80000001 10 +15 0F5 80000001 FFFFFFFF 01 = 80000001 10 +15 0F6 80000001 FFFFFFFF 10 = 80000001 10 +15 0F7 80000001 FFFFFFFF 11 = 80000001 10 +15 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +15 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 +15 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +15 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +15 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 01 +15 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +15 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 01 +15 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 +subr ---D---- ---S---- CZ = ---Q---- CZ +16 000 00000000 00000000 00 = 00000000 01 +16 001 00000000 00000000 01 = 00000000 01 +16 002 00000000 00000000 10 = 00000000 01 +16 003 00000000 00000000 11 = 00000000 01 +16 004 00000001 00000000 00 = FFFFFFFF 10 +16 005 00000001 00000000 01 = FFFFFFFF 10 +16 006 00000001 00000000 10 = FFFFFFFF 10 +16 007 00000001 00000000 11 = FFFFFFFF 10 +16 008 00000002 00000000 00 = FFFFFFFE 10 +16 009 00000002 00000000 01 = FFFFFFFE 10 +16 00A 00000002 00000000 10 = FFFFFFFE 10 +16 00B 00000002 00000000 11 = FFFFFFFE 10 +16 00C 7FFFFFFF 00000000 00 = 80000001 10 +16 00D 7FFFFFFF 00000000 01 = 80000001 10 +16 00E 7FFFFFFF 00000000 10 = 80000001 10 +16 00F 7FFFFFFF 00000000 11 = 80000001 10 +16 010 80000000 00000000 00 = 80000000 10 +16 011 80000000 00000000 01 = 80000000 10 +16 012 80000000 00000000 10 = 80000000 10 +16 013 80000000 00000000 11 = 80000000 10 +16 014 80000001 00000000 00 = 7FFFFFFF 10 +16 015 80000001 00000000 01 = 7FFFFFFF 10 +16 016 80000001 00000000 10 = 7FFFFFFF 10 +16 017 80000001 00000000 11 = 7FFFFFFF 10 +16 018 FFFFFFFE 00000000 00 = 00000002 10 +16 019 FFFFFFFE 00000000 01 = 00000002 10 +16 01A FFFFFFFE 00000000 10 = 00000002 10 +16 01B FFFFFFFE 00000000 11 = 00000002 10 +16 01C FFFFFFFF 00000000 00 = 00000001 10 +16 01D FFFFFFFF 00000000 01 = 00000001 10 +16 01E FFFFFFFF 00000000 10 = 00000001 10 +16 01F FFFFFFFF 00000000 11 = 00000001 10 +16 020 00000000 00000001 00 = 00000001 00 +16 021 00000000 00000001 01 = 00000001 00 +16 022 00000000 00000001 10 = 00000001 00 +16 023 00000000 00000001 11 = 00000001 00 +16 024 00000001 00000001 00 = 00000000 01 +16 025 00000001 00000001 01 = 00000000 01 +16 026 00000001 00000001 10 = 00000000 01 +16 027 00000001 00000001 11 = 00000000 01 +16 028 00000002 00000001 00 = FFFFFFFF 10 +16 029 00000002 00000001 01 = FFFFFFFF 10 +16 02A 00000002 00000001 10 = FFFFFFFF 10 +16 02B 00000002 00000001 11 = FFFFFFFF 10 +16 02C 7FFFFFFF 00000001 00 = 80000002 10 +16 02D 7FFFFFFF 00000001 01 = 80000002 10 +16 02E 7FFFFFFF 00000001 10 = 80000002 10 +16 02F 7FFFFFFF 00000001 11 = 80000002 10 +16 030 80000000 00000001 00 = 80000001 10 +16 031 80000000 00000001 01 = 80000001 10 +16 032 80000000 00000001 10 = 80000001 10 +16 033 80000000 00000001 11 = 80000001 10 +16 034 80000001 00000001 00 = 80000000 10 +16 035 80000001 00000001 01 = 80000000 10 +16 036 80000001 00000001 10 = 80000000 10 +16 037 80000001 00000001 11 = 80000000 10 +16 038 FFFFFFFE 00000001 00 = 00000003 10 +16 039 FFFFFFFE 00000001 01 = 00000003 10 +16 03A FFFFFFFE 00000001 10 = 00000003 10 +16 03B FFFFFFFE 00000001 11 = 00000003 10 +16 03C FFFFFFFF 00000001 00 = 00000002 10 +16 03D FFFFFFFF 00000001 01 = 00000002 10 +16 03E FFFFFFFF 00000001 10 = 00000002 10 +16 03F FFFFFFFF 00000001 11 = 00000002 10 +16 040 00000000 00000002 00 = 00000002 00 +16 041 00000000 00000002 01 = 00000002 00 +16 042 00000000 00000002 10 = 00000002 00 +16 043 00000000 00000002 11 = 00000002 00 +16 044 00000001 00000002 00 = 00000001 00 +16 045 00000001 00000002 01 = 00000001 00 +16 046 00000001 00000002 10 = 00000001 00 +16 047 00000001 00000002 11 = 00000001 00 +16 048 00000002 00000002 00 = 00000000 01 +16 049 00000002 00000002 01 = 00000000 01 +16 04A 00000002 00000002 10 = 00000000 01 +16 04B 00000002 00000002 11 = 00000000 01 +16 04C 7FFFFFFF 00000002 00 = 80000003 10 +16 04D 7FFFFFFF 00000002 01 = 80000003 10 +16 04E 7FFFFFFF 00000002 10 = 80000003 10 +16 04F 7FFFFFFF 00000002 11 = 80000003 10 +16 050 80000000 00000002 00 = 80000002 10 +16 051 80000000 00000002 01 = 80000002 10 +16 052 80000000 00000002 10 = 80000002 10 +16 053 80000000 00000002 11 = 80000002 10 +16 054 80000001 00000002 00 = 80000001 10 +16 055 80000001 00000002 01 = 80000001 10 +16 056 80000001 00000002 10 = 80000001 10 +16 057 80000001 00000002 11 = 80000001 10 +16 058 FFFFFFFE 00000002 00 = 00000004 10 +16 059 FFFFFFFE 00000002 01 = 00000004 10 +16 05A FFFFFFFE 00000002 10 = 00000004 10 +16 05B FFFFFFFE 00000002 11 = 00000004 10 +16 05C FFFFFFFF 00000002 00 = 00000003 10 +16 05D FFFFFFFF 00000002 01 = 00000003 10 +16 05E FFFFFFFF 00000002 10 = 00000003 10 +16 05F FFFFFFFF 00000002 11 = 00000003 10 +16 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +16 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +16 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 +16 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 +16 064 00000001 7FFFFFFF 00 = 7FFFFFFE 00 +16 065 00000001 7FFFFFFF 01 = 7FFFFFFE 00 +16 066 00000001 7FFFFFFF 10 = 7FFFFFFE 00 +16 067 00000001 7FFFFFFF 11 = 7FFFFFFE 00 +16 068 00000002 7FFFFFFF 00 = 7FFFFFFD 00 +16 069 00000002 7FFFFFFF 01 = 7FFFFFFD 00 +16 06A 00000002 7FFFFFFF 10 = 7FFFFFFD 00 +16 06B 00000002 7FFFFFFF 11 = 7FFFFFFD 00 +16 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 +16 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +16 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 +16 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 +16 070 80000000 7FFFFFFF 00 = FFFFFFFF 10 +16 071 80000000 7FFFFFFF 01 = FFFFFFFF 10 +16 072 80000000 7FFFFFFF 10 = FFFFFFFF 10 +16 073 80000000 7FFFFFFF 11 = FFFFFFFF 10 +16 074 80000001 7FFFFFFF 00 = FFFFFFFE 10 +16 075 80000001 7FFFFFFF 01 = FFFFFFFE 10 +16 076 80000001 7FFFFFFF 10 = FFFFFFFE 10 +16 077 80000001 7FFFFFFF 11 = FFFFFFFE 10 +16 078 FFFFFFFE 7FFFFFFF 00 = 80000001 10 +16 079 FFFFFFFE 7FFFFFFF 01 = 80000001 10 +16 07A FFFFFFFE 7FFFFFFF 10 = 80000001 10 +16 07B FFFFFFFE 7FFFFFFF 11 = 80000001 10 +16 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +16 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 +16 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +16 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +16 080 00000000 80000000 00 = 80000000 00 +16 081 00000000 80000000 01 = 80000000 00 +16 082 00000000 80000000 10 = 80000000 00 +16 083 00000000 80000000 11 = 80000000 00 +16 084 00000001 80000000 00 = 7FFFFFFF 00 +16 085 00000001 80000000 01 = 7FFFFFFF 00 +16 086 00000001 80000000 10 = 7FFFFFFF 00 +16 087 00000001 80000000 11 = 7FFFFFFF 00 +16 088 00000002 80000000 00 = 7FFFFFFE 00 +16 089 00000002 80000000 01 = 7FFFFFFE 00 +16 08A 00000002 80000000 10 = 7FFFFFFE 00 +16 08B 00000002 80000000 11 = 7FFFFFFE 00 +16 08C 7FFFFFFF 80000000 00 = 00000001 00 +16 08D 7FFFFFFF 80000000 01 = 00000001 00 +16 08E 7FFFFFFF 80000000 10 = 00000001 00 +16 08F 7FFFFFFF 80000000 11 = 00000001 00 +16 090 80000000 80000000 00 = 00000000 01 +16 091 80000000 80000000 01 = 00000000 01 +16 092 80000000 80000000 10 = 00000000 01 +16 093 80000000 80000000 11 = 00000000 01 +16 094 80000001 80000000 00 = FFFFFFFF 10 +16 095 80000001 80000000 01 = FFFFFFFF 10 +16 096 80000001 80000000 10 = FFFFFFFF 10 +16 097 80000001 80000000 11 = FFFFFFFF 10 +16 098 FFFFFFFE 80000000 00 = 80000002 10 +16 099 FFFFFFFE 80000000 01 = 80000002 10 +16 09A FFFFFFFE 80000000 10 = 80000002 10 +16 09B FFFFFFFE 80000000 11 = 80000002 10 +16 09C FFFFFFFF 80000000 00 = 80000001 10 +16 09D FFFFFFFF 80000000 01 = 80000001 10 +16 09E FFFFFFFF 80000000 10 = 80000001 10 +16 09F FFFFFFFF 80000000 11 = 80000001 10 +16 0A0 00000000 80000001 00 = 80000001 00 +16 0A1 00000000 80000001 01 = 80000001 00 +16 0A2 00000000 80000001 10 = 80000001 00 +16 0A3 00000000 80000001 11 = 80000001 00 +16 0A4 00000001 80000001 00 = 80000000 00 +16 0A5 00000001 80000001 01 = 80000000 00 +16 0A6 00000001 80000001 10 = 80000000 00 +16 0A7 00000001 80000001 11 = 80000000 00 +16 0A8 00000002 80000001 00 = 7FFFFFFF 00 +16 0A9 00000002 80000001 01 = 7FFFFFFF 00 +16 0AA 00000002 80000001 10 = 7FFFFFFF 00 +16 0AB 00000002 80000001 11 = 7FFFFFFF 00 +16 0AC 7FFFFFFF 80000001 00 = 00000002 00 +16 0AD 7FFFFFFF 80000001 01 = 00000002 00 +16 0AE 7FFFFFFF 80000001 10 = 00000002 00 +16 0AF 7FFFFFFF 80000001 11 = 00000002 00 +16 0B0 80000000 80000001 00 = 00000001 00 +16 0B1 80000000 80000001 01 = 00000001 00 +16 0B2 80000000 80000001 10 = 00000001 00 +16 0B3 80000000 80000001 11 = 00000001 00 +16 0B4 80000001 80000001 00 = 00000000 01 +16 0B5 80000001 80000001 01 = 00000000 01 +16 0B6 80000001 80000001 10 = 00000000 01 +16 0B7 80000001 80000001 11 = 00000000 01 +16 0B8 FFFFFFFE 80000001 00 = 80000003 10 +16 0B9 FFFFFFFE 80000001 01 = 80000003 10 +16 0BA FFFFFFFE 80000001 10 = 80000003 10 +16 0BB FFFFFFFE 80000001 11 = 80000003 10 +16 0BC FFFFFFFF 80000001 00 = 80000002 10 +16 0BD FFFFFFFF 80000001 01 = 80000002 10 +16 0BE FFFFFFFF 80000001 10 = 80000002 10 +16 0BF FFFFFFFF 80000001 11 = 80000002 10 +16 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +16 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 +16 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 +16 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 +16 0C4 00000001 FFFFFFFE 00 = FFFFFFFD 00 +16 0C5 00000001 FFFFFFFE 01 = FFFFFFFD 00 +16 0C6 00000001 FFFFFFFE 10 = FFFFFFFD 00 +16 0C7 00000001 FFFFFFFE 11 = FFFFFFFD 00 +16 0C8 00000002 FFFFFFFE 00 = FFFFFFFC 00 +16 0C9 00000002 FFFFFFFE 01 = FFFFFFFC 00 +16 0CA 00000002 FFFFFFFE 10 = FFFFFFFC 00 +16 0CB 00000002 FFFFFFFE 11 = FFFFFFFC 00 +16 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +16 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 +16 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +16 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 +16 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 00 +16 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 00 +16 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 00 +16 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 00 +16 0D4 80000001 FFFFFFFE 00 = 7FFFFFFD 00 +16 0D5 80000001 FFFFFFFE 01 = 7FFFFFFD 00 +16 0D6 80000001 FFFFFFFE 10 = 7FFFFFFD 00 +16 0D7 80000001 FFFFFFFE 11 = 7FFFFFFD 00 +16 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 +16 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +16 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 +16 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 +16 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +16 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 +16 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +16 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +16 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +16 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +16 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 +16 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +16 0E4 00000001 FFFFFFFF 00 = FFFFFFFE 00 +16 0E5 00000001 FFFFFFFF 01 = FFFFFFFE 00 +16 0E6 00000001 FFFFFFFF 10 = FFFFFFFE 00 +16 0E7 00000001 FFFFFFFF 11 = FFFFFFFE 00 +16 0E8 00000002 FFFFFFFF 00 = FFFFFFFD 00 +16 0E9 00000002 FFFFFFFF 01 = FFFFFFFD 00 +16 0EA 00000002 FFFFFFFF 10 = FFFFFFFD 00 +16 0EB 00000002 FFFFFFFF 11 = FFFFFFFD 00 +16 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 00 +16 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 00 +16 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 00 +16 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 00 +16 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 00 +16 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 00 +16 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 00 +16 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 00 +16 0F4 80000001 FFFFFFFF 00 = 7FFFFFFE 00 +16 0F5 80000001 FFFFFFFF 01 = 7FFFFFFE 00 +16 0F6 80000001 FFFFFFFF 10 = 7FFFFFFE 00 +16 0F7 80000001 FFFFFFFF 11 = 7FFFFFFE 00 +16 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 00 +16 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 00 +16 0FA FFFFFFFE FFFFFFFF 10 = 00000001 00 +16 0FB FFFFFFFE FFFFFFFF 11 = 00000001 00 +16 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 +16 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +16 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 +16 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 +cmpsub ---D---- ---S---- CZ = ---Q---- CZ +17 000 00000000 00000000 00 = 00000000 11 +17 001 00000000 00000000 01 = 00000000 11 +17 002 00000000 00000000 10 = 00000000 11 +17 003 00000000 00000000 11 = 00000000 11 +17 004 00000001 00000000 00 = 00000001 10 +17 005 00000001 00000000 01 = 00000001 10 +17 006 00000001 00000000 10 = 00000001 10 +17 007 00000001 00000000 11 = 00000001 10 +17 008 00000002 00000000 00 = 00000002 10 +17 009 00000002 00000000 01 = 00000002 10 +17 00A 00000002 00000000 10 = 00000002 10 +17 00B 00000002 00000000 11 = 00000002 10 +17 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +17 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +17 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +17 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +17 010 80000000 00000000 00 = 80000000 10 +17 011 80000000 00000000 01 = 80000000 10 +17 012 80000000 00000000 10 = 80000000 10 +17 013 80000000 00000000 11 = 80000000 10 +17 014 80000001 00000000 00 = 80000001 10 +17 015 80000001 00000000 01 = 80000001 10 +17 016 80000001 00000000 10 = 80000001 10 +17 017 80000001 00000000 11 = 80000001 10 +17 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +17 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +17 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +17 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +17 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +17 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +17 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +17 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +17 020 00000000 00000001 00 = 00000000 01 +17 021 00000000 00000001 01 = 00000000 01 +17 022 00000000 00000001 10 = 00000000 01 +17 023 00000000 00000001 11 = 00000000 01 +17 024 00000001 00000001 00 = 00000000 11 +17 025 00000001 00000001 01 = 00000000 11 +17 026 00000001 00000001 10 = 00000000 11 +17 027 00000001 00000001 11 = 00000000 11 +17 028 00000002 00000001 00 = 00000001 10 +17 029 00000002 00000001 01 = 00000001 10 +17 02A 00000002 00000001 10 = 00000001 10 +17 02B 00000002 00000001 11 = 00000001 10 +17 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 10 +17 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 10 +17 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 10 +17 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 10 +17 030 80000000 00000001 00 = 7FFFFFFF 10 +17 031 80000000 00000001 01 = 7FFFFFFF 10 +17 032 80000000 00000001 10 = 7FFFFFFF 10 +17 033 80000000 00000001 11 = 7FFFFFFF 10 +17 034 80000001 00000001 00 = 80000000 10 +17 035 80000001 00000001 01 = 80000000 10 +17 036 80000001 00000001 10 = 80000000 10 +17 037 80000001 00000001 11 = 80000000 10 +17 038 FFFFFFFE 00000001 00 = FFFFFFFD 10 +17 039 FFFFFFFE 00000001 01 = FFFFFFFD 10 +17 03A FFFFFFFE 00000001 10 = FFFFFFFD 10 +17 03B FFFFFFFE 00000001 11 = FFFFFFFD 10 +17 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +17 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 +17 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 +17 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 +17 040 00000000 00000002 00 = 00000000 01 +17 041 00000000 00000002 01 = 00000000 01 +17 042 00000000 00000002 10 = 00000000 01 +17 043 00000000 00000002 11 = 00000000 01 +17 044 00000001 00000002 00 = 00000001 00 +17 045 00000001 00000002 01 = 00000001 00 +17 046 00000001 00000002 10 = 00000001 00 +17 047 00000001 00000002 11 = 00000001 00 +17 048 00000002 00000002 00 = 00000000 11 +17 049 00000002 00000002 01 = 00000000 11 +17 04A 00000002 00000002 10 = 00000000 11 +17 04B 00000002 00000002 11 = 00000000 11 +17 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 10 +17 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 10 +17 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 10 +17 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 10 +17 050 80000000 00000002 00 = 7FFFFFFE 10 +17 051 80000000 00000002 01 = 7FFFFFFE 10 +17 052 80000000 00000002 10 = 7FFFFFFE 10 +17 053 80000000 00000002 11 = 7FFFFFFE 10 +17 054 80000001 00000002 00 = 7FFFFFFF 10 +17 055 80000001 00000002 01 = 7FFFFFFF 10 +17 056 80000001 00000002 10 = 7FFFFFFF 10 +17 057 80000001 00000002 11 = 7FFFFFFF 10 +17 058 FFFFFFFE 00000002 00 = FFFFFFFC 10 +17 059 FFFFFFFE 00000002 01 = FFFFFFFC 10 +17 05A FFFFFFFE 00000002 10 = FFFFFFFC 10 +17 05B FFFFFFFE 00000002 11 = FFFFFFFC 10 +17 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 +17 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 +17 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 +17 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 +17 060 00000000 7FFFFFFF 00 = 00000000 01 +17 061 00000000 7FFFFFFF 01 = 00000000 01 +17 062 00000000 7FFFFFFF 10 = 00000000 01 +17 063 00000000 7FFFFFFF 11 = 00000000 01 +17 064 00000001 7FFFFFFF 00 = 00000001 00 +17 065 00000001 7FFFFFFF 01 = 00000001 00 +17 066 00000001 7FFFFFFF 10 = 00000001 00 +17 067 00000001 7FFFFFFF 11 = 00000001 00 +17 068 00000002 7FFFFFFF 00 = 00000002 00 +17 069 00000002 7FFFFFFF 01 = 00000002 00 +17 06A 00000002 7FFFFFFF 10 = 00000002 00 +17 06B 00000002 7FFFFFFF 11 = 00000002 00 +17 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 11 +17 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 11 +17 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 11 +17 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 11 +17 070 80000000 7FFFFFFF 00 = 00000001 10 +17 071 80000000 7FFFFFFF 01 = 00000001 10 +17 072 80000000 7FFFFFFF 10 = 00000001 10 +17 073 80000000 7FFFFFFF 11 = 00000001 10 +17 074 80000001 7FFFFFFF 00 = 00000002 10 +17 075 80000001 7FFFFFFF 01 = 00000002 10 +17 076 80000001 7FFFFFFF 10 = 00000002 10 +17 077 80000001 7FFFFFFF 11 = 00000002 10 +17 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 +17 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 +17 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 +17 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 +17 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +17 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 +17 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +17 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +17 080 00000000 80000000 00 = 00000000 01 +17 081 00000000 80000000 01 = 00000000 01 +17 082 00000000 80000000 10 = 00000000 01 +17 083 00000000 80000000 11 = 00000000 01 +17 084 00000001 80000000 00 = 00000001 00 +17 085 00000001 80000000 01 = 00000001 00 +17 086 00000001 80000000 10 = 00000001 00 +17 087 00000001 80000000 11 = 00000001 00 +17 088 00000002 80000000 00 = 00000002 00 +17 089 00000002 80000000 01 = 00000002 00 +17 08A 00000002 80000000 10 = 00000002 00 +17 08B 00000002 80000000 11 = 00000002 00 +17 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +17 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +17 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +17 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +17 090 80000000 80000000 00 = 00000000 11 +17 091 80000000 80000000 01 = 00000000 11 +17 092 80000000 80000000 10 = 00000000 11 +17 093 80000000 80000000 11 = 00000000 11 +17 094 80000001 80000000 00 = 00000001 10 +17 095 80000001 80000000 01 = 00000001 10 +17 096 80000001 80000000 10 = 00000001 10 +17 097 80000001 80000000 11 = 00000001 10 +17 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 +17 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 +17 09A FFFFFFFE 80000000 10 = 7FFFFFFE 10 +17 09B FFFFFFFE 80000000 11 = 7FFFFFFE 10 +17 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +17 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +17 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 +17 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 +17 0A0 00000000 80000001 00 = 00000000 01 +17 0A1 00000000 80000001 01 = 00000000 01 +17 0A2 00000000 80000001 10 = 00000000 01 +17 0A3 00000000 80000001 11 = 00000000 01 +17 0A4 00000001 80000001 00 = 00000001 00 +17 0A5 00000001 80000001 01 = 00000001 00 +17 0A6 00000001 80000001 10 = 00000001 00 +17 0A7 00000001 80000001 11 = 00000001 00 +17 0A8 00000002 80000001 00 = 00000002 00 +17 0A9 00000002 80000001 01 = 00000002 00 +17 0AA 00000002 80000001 10 = 00000002 00 +17 0AB 00000002 80000001 11 = 00000002 00 +17 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +17 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +17 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +17 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +17 0B0 80000000 80000001 00 = 80000000 00 +17 0B1 80000000 80000001 01 = 80000000 00 +17 0B2 80000000 80000001 10 = 80000000 00 +17 0B3 80000000 80000001 11 = 80000000 00 +17 0B4 80000001 80000001 00 = 00000000 11 +17 0B5 80000001 80000001 01 = 00000000 11 +17 0B6 80000001 80000001 10 = 00000000 11 +17 0B7 80000001 80000001 11 = 00000000 11 +17 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 10 +17 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 10 +17 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 10 +17 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 10 +17 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 10 +17 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 10 +17 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 10 +17 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 10 +17 0C0 00000000 FFFFFFFE 00 = 00000000 01 +17 0C1 00000000 FFFFFFFE 01 = 00000000 01 +17 0C2 00000000 FFFFFFFE 10 = 00000000 01 +17 0C3 00000000 FFFFFFFE 11 = 00000000 01 +17 0C4 00000001 FFFFFFFE 00 = 00000001 00 +17 0C5 00000001 FFFFFFFE 01 = 00000001 00 +17 0C6 00000001 FFFFFFFE 10 = 00000001 00 +17 0C7 00000001 FFFFFFFE 11 = 00000001 00 +17 0C8 00000002 FFFFFFFE 00 = 00000002 00 +17 0C9 00000002 FFFFFFFE 01 = 00000002 00 +17 0CA 00000002 FFFFFFFE 10 = 00000002 00 +17 0CB 00000002 FFFFFFFE 11 = 00000002 00 +17 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +17 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 +17 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +17 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 +17 0D0 80000000 FFFFFFFE 00 = 80000000 00 +17 0D1 80000000 FFFFFFFE 01 = 80000000 00 +17 0D2 80000000 FFFFFFFE 10 = 80000000 00 +17 0D3 80000000 FFFFFFFE 11 = 80000000 00 +17 0D4 80000001 FFFFFFFE 00 = 80000001 00 +17 0D5 80000001 FFFFFFFE 01 = 80000001 00 +17 0D6 80000001 FFFFFFFE 10 = 80000001 00 +17 0D7 80000001 FFFFFFFE 11 = 80000001 00 +17 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 11 +17 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 11 +17 0DA FFFFFFFE FFFFFFFE 10 = 00000000 11 +17 0DB FFFFFFFE FFFFFFFE 11 = 00000000 11 +17 0DC FFFFFFFF FFFFFFFE 00 = 00000001 10 +17 0DD FFFFFFFF FFFFFFFE 01 = 00000001 10 +17 0DE FFFFFFFF FFFFFFFE 10 = 00000001 10 +17 0DF FFFFFFFF FFFFFFFE 11 = 00000001 10 +17 0E0 00000000 FFFFFFFF 00 = 00000000 01 +17 0E1 00000000 FFFFFFFF 01 = 00000000 01 +17 0E2 00000000 FFFFFFFF 10 = 00000000 01 +17 0E3 00000000 FFFFFFFF 11 = 00000000 01 +17 0E4 00000001 FFFFFFFF 00 = 00000001 00 +17 0E5 00000001 FFFFFFFF 01 = 00000001 00 +17 0E6 00000001 FFFFFFFF 10 = 00000001 00 +17 0E7 00000001 FFFFFFFF 11 = 00000001 00 +17 0E8 00000002 FFFFFFFF 00 = 00000002 00 +17 0E9 00000002 FFFFFFFF 01 = 00000002 00 +17 0EA 00000002 FFFFFFFF 10 = 00000002 00 +17 0EB 00000002 FFFFFFFF 11 = 00000002 00 +17 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +17 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +17 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +17 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +17 0F0 80000000 FFFFFFFF 00 = 80000000 00 +17 0F1 80000000 FFFFFFFF 01 = 80000000 00 +17 0F2 80000000 FFFFFFFF 10 = 80000000 00 +17 0F3 80000000 FFFFFFFF 11 = 80000000 00 +17 0F4 80000001 FFFFFFFF 00 = 80000001 00 +17 0F5 80000001 FFFFFFFF 01 = 80000001 00 +17 0F6 80000001 FFFFFFFF 10 = 80000001 00 +17 0F7 80000001 FFFFFFFF 11 = 80000001 00 +17 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +17 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 +17 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 +17 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 +17 0FC FFFFFFFF FFFFFFFF 00 = 00000000 11 +17 0FD FFFFFFFF FFFFFFFF 01 = 00000000 11 +17 0FE FFFFFFFF FFFFFFFF 10 = 00000000 11 +17 0FF FFFFFFFF FFFFFFFF 11 = 00000000 11 +fge ---D---- ---S---- CZ = ---Q---- CZ +18 000 00000000 00000000 00 = 00000000 01 +18 001 00000000 00000000 01 = 00000000 01 +18 002 00000000 00000000 10 = 00000000 01 +18 003 00000000 00000000 11 = 00000000 01 +18 004 00000001 00000000 00 = 00000001 00 +18 005 00000001 00000000 01 = 00000001 00 +18 006 00000001 00000000 10 = 00000001 00 +18 007 00000001 00000000 11 = 00000001 00 +18 008 00000002 00000000 00 = 00000002 00 +18 009 00000002 00000000 01 = 00000002 00 +18 00A 00000002 00000000 10 = 00000002 00 +18 00B 00000002 00000000 11 = 00000002 00 +18 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +18 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +18 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +18 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +18 010 80000000 00000000 00 = 80000000 00 +18 011 80000000 00000000 01 = 80000000 00 +18 012 80000000 00000000 10 = 80000000 00 +18 013 80000000 00000000 11 = 80000000 00 +18 014 80000001 00000000 00 = 80000001 00 +18 015 80000001 00000000 01 = 80000001 00 +18 016 80000001 00000000 10 = 80000001 00 +18 017 80000001 00000000 11 = 80000001 00 +18 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +18 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +18 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +18 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +18 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +18 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +18 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +18 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +18 020 00000000 00000001 00 = 00000001 10 +18 021 00000000 00000001 01 = 00000001 10 +18 022 00000000 00000001 10 = 00000001 10 +18 023 00000000 00000001 11 = 00000001 10 +18 024 00000001 00000001 00 = 00000001 00 +18 025 00000001 00000001 01 = 00000001 00 +18 026 00000001 00000001 10 = 00000001 00 +18 027 00000001 00000001 11 = 00000001 00 +18 028 00000002 00000001 00 = 00000002 00 +18 029 00000002 00000001 01 = 00000002 00 +18 02A 00000002 00000001 10 = 00000002 00 +18 02B 00000002 00000001 11 = 00000002 00 +18 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +18 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 +18 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +18 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 +18 030 80000000 00000001 00 = 80000000 00 +18 031 80000000 00000001 01 = 80000000 00 +18 032 80000000 00000001 10 = 80000000 00 +18 033 80000000 00000001 11 = 80000000 00 +18 034 80000001 00000001 00 = 80000001 00 +18 035 80000001 00000001 01 = 80000001 00 +18 036 80000001 00000001 10 = 80000001 00 +18 037 80000001 00000001 11 = 80000001 00 +18 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +18 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 +18 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 +18 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 +18 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +18 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 +18 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +18 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 +18 040 00000000 00000002 00 = 00000002 10 +18 041 00000000 00000002 01 = 00000002 10 +18 042 00000000 00000002 10 = 00000002 10 +18 043 00000000 00000002 11 = 00000002 10 +18 044 00000001 00000002 00 = 00000002 10 +18 045 00000001 00000002 01 = 00000002 10 +18 046 00000001 00000002 10 = 00000002 10 +18 047 00000001 00000002 11 = 00000002 10 +18 048 00000002 00000002 00 = 00000002 00 +18 049 00000002 00000002 01 = 00000002 00 +18 04A 00000002 00000002 10 = 00000002 00 +18 04B 00000002 00000002 11 = 00000002 00 +18 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +18 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 +18 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +18 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 +18 050 80000000 00000002 00 = 80000000 00 +18 051 80000000 00000002 01 = 80000000 00 +18 052 80000000 00000002 10 = 80000000 00 +18 053 80000000 00000002 11 = 80000000 00 +18 054 80000001 00000002 00 = 80000001 00 +18 055 80000001 00000002 01 = 80000001 00 +18 056 80000001 00000002 10 = 80000001 00 +18 057 80000001 00000002 11 = 80000001 00 +18 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +18 059 FFFFFFFE 00000002 01 = FFFFFFFE 00 +18 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 +18 05B FFFFFFFE 00000002 11 = FFFFFFFE 00 +18 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +18 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 +18 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +18 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 +18 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 +18 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 +18 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 +18 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 +18 064 00000001 7FFFFFFF 00 = 7FFFFFFF 10 +18 065 00000001 7FFFFFFF 01 = 7FFFFFFF 10 +18 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 +18 067 00000001 7FFFFFFF 11 = 7FFFFFFF 10 +18 068 00000002 7FFFFFFF 00 = 7FFFFFFF 10 +18 069 00000002 7FFFFFFF 01 = 7FFFFFFF 10 +18 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 +18 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 +18 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +18 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +18 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +18 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +18 070 80000000 7FFFFFFF 00 = 80000000 00 +18 071 80000000 7FFFFFFF 01 = 80000000 00 +18 072 80000000 7FFFFFFF 10 = 80000000 00 +18 073 80000000 7FFFFFFF 11 = 80000000 00 +18 074 80000001 7FFFFFFF 00 = 80000001 00 +18 075 80000001 7FFFFFFF 01 = 80000001 00 +18 076 80000001 7FFFFFFF 10 = 80000001 00 +18 077 80000001 7FFFFFFF 11 = 80000001 00 +18 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +18 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 +18 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 +18 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 +18 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +18 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +18 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +18 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +18 080 00000000 80000000 00 = 80000000 10 +18 081 00000000 80000000 01 = 80000000 10 +18 082 00000000 80000000 10 = 80000000 10 +18 083 00000000 80000000 11 = 80000000 10 +18 084 00000001 80000000 00 = 80000000 10 +18 085 00000001 80000000 01 = 80000000 10 +18 086 00000001 80000000 10 = 80000000 10 +18 087 00000001 80000000 11 = 80000000 10 +18 088 00000002 80000000 00 = 80000000 10 +18 089 00000002 80000000 01 = 80000000 10 +18 08A 00000002 80000000 10 = 80000000 10 +18 08B 00000002 80000000 11 = 80000000 10 +18 08C 7FFFFFFF 80000000 00 = 80000000 10 +18 08D 7FFFFFFF 80000000 01 = 80000000 10 +18 08E 7FFFFFFF 80000000 10 = 80000000 10 +18 08F 7FFFFFFF 80000000 11 = 80000000 10 +18 090 80000000 80000000 00 = 80000000 00 +18 091 80000000 80000000 01 = 80000000 00 +18 092 80000000 80000000 10 = 80000000 00 +18 093 80000000 80000000 11 = 80000000 00 +18 094 80000001 80000000 00 = 80000001 00 +18 095 80000001 80000000 01 = 80000001 00 +18 096 80000001 80000000 10 = 80000001 00 +18 097 80000001 80000000 11 = 80000001 00 +18 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +18 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +18 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +18 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +18 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +18 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +18 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +18 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +18 0A0 00000000 80000001 00 = 80000001 10 +18 0A1 00000000 80000001 01 = 80000001 10 +18 0A2 00000000 80000001 10 = 80000001 10 +18 0A3 00000000 80000001 11 = 80000001 10 +18 0A4 00000001 80000001 00 = 80000001 10 +18 0A5 00000001 80000001 01 = 80000001 10 +18 0A6 00000001 80000001 10 = 80000001 10 +18 0A7 00000001 80000001 11 = 80000001 10 +18 0A8 00000002 80000001 00 = 80000001 10 +18 0A9 00000002 80000001 01 = 80000001 10 +18 0AA 00000002 80000001 10 = 80000001 10 +18 0AB 00000002 80000001 11 = 80000001 10 +18 0AC 7FFFFFFF 80000001 00 = 80000001 10 +18 0AD 7FFFFFFF 80000001 01 = 80000001 10 +18 0AE 7FFFFFFF 80000001 10 = 80000001 10 +18 0AF 7FFFFFFF 80000001 11 = 80000001 10 +18 0B0 80000000 80000001 00 = 80000001 10 +18 0B1 80000000 80000001 01 = 80000001 10 +18 0B2 80000000 80000001 10 = 80000001 10 +18 0B3 80000000 80000001 11 = 80000001 10 +18 0B4 80000001 80000001 00 = 80000001 00 +18 0B5 80000001 80000001 01 = 80000001 00 +18 0B6 80000001 80000001 10 = 80000001 00 +18 0B7 80000001 80000001 11 = 80000001 00 +18 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +18 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +18 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +18 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 +18 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +18 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +18 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +18 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +18 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +18 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +18 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +18 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +18 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 10 +18 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 10 +18 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 +18 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 +18 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 +18 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 +18 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 +18 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 +18 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +18 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +18 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +18 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +18 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 +18 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 +18 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +18 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 +18 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 10 +18 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 10 +18 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 +18 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 +18 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +18 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 00 +18 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 +18 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 00 +18 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +18 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +18 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +18 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +18 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 +18 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 +18 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +18 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 +18 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 10 +18 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 10 +18 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +18 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 +18 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 10 +18 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 10 +18 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 +18 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 10 +18 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +18 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +18 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +18 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +18 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 10 +18 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 10 +18 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 +18 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 10 +18 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 10 +18 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 10 +18 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +18 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 +18 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +18 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +18 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +18 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 +18 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +18 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +18 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +18 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +fle ---D---- ---S---- CZ = ---Q---- CZ +19 000 00000000 00000000 00 = 00000000 01 +19 001 00000000 00000000 01 = 00000000 01 +19 002 00000000 00000000 10 = 00000000 01 +19 003 00000000 00000000 11 = 00000000 01 +19 004 00000001 00000000 00 = 00000000 11 +19 005 00000001 00000000 01 = 00000000 11 +19 006 00000001 00000000 10 = 00000000 11 +19 007 00000001 00000000 11 = 00000000 11 +19 008 00000002 00000000 00 = 00000000 11 +19 009 00000002 00000000 01 = 00000000 11 +19 00A 00000002 00000000 10 = 00000000 11 +19 00B 00000002 00000000 11 = 00000000 11 +19 00C 7FFFFFFF 00000000 00 = 00000000 11 +19 00D 7FFFFFFF 00000000 01 = 00000000 11 +19 00E 7FFFFFFF 00000000 10 = 00000000 11 +19 00F 7FFFFFFF 00000000 11 = 00000000 11 +19 010 80000000 00000000 00 = 00000000 11 +19 011 80000000 00000000 01 = 00000000 11 +19 012 80000000 00000000 10 = 00000000 11 +19 013 80000000 00000000 11 = 00000000 11 +19 014 80000001 00000000 00 = 00000000 11 +19 015 80000001 00000000 01 = 00000000 11 +19 016 80000001 00000000 10 = 00000000 11 +19 017 80000001 00000000 11 = 00000000 11 +19 018 FFFFFFFE 00000000 00 = 00000000 11 +19 019 FFFFFFFE 00000000 01 = 00000000 11 +19 01A FFFFFFFE 00000000 10 = 00000000 11 +19 01B FFFFFFFE 00000000 11 = 00000000 11 +19 01C FFFFFFFF 00000000 00 = 00000000 11 +19 01D FFFFFFFF 00000000 01 = 00000000 11 +19 01E FFFFFFFF 00000000 10 = 00000000 11 +19 01F FFFFFFFF 00000000 11 = 00000000 11 +19 020 00000000 00000001 00 = 00000000 01 +19 021 00000000 00000001 01 = 00000000 01 +19 022 00000000 00000001 10 = 00000000 01 +19 023 00000000 00000001 11 = 00000000 01 +19 024 00000001 00000001 00 = 00000001 00 +19 025 00000001 00000001 01 = 00000001 00 +19 026 00000001 00000001 10 = 00000001 00 +19 027 00000001 00000001 11 = 00000001 00 +19 028 00000002 00000001 00 = 00000001 10 +19 029 00000002 00000001 01 = 00000001 10 +19 02A 00000002 00000001 10 = 00000001 10 +19 02B 00000002 00000001 11 = 00000001 10 +19 02C 7FFFFFFF 00000001 00 = 00000001 10 +19 02D 7FFFFFFF 00000001 01 = 00000001 10 +19 02E 7FFFFFFF 00000001 10 = 00000001 10 +19 02F 7FFFFFFF 00000001 11 = 00000001 10 +19 030 80000000 00000001 00 = 00000001 10 +19 031 80000000 00000001 01 = 00000001 10 +19 032 80000000 00000001 10 = 00000001 10 +19 033 80000000 00000001 11 = 00000001 10 +19 034 80000001 00000001 00 = 00000001 10 +19 035 80000001 00000001 01 = 00000001 10 +19 036 80000001 00000001 10 = 00000001 10 +19 037 80000001 00000001 11 = 00000001 10 +19 038 FFFFFFFE 00000001 00 = 00000001 10 +19 039 FFFFFFFE 00000001 01 = 00000001 10 +19 03A FFFFFFFE 00000001 10 = 00000001 10 +19 03B FFFFFFFE 00000001 11 = 00000001 10 +19 03C FFFFFFFF 00000001 00 = 00000001 10 +19 03D FFFFFFFF 00000001 01 = 00000001 10 +19 03E FFFFFFFF 00000001 10 = 00000001 10 +19 03F FFFFFFFF 00000001 11 = 00000001 10 +19 040 00000000 00000002 00 = 00000000 01 +19 041 00000000 00000002 01 = 00000000 01 +19 042 00000000 00000002 10 = 00000000 01 +19 043 00000000 00000002 11 = 00000000 01 +19 044 00000001 00000002 00 = 00000001 00 +19 045 00000001 00000002 01 = 00000001 00 +19 046 00000001 00000002 10 = 00000001 00 +19 047 00000001 00000002 11 = 00000001 00 +19 048 00000002 00000002 00 = 00000002 00 +19 049 00000002 00000002 01 = 00000002 00 +19 04A 00000002 00000002 10 = 00000002 00 +19 04B 00000002 00000002 11 = 00000002 00 +19 04C 7FFFFFFF 00000002 00 = 00000002 10 +19 04D 7FFFFFFF 00000002 01 = 00000002 10 +19 04E 7FFFFFFF 00000002 10 = 00000002 10 +19 04F 7FFFFFFF 00000002 11 = 00000002 10 +19 050 80000000 00000002 00 = 00000002 10 +19 051 80000000 00000002 01 = 00000002 10 +19 052 80000000 00000002 10 = 00000002 10 +19 053 80000000 00000002 11 = 00000002 10 +19 054 80000001 00000002 00 = 00000002 10 +19 055 80000001 00000002 01 = 00000002 10 +19 056 80000001 00000002 10 = 00000002 10 +19 057 80000001 00000002 11 = 00000002 10 +19 058 FFFFFFFE 00000002 00 = 00000002 10 +19 059 FFFFFFFE 00000002 01 = 00000002 10 +19 05A FFFFFFFE 00000002 10 = 00000002 10 +19 05B FFFFFFFE 00000002 11 = 00000002 10 +19 05C FFFFFFFF 00000002 00 = 00000002 10 +19 05D FFFFFFFF 00000002 01 = 00000002 10 +19 05E FFFFFFFF 00000002 10 = 00000002 10 +19 05F FFFFFFFF 00000002 11 = 00000002 10 +19 060 00000000 7FFFFFFF 00 = 00000000 01 +19 061 00000000 7FFFFFFF 01 = 00000000 01 +19 062 00000000 7FFFFFFF 10 = 00000000 01 +19 063 00000000 7FFFFFFF 11 = 00000000 01 +19 064 00000001 7FFFFFFF 00 = 00000001 00 +19 065 00000001 7FFFFFFF 01 = 00000001 00 +19 066 00000001 7FFFFFFF 10 = 00000001 00 +19 067 00000001 7FFFFFFF 11 = 00000001 00 +19 068 00000002 7FFFFFFF 00 = 00000002 00 +19 069 00000002 7FFFFFFF 01 = 00000002 00 +19 06A 00000002 7FFFFFFF 10 = 00000002 00 +19 06B 00000002 7FFFFFFF 11 = 00000002 00 +19 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +19 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +19 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +19 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +19 070 80000000 7FFFFFFF 00 = 7FFFFFFF 10 +19 071 80000000 7FFFFFFF 01 = 7FFFFFFF 10 +19 072 80000000 7FFFFFFF 10 = 7FFFFFFF 10 +19 073 80000000 7FFFFFFF 11 = 7FFFFFFF 10 +19 074 80000001 7FFFFFFF 00 = 7FFFFFFF 10 +19 075 80000001 7FFFFFFF 01 = 7FFFFFFF 10 +19 076 80000001 7FFFFFFF 10 = 7FFFFFFF 10 +19 077 80000001 7FFFFFFF 11 = 7FFFFFFF 10 +19 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 +19 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 +19 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 +19 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 +19 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +19 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 +19 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +19 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +19 080 00000000 80000000 00 = 00000000 01 +19 081 00000000 80000000 01 = 00000000 01 +19 082 00000000 80000000 10 = 00000000 01 +19 083 00000000 80000000 11 = 00000000 01 +19 084 00000001 80000000 00 = 00000001 00 +19 085 00000001 80000000 01 = 00000001 00 +19 086 00000001 80000000 10 = 00000001 00 +19 087 00000001 80000000 11 = 00000001 00 +19 088 00000002 80000000 00 = 00000002 00 +19 089 00000002 80000000 01 = 00000002 00 +19 08A 00000002 80000000 10 = 00000002 00 +19 08B 00000002 80000000 11 = 00000002 00 +19 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +19 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +19 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +19 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +19 090 80000000 80000000 00 = 80000000 00 +19 091 80000000 80000000 01 = 80000000 00 +19 092 80000000 80000000 10 = 80000000 00 +19 093 80000000 80000000 11 = 80000000 00 +19 094 80000001 80000000 00 = 80000000 10 +19 095 80000001 80000000 01 = 80000000 10 +19 096 80000001 80000000 10 = 80000000 10 +19 097 80000001 80000000 11 = 80000000 10 +19 098 FFFFFFFE 80000000 00 = 80000000 10 +19 099 FFFFFFFE 80000000 01 = 80000000 10 +19 09A FFFFFFFE 80000000 10 = 80000000 10 +19 09B FFFFFFFE 80000000 11 = 80000000 10 +19 09C FFFFFFFF 80000000 00 = 80000000 10 +19 09D FFFFFFFF 80000000 01 = 80000000 10 +19 09E FFFFFFFF 80000000 10 = 80000000 10 +19 09F FFFFFFFF 80000000 11 = 80000000 10 +19 0A0 00000000 80000001 00 = 00000000 01 +19 0A1 00000000 80000001 01 = 00000000 01 +19 0A2 00000000 80000001 10 = 00000000 01 +19 0A3 00000000 80000001 11 = 00000000 01 +19 0A4 00000001 80000001 00 = 00000001 00 +19 0A5 00000001 80000001 01 = 00000001 00 +19 0A6 00000001 80000001 10 = 00000001 00 +19 0A7 00000001 80000001 11 = 00000001 00 +19 0A8 00000002 80000001 00 = 00000002 00 +19 0A9 00000002 80000001 01 = 00000002 00 +19 0AA 00000002 80000001 10 = 00000002 00 +19 0AB 00000002 80000001 11 = 00000002 00 +19 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +19 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +19 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +19 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +19 0B0 80000000 80000001 00 = 80000000 00 +19 0B1 80000000 80000001 01 = 80000000 00 +19 0B2 80000000 80000001 10 = 80000000 00 +19 0B3 80000000 80000001 11 = 80000000 00 +19 0B4 80000001 80000001 00 = 80000001 00 +19 0B5 80000001 80000001 01 = 80000001 00 +19 0B6 80000001 80000001 10 = 80000001 00 +19 0B7 80000001 80000001 11 = 80000001 00 +19 0B8 FFFFFFFE 80000001 00 = 80000001 10 +19 0B9 FFFFFFFE 80000001 01 = 80000001 10 +19 0BA FFFFFFFE 80000001 10 = 80000001 10 +19 0BB FFFFFFFE 80000001 11 = 80000001 10 +19 0BC FFFFFFFF 80000001 00 = 80000001 10 +19 0BD FFFFFFFF 80000001 01 = 80000001 10 +19 0BE FFFFFFFF 80000001 10 = 80000001 10 +19 0BF FFFFFFFF 80000001 11 = 80000001 10 +19 0C0 00000000 FFFFFFFE 00 = 00000000 01 +19 0C1 00000000 FFFFFFFE 01 = 00000000 01 +19 0C2 00000000 FFFFFFFE 10 = 00000000 01 +19 0C3 00000000 FFFFFFFE 11 = 00000000 01 +19 0C4 00000001 FFFFFFFE 00 = 00000001 00 +19 0C5 00000001 FFFFFFFE 01 = 00000001 00 +19 0C6 00000001 FFFFFFFE 10 = 00000001 00 +19 0C7 00000001 FFFFFFFE 11 = 00000001 00 +19 0C8 00000002 FFFFFFFE 00 = 00000002 00 +19 0C9 00000002 FFFFFFFE 01 = 00000002 00 +19 0CA 00000002 FFFFFFFE 10 = 00000002 00 +19 0CB 00000002 FFFFFFFE 11 = 00000002 00 +19 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +19 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 +19 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +19 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 +19 0D0 80000000 FFFFFFFE 00 = 80000000 00 +19 0D1 80000000 FFFFFFFE 01 = 80000000 00 +19 0D2 80000000 FFFFFFFE 10 = 80000000 00 +19 0D3 80000000 FFFFFFFE 11 = 80000000 00 +19 0D4 80000001 FFFFFFFE 00 = 80000001 00 +19 0D5 80000001 FFFFFFFE 01 = 80000001 00 +19 0D6 80000001 FFFFFFFE 10 = 80000001 00 +19 0D7 80000001 FFFFFFFE 11 = 80000001 00 +19 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +19 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 00 +19 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 +19 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 00 +19 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +19 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +19 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +19 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +19 0E0 00000000 FFFFFFFF 00 = 00000000 01 +19 0E1 00000000 FFFFFFFF 01 = 00000000 01 +19 0E2 00000000 FFFFFFFF 10 = 00000000 01 +19 0E3 00000000 FFFFFFFF 11 = 00000000 01 +19 0E4 00000001 FFFFFFFF 00 = 00000001 00 +19 0E5 00000001 FFFFFFFF 01 = 00000001 00 +19 0E6 00000001 FFFFFFFF 10 = 00000001 00 +19 0E7 00000001 FFFFFFFF 11 = 00000001 00 +19 0E8 00000002 FFFFFFFF 00 = 00000002 00 +19 0E9 00000002 FFFFFFFF 01 = 00000002 00 +19 0EA 00000002 FFFFFFFF 10 = 00000002 00 +19 0EB 00000002 FFFFFFFF 11 = 00000002 00 +19 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +19 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +19 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +19 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +19 0F0 80000000 FFFFFFFF 00 = 80000000 00 +19 0F1 80000000 FFFFFFFF 01 = 80000000 00 +19 0F2 80000000 FFFFFFFF 10 = 80000000 00 +19 0F3 80000000 FFFFFFFF 11 = 80000000 00 +19 0F4 80000001 FFFFFFFF 00 = 80000001 00 +19 0F5 80000001 FFFFFFFF 01 = 80000001 00 +19 0F6 80000001 FFFFFFFF 10 = 80000001 00 +19 0F7 80000001 FFFFFFFF 11 = 80000001 00 +19 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +19 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 +19 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 +19 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 +19 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +19 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +19 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +19 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +fges ---D---- ---S---- CZ = ---Q---- CZ +1A 000 00000000 00000000 00 = 00000000 01 +1A 001 00000000 00000000 01 = 00000000 01 +1A 002 00000000 00000000 10 = 00000000 01 +1A 003 00000000 00000000 11 = 00000000 01 +1A 004 00000001 00000000 00 = 00000001 00 +1A 005 00000001 00000000 01 = 00000001 00 +1A 006 00000001 00000000 10 = 00000001 00 +1A 007 00000001 00000000 11 = 00000001 00 +1A 008 00000002 00000000 00 = 00000002 00 +1A 009 00000002 00000000 01 = 00000002 00 +1A 00A 00000002 00000000 10 = 00000002 00 +1A 00B 00000002 00000000 11 = 00000002 00 +1A 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +1A 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +1A 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +1A 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +1A 010 80000000 00000000 00 = 00000000 11 +1A 011 80000000 00000000 01 = 00000000 11 +1A 012 80000000 00000000 10 = 00000000 11 +1A 013 80000000 00000000 11 = 00000000 11 +1A 014 80000001 00000000 00 = 00000000 11 +1A 015 80000001 00000000 01 = 00000000 11 +1A 016 80000001 00000000 10 = 00000000 11 +1A 017 80000001 00000000 11 = 00000000 11 +1A 018 FFFFFFFE 00000000 00 = 00000000 11 +1A 019 FFFFFFFE 00000000 01 = 00000000 11 +1A 01A FFFFFFFE 00000000 10 = 00000000 11 +1A 01B FFFFFFFE 00000000 11 = 00000000 11 +1A 01C FFFFFFFF 00000000 00 = 00000000 11 +1A 01D FFFFFFFF 00000000 01 = 00000000 11 +1A 01E FFFFFFFF 00000000 10 = 00000000 11 +1A 01F FFFFFFFF 00000000 11 = 00000000 11 +1A 020 00000000 00000001 00 = 00000001 10 +1A 021 00000000 00000001 01 = 00000001 10 +1A 022 00000000 00000001 10 = 00000001 10 +1A 023 00000000 00000001 11 = 00000001 10 +1A 024 00000001 00000001 00 = 00000001 00 +1A 025 00000001 00000001 01 = 00000001 00 +1A 026 00000001 00000001 10 = 00000001 00 +1A 027 00000001 00000001 11 = 00000001 00 +1A 028 00000002 00000001 00 = 00000002 00 +1A 029 00000002 00000001 01 = 00000002 00 +1A 02A 00000002 00000001 10 = 00000002 00 +1A 02B 00000002 00000001 11 = 00000002 00 +1A 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +1A 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 +1A 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +1A 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 +1A 030 80000000 00000001 00 = 00000001 10 +1A 031 80000000 00000001 01 = 00000001 10 +1A 032 80000000 00000001 10 = 00000001 10 +1A 033 80000000 00000001 11 = 00000001 10 +1A 034 80000001 00000001 00 = 00000001 10 +1A 035 80000001 00000001 01 = 00000001 10 +1A 036 80000001 00000001 10 = 00000001 10 +1A 037 80000001 00000001 11 = 00000001 10 +1A 038 FFFFFFFE 00000001 00 = 00000001 10 +1A 039 FFFFFFFE 00000001 01 = 00000001 10 +1A 03A FFFFFFFE 00000001 10 = 00000001 10 +1A 03B FFFFFFFE 00000001 11 = 00000001 10 +1A 03C FFFFFFFF 00000001 00 = 00000001 10 +1A 03D FFFFFFFF 00000001 01 = 00000001 10 +1A 03E FFFFFFFF 00000001 10 = 00000001 10 +1A 03F FFFFFFFF 00000001 11 = 00000001 10 +1A 040 00000000 00000002 00 = 00000002 10 +1A 041 00000000 00000002 01 = 00000002 10 +1A 042 00000000 00000002 10 = 00000002 10 +1A 043 00000000 00000002 11 = 00000002 10 +1A 044 00000001 00000002 00 = 00000002 10 +1A 045 00000001 00000002 01 = 00000002 10 +1A 046 00000001 00000002 10 = 00000002 10 +1A 047 00000001 00000002 11 = 00000002 10 +1A 048 00000002 00000002 00 = 00000002 00 +1A 049 00000002 00000002 01 = 00000002 00 +1A 04A 00000002 00000002 10 = 00000002 00 +1A 04B 00000002 00000002 11 = 00000002 00 +1A 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +1A 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 +1A 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +1A 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 +1A 050 80000000 00000002 00 = 00000002 10 +1A 051 80000000 00000002 01 = 00000002 10 +1A 052 80000000 00000002 10 = 00000002 10 +1A 053 80000000 00000002 11 = 00000002 10 +1A 054 80000001 00000002 00 = 00000002 10 +1A 055 80000001 00000002 01 = 00000002 10 +1A 056 80000001 00000002 10 = 00000002 10 +1A 057 80000001 00000002 11 = 00000002 10 +1A 058 FFFFFFFE 00000002 00 = 00000002 10 +1A 059 FFFFFFFE 00000002 01 = 00000002 10 +1A 05A FFFFFFFE 00000002 10 = 00000002 10 +1A 05B FFFFFFFE 00000002 11 = 00000002 10 +1A 05C FFFFFFFF 00000002 00 = 00000002 10 +1A 05D FFFFFFFF 00000002 01 = 00000002 10 +1A 05E FFFFFFFF 00000002 10 = 00000002 10 +1A 05F FFFFFFFF 00000002 11 = 00000002 10 +1A 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 +1A 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 +1A 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 +1A 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 +1A 064 00000001 7FFFFFFF 00 = 7FFFFFFF 10 +1A 065 00000001 7FFFFFFF 01 = 7FFFFFFF 10 +1A 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 +1A 067 00000001 7FFFFFFF 11 = 7FFFFFFF 10 +1A 068 00000002 7FFFFFFF 00 = 7FFFFFFF 10 +1A 069 00000002 7FFFFFFF 01 = 7FFFFFFF 10 +1A 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 +1A 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 +1A 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +1A 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +1A 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +1A 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +1A 070 80000000 7FFFFFFF 00 = 7FFFFFFF 10 +1A 071 80000000 7FFFFFFF 01 = 7FFFFFFF 10 +1A 072 80000000 7FFFFFFF 10 = 7FFFFFFF 10 +1A 073 80000000 7FFFFFFF 11 = 7FFFFFFF 10 +1A 074 80000001 7FFFFFFF 00 = 7FFFFFFF 10 +1A 075 80000001 7FFFFFFF 01 = 7FFFFFFF 10 +1A 076 80000001 7FFFFFFF 10 = 7FFFFFFF 10 +1A 077 80000001 7FFFFFFF 11 = 7FFFFFFF 10 +1A 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 +1A 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 +1A 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 +1A 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 +1A 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +1A 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 +1A 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +1A 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +1A 080 00000000 80000000 00 = 00000000 01 +1A 081 00000000 80000000 01 = 00000000 01 +1A 082 00000000 80000000 10 = 00000000 01 +1A 083 00000000 80000000 11 = 00000000 01 +1A 084 00000001 80000000 00 = 00000001 00 +1A 085 00000001 80000000 01 = 00000001 00 +1A 086 00000001 80000000 10 = 00000001 00 +1A 087 00000001 80000000 11 = 00000001 00 +1A 088 00000002 80000000 00 = 00000002 00 +1A 089 00000002 80000000 01 = 00000002 00 +1A 08A 00000002 80000000 10 = 00000002 00 +1A 08B 00000002 80000000 11 = 00000002 00 +1A 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +1A 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +1A 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +1A 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +1A 090 80000000 80000000 00 = 80000000 00 +1A 091 80000000 80000000 01 = 80000000 00 +1A 092 80000000 80000000 10 = 80000000 00 +1A 093 80000000 80000000 11 = 80000000 00 +1A 094 80000001 80000000 00 = 80000001 00 +1A 095 80000001 80000000 01 = 80000001 00 +1A 096 80000001 80000000 10 = 80000001 00 +1A 097 80000001 80000000 11 = 80000001 00 +1A 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +1A 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +1A 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +1A 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +1A 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +1A 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +1A 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +1A 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +1A 0A0 00000000 80000001 00 = 00000000 01 +1A 0A1 00000000 80000001 01 = 00000000 01 +1A 0A2 00000000 80000001 10 = 00000000 01 +1A 0A3 00000000 80000001 11 = 00000000 01 +1A 0A4 00000001 80000001 00 = 00000001 00 +1A 0A5 00000001 80000001 01 = 00000001 00 +1A 0A6 00000001 80000001 10 = 00000001 00 +1A 0A7 00000001 80000001 11 = 00000001 00 +1A 0A8 00000002 80000001 00 = 00000002 00 +1A 0A9 00000002 80000001 01 = 00000002 00 +1A 0AA 00000002 80000001 10 = 00000002 00 +1A 0AB 00000002 80000001 11 = 00000002 00 +1A 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +1A 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +1A 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +1A 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +1A 0B0 80000000 80000001 00 = 80000001 10 +1A 0B1 80000000 80000001 01 = 80000001 10 +1A 0B2 80000000 80000001 10 = 80000001 10 +1A 0B3 80000000 80000001 11 = 80000001 10 +1A 0B4 80000001 80000001 00 = 80000001 00 +1A 0B5 80000001 80000001 01 = 80000001 00 +1A 0B6 80000001 80000001 10 = 80000001 00 +1A 0B7 80000001 80000001 11 = 80000001 00 +1A 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +1A 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +1A 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +1A 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 +1A 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +1A 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +1A 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +1A 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +1A 0C0 00000000 FFFFFFFE 00 = 00000000 01 +1A 0C1 00000000 FFFFFFFE 01 = 00000000 01 +1A 0C2 00000000 FFFFFFFE 10 = 00000000 01 +1A 0C3 00000000 FFFFFFFE 11 = 00000000 01 +1A 0C4 00000001 FFFFFFFE 00 = 00000001 00 +1A 0C5 00000001 FFFFFFFE 01 = 00000001 00 +1A 0C6 00000001 FFFFFFFE 10 = 00000001 00 +1A 0C7 00000001 FFFFFFFE 11 = 00000001 00 +1A 0C8 00000002 FFFFFFFE 00 = 00000002 00 +1A 0C9 00000002 FFFFFFFE 01 = 00000002 00 +1A 0CA 00000002 FFFFFFFE 10 = 00000002 00 +1A 0CB 00000002 FFFFFFFE 11 = 00000002 00 +1A 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +1A 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 +1A 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +1A 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 +1A 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 +1A 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 +1A 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +1A 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 +1A 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 10 +1A 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 10 +1A 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 +1A 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 +1A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +1A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 00 +1A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 +1A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 00 +1A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +1A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +1A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +1A 0E0 00000000 FFFFFFFF 00 = 00000000 01 +1A 0E1 00000000 FFFFFFFF 01 = 00000000 01 +1A 0E2 00000000 FFFFFFFF 10 = 00000000 01 +1A 0E3 00000000 FFFFFFFF 11 = 00000000 01 +1A 0E4 00000001 FFFFFFFF 00 = 00000001 00 +1A 0E5 00000001 FFFFFFFF 01 = 00000001 00 +1A 0E6 00000001 FFFFFFFF 10 = 00000001 00 +1A 0E7 00000001 FFFFFFFF 11 = 00000001 00 +1A 0E8 00000002 FFFFFFFF 00 = 00000002 00 +1A 0E9 00000002 FFFFFFFF 01 = 00000002 00 +1A 0EA 00000002 FFFFFFFF 10 = 00000002 00 +1A 0EB 00000002 FFFFFFFF 11 = 00000002 00 +1A 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +1A 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +1A 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +1A 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +1A 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 10 +1A 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 10 +1A 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 +1A 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 10 +1A 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 10 +1A 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 10 +1A 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +1A 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 +1A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +1A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +1A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +1A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 +1A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +1A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +1A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +fles ---D---- ---S---- CZ = ---Q---- CZ +1B 000 00000000 00000000 00 = 00000000 01 +1B 001 00000000 00000000 01 = 00000000 01 +1B 002 00000000 00000000 10 = 00000000 01 +1B 003 00000000 00000000 11 = 00000000 01 +1B 004 00000001 00000000 00 = 00000000 11 +1B 005 00000001 00000000 01 = 00000000 11 +1B 006 00000001 00000000 10 = 00000000 11 +1B 007 00000001 00000000 11 = 00000000 11 +1B 008 00000002 00000000 00 = 00000000 11 +1B 009 00000002 00000000 01 = 00000000 11 +1B 00A 00000002 00000000 10 = 00000000 11 +1B 00B 00000002 00000000 11 = 00000000 11 +1B 00C 7FFFFFFF 00000000 00 = 00000000 11 +1B 00D 7FFFFFFF 00000000 01 = 00000000 11 +1B 00E 7FFFFFFF 00000000 10 = 00000000 11 +1B 00F 7FFFFFFF 00000000 11 = 00000000 11 +1B 010 80000000 00000000 00 = 80000000 00 +1B 011 80000000 00000000 01 = 80000000 00 +1B 012 80000000 00000000 10 = 80000000 00 +1B 013 80000000 00000000 11 = 80000000 00 +1B 014 80000001 00000000 00 = 80000001 00 +1B 015 80000001 00000000 01 = 80000001 00 +1B 016 80000001 00000000 10 = 80000001 00 +1B 017 80000001 00000000 11 = 80000001 00 +1B 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +1B 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +1B 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +1B 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +1B 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +1B 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +1B 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +1B 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +1B 020 00000000 00000001 00 = 00000000 01 +1B 021 00000000 00000001 01 = 00000000 01 +1B 022 00000000 00000001 10 = 00000000 01 +1B 023 00000000 00000001 11 = 00000000 01 +1B 024 00000001 00000001 00 = 00000001 00 +1B 025 00000001 00000001 01 = 00000001 00 +1B 026 00000001 00000001 10 = 00000001 00 +1B 027 00000001 00000001 11 = 00000001 00 +1B 028 00000002 00000001 00 = 00000001 10 +1B 029 00000002 00000001 01 = 00000001 10 +1B 02A 00000002 00000001 10 = 00000001 10 +1B 02B 00000002 00000001 11 = 00000001 10 +1B 02C 7FFFFFFF 00000001 00 = 00000001 10 +1B 02D 7FFFFFFF 00000001 01 = 00000001 10 +1B 02E 7FFFFFFF 00000001 10 = 00000001 10 +1B 02F 7FFFFFFF 00000001 11 = 00000001 10 +1B 030 80000000 00000001 00 = 80000000 00 +1B 031 80000000 00000001 01 = 80000000 00 +1B 032 80000000 00000001 10 = 80000000 00 +1B 033 80000000 00000001 11 = 80000000 00 +1B 034 80000001 00000001 00 = 80000001 00 +1B 035 80000001 00000001 01 = 80000001 00 +1B 036 80000001 00000001 10 = 80000001 00 +1B 037 80000001 00000001 11 = 80000001 00 +1B 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +1B 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 +1B 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 +1B 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 +1B 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +1B 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 +1B 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +1B 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 +1B 040 00000000 00000002 00 = 00000000 01 +1B 041 00000000 00000002 01 = 00000000 01 +1B 042 00000000 00000002 10 = 00000000 01 +1B 043 00000000 00000002 11 = 00000000 01 +1B 044 00000001 00000002 00 = 00000001 00 +1B 045 00000001 00000002 01 = 00000001 00 +1B 046 00000001 00000002 10 = 00000001 00 +1B 047 00000001 00000002 11 = 00000001 00 +1B 048 00000002 00000002 00 = 00000002 00 +1B 049 00000002 00000002 01 = 00000002 00 +1B 04A 00000002 00000002 10 = 00000002 00 +1B 04B 00000002 00000002 11 = 00000002 00 +1B 04C 7FFFFFFF 00000002 00 = 00000002 10 +1B 04D 7FFFFFFF 00000002 01 = 00000002 10 +1B 04E 7FFFFFFF 00000002 10 = 00000002 10 +1B 04F 7FFFFFFF 00000002 11 = 00000002 10 +1B 050 80000000 00000002 00 = 80000000 00 +1B 051 80000000 00000002 01 = 80000000 00 +1B 052 80000000 00000002 10 = 80000000 00 +1B 053 80000000 00000002 11 = 80000000 00 +1B 054 80000001 00000002 00 = 80000001 00 +1B 055 80000001 00000002 01 = 80000001 00 +1B 056 80000001 00000002 10 = 80000001 00 +1B 057 80000001 00000002 11 = 80000001 00 +1B 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +1B 059 FFFFFFFE 00000002 01 = FFFFFFFE 00 +1B 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 +1B 05B FFFFFFFE 00000002 11 = FFFFFFFE 00 +1B 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +1B 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 +1B 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +1B 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 +1B 060 00000000 7FFFFFFF 00 = 00000000 01 +1B 061 00000000 7FFFFFFF 01 = 00000000 01 +1B 062 00000000 7FFFFFFF 10 = 00000000 01 +1B 063 00000000 7FFFFFFF 11 = 00000000 01 +1B 064 00000001 7FFFFFFF 00 = 00000001 00 +1B 065 00000001 7FFFFFFF 01 = 00000001 00 +1B 066 00000001 7FFFFFFF 10 = 00000001 00 +1B 067 00000001 7FFFFFFF 11 = 00000001 00 +1B 068 00000002 7FFFFFFF 00 = 00000002 00 +1B 069 00000002 7FFFFFFF 01 = 00000002 00 +1B 06A 00000002 7FFFFFFF 10 = 00000002 00 +1B 06B 00000002 7FFFFFFF 11 = 00000002 00 +1B 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +1B 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +1B 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +1B 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +1B 070 80000000 7FFFFFFF 00 = 80000000 00 +1B 071 80000000 7FFFFFFF 01 = 80000000 00 +1B 072 80000000 7FFFFFFF 10 = 80000000 00 +1B 073 80000000 7FFFFFFF 11 = 80000000 00 +1B 074 80000001 7FFFFFFF 00 = 80000001 00 +1B 075 80000001 7FFFFFFF 01 = 80000001 00 +1B 076 80000001 7FFFFFFF 10 = 80000001 00 +1B 077 80000001 7FFFFFFF 11 = 80000001 00 +1B 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +1B 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 +1B 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 +1B 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 +1B 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1B 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +1B 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +1B 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +1B 080 00000000 80000000 00 = 80000000 10 +1B 081 00000000 80000000 01 = 80000000 10 +1B 082 00000000 80000000 10 = 80000000 10 +1B 083 00000000 80000000 11 = 80000000 10 +1B 084 00000001 80000000 00 = 80000000 10 +1B 085 00000001 80000000 01 = 80000000 10 +1B 086 00000001 80000000 10 = 80000000 10 +1B 087 00000001 80000000 11 = 80000000 10 +1B 088 00000002 80000000 00 = 80000000 10 +1B 089 00000002 80000000 01 = 80000000 10 +1B 08A 00000002 80000000 10 = 80000000 10 +1B 08B 00000002 80000000 11 = 80000000 10 +1B 08C 7FFFFFFF 80000000 00 = 80000000 10 +1B 08D 7FFFFFFF 80000000 01 = 80000000 10 +1B 08E 7FFFFFFF 80000000 10 = 80000000 10 +1B 08F 7FFFFFFF 80000000 11 = 80000000 10 +1B 090 80000000 80000000 00 = 80000000 00 +1B 091 80000000 80000000 01 = 80000000 00 +1B 092 80000000 80000000 10 = 80000000 00 +1B 093 80000000 80000000 11 = 80000000 00 +1B 094 80000001 80000000 00 = 80000000 10 +1B 095 80000001 80000000 01 = 80000000 10 +1B 096 80000001 80000000 10 = 80000000 10 +1B 097 80000001 80000000 11 = 80000000 10 +1B 098 FFFFFFFE 80000000 00 = 80000000 10 +1B 099 FFFFFFFE 80000000 01 = 80000000 10 +1B 09A FFFFFFFE 80000000 10 = 80000000 10 +1B 09B FFFFFFFE 80000000 11 = 80000000 10 +1B 09C FFFFFFFF 80000000 00 = 80000000 10 +1B 09D FFFFFFFF 80000000 01 = 80000000 10 +1B 09E FFFFFFFF 80000000 10 = 80000000 10 +1B 09F FFFFFFFF 80000000 11 = 80000000 10 +1B 0A0 00000000 80000001 00 = 80000001 10 +1B 0A1 00000000 80000001 01 = 80000001 10 +1B 0A2 00000000 80000001 10 = 80000001 10 +1B 0A3 00000000 80000001 11 = 80000001 10 +1B 0A4 00000001 80000001 00 = 80000001 10 +1B 0A5 00000001 80000001 01 = 80000001 10 +1B 0A6 00000001 80000001 10 = 80000001 10 +1B 0A7 00000001 80000001 11 = 80000001 10 +1B 0A8 00000002 80000001 00 = 80000001 10 +1B 0A9 00000002 80000001 01 = 80000001 10 +1B 0AA 00000002 80000001 10 = 80000001 10 +1B 0AB 00000002 80000001 11 = 80000001 10 +1B 0AC 7FFFFFFF 80000001 00 = 80000001 10 +1B 0AD 7FFFFFFF 80000001 01 = 80000001 10 +1B 0AE 7FFFFFFF 80000001 10 = 80000001 10 +1B 0AF 7FFFFFFF 80000001 11 = 80000001 10 +1B 0B0 80000000 80000001 00 = 80000000 00 +1B 0B1 80000000 80000001 01 = 80000000 00 +1B 0B2 80000000 80000001 10 = 80000000 00 +1B 0B3 80000000 80000001 11 = 80000000 00 +1B 0B4 80000001 80000001 00 = 80000001 00 +1B 0B5 80000001 80000001 01 = 80000001 00 +1B 0B6 80000001 80000001 10 = 80000001 00 +1B 0B7 80000001 80000001 11 = 80000001 00 +1B 0B8 FFFFFFFE 80000001 00 = 80000001 10 +1B 0B9 FFFFFFFE 80000001 01 = 80000001 10 +1B 0BA FFFFFFFE 80000001 10 = 80000001 10 +1B 0BB FFFFFFFE 80000001 11 = 80000001 10 +1B 0BC FFFFFFFF 80000001 00 = 80000001 10 +1B 0BD FFFFFFFF 80000001 01 = 80000001 10 +1B 0BE FFFFFFFF 80000001 10 = 80000001 10 +1B 0BF FFFFFFFF 80000001 11 = 80000001 10 +1B 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +1B 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +1B 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +1B 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +1B 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 10 +1B 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 10 +1B 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 +1B 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 +1B 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 +1B 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 +1B 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 +1B 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 +1B 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +1B 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +1B 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +1B 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +1B 0D0 80000000 FFFFFFFE 00 = 80000000 00 +1B 0D1 80000000 FFFFFFFE 01 = 80000000 00 +1B 0D2 80000000 FFFFFFFE 10 = 80000000 00 +1B 0D3 80000000 FFFFFFFE 11 = 80000000 00 +1B 0D4 80000001 FFFFFFFE 00 = 80000001 00 +1B 0D5 80000001 FFFFFFFE 01 = 80000001 00 +1B 0D6 80000001 FFFFFFFE 10 = 80000001 00 +1B 0D7 80000001 FFFFFFFE 11 = 80000001 00 +1B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +1B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 00 +1B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 +1B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 00 +1B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +1B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +1B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +1B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +1B 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 +1B 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 +1B 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +1B 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 +1B 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 10 +1B 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 10 +1B 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +1B 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 +1B 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 10 +1B 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 10 +1B 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 +1B 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 10 +1B 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +1B 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +1B 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1B 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +1B 0F0 80000000 FFFFFFFF 00 = 80000000 00 +1B 0F1 80000000 FFFFFFFF 01 = 80000000 00 +1B 0F2 80000000 FFFFFFFF 10 = 80000000 00 +1B 0F3 80000000 FFFFFFFF 11 = 80000000 00 +1B 0F4 80000001 FFFFFFFF 00 = 80000001 00 +1B 0F5 80000001 FFFFFFFF 01 = 80000001 00 +1B 0F6 80000001 FFFFFFFF 10 = 80000001 00 +1B 0F7 80000001 FFFFFFFF 11 = 80000001 00 +1B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +1B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 +1B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 +1B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 +1B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +1B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +1B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +sumc ---D---- ---S---- CZ = ---Q---- CZ +1C 000 00000000 00000000 00 = 00000000 01 +1C 001 00000000 00000000 01 = 00000000 01 +1C 002 00000000 00000000 10 = 00000000 01 +1C 003 00000000 00000000 11 = 00000000 01 +1C 004 00000001 00000000 00 = 00000001 00 +1C 005 00000001 00000000 01 = 00000001 00 +1C 006 00000001 00000000 10 = 00000001 00 +1C 007 00000001 00000000 11 = 00000001 00 +1C 008 00000002 00000000 00 = 00000002 00 +1C 009 00000002 00000000 01 = 00000002 00 +1C 00A 00000002 00000000 10 = 00000002 00 +1C 00B 00000002 00000000 11 = 00000002 00 +1C 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +1C 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +1C 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +1C 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +1C 010 80000000 00000000 00 = 80000000 00 +1C 011 80000000 00000000 01 = 80000000 00 +1C 012 80000000 00000000 10 = 80000000 00 +1C 013 80000000 00000000 11 = 80000000 00 +1C 014 80000001 00000000 00 = 80000001 00 +1C 015 80000001 00000000 01 = 80000001 00 +1C 016 80000001 00000000 10 = 80000001 00 +1C 017 80000001 00000000 11 = 80000001 00 +1C 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +1C 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +1C 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +1C 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +1C 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +1C 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +1C 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +1C 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +1C 020 00000000 00000001 00 = 00000001 00 +1C 021 00000000 00000001 01 = 00000001 00 +1C 022 00000000 00000001 10 = FFFFFFFF 00 +1C 023 00000000 00000001 11 = FFFFFFFF 00 +1C 024 00000001 00000001 00 = 00000002 00 +1C 025 00000001 00000001 01 = 00000002 00 +1C 026 00000001 00000001 10 = 00000000 01 +1C 027 00000001 00000001 11 = 00000000 01 +1C 028 00000002 00000001 00 = 00000003 00 +1C 029 00000002 00000001 01 = 00000003 00 +1C 02A 00000002 00000001 10 = 00000001 00 +1C 02B 00000002 00000001 11 = 00000001 00 +1C 02C 7FFFFFFF 00000001 00 = 80000000 10 +1C 02D 7FFFFFFF 00000001 01 = 80000000 10 +1C 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 +1C 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 +1C 030 80000000 00000001 00 = 80000001 00 +1C 031 80000000 00000001 01 = 80000001 00 +1C 032 80000000 00000001 10 = 7FFFFFFF 10 +1C 033 80000000 00000001 11 = 7FFFFFFF 10 +1C 034 80000001 00000001 00 = 80000002 00 +1C 035 80000001 00000001 01 = 80000002 00 +1C 036 80000001 00000001 10 = 80000000 00 +1C 037 80000001 00000001 11 = 80000000 00 +1C 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +1C 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +1C 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 +1C 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 +1C 03C FFFFFFFF 00000001 00 = 00000000 01 +1C 03D FFFFFFFF 00000001 01 = 00000000 01 +1C 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 +1C 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 +1C 040 00000000 00000002 00 = 00000002 00 +1C 041 00000000 00000002 01 = 00000002 00 +1C 042 00000000 00000002 10 = FFFFFFFE 00 +1C 043 00000000 00000002 11 = FFFFFFFE 00 +1C 044 00000001 00000002 00 = 00000003 00 +1C 045 00000001 00000002 01 = 00000003 00 +1C 046 00000001 00000002 10 = FFFFFFFF 00 +1C 047 00000001 00000002 11 = FFFFFFFF 00 +1C 048 00000002 00000002 00 = 00000004 00 +1C 049 00000002 00000002 01 = 00000004 00 +1C 04A 00000002 00000002 10 = 00000000 01 +1C 04B 00000002 00000002 11 = 00000000 01 +1C 04C 7FFFFFFF 00000002 00 = 80000001 10 +1C 04D 7FFFFFFF 00000002 01 = 80000001 10 +1C 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 +1C 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 +1C 050 80000000 00000002 00 = 80000002 00 +1C 051 80000000 00000002 01 = 80000002 00 +1C 052 80000000 00000002 10 = 7FFFFFFE 10 +1C 053 80000000 00000002 11 = 7FFFFFFE 10 +1C 054 80000001 00000002 00 = 80000003 00 +1C 055 80000001 00000002 01 = 80000003 00 +1C 056 80000001 00000002 10 = 7FFFFFFF 10 +1C 057 80000001 00000002 11 = 7FFFFFFF 10 +1C 058 FFFFFFFE 00000002 00 = 00000000 01 +1C 059 FFFFFFFE 00000002 01 = 00000000 01 +1C 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 +1C 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 +1C 05C FFFFFFFF 00000002 00 = 00000001 00 +1C 05D FFFFFFFF 00000002 01 = 00000001 00 +1C 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 +1C 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 +1C 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +1C 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +1C 062 00000000 7FFFFFFF 10 = 80000001 00 +1C 063 00000000 7FFFFFFF 11 = 80000001 00 +1C 064 00000001 7FFFFFFF 00 = 80000000 10 +1C 065 00000001 7FFFFFFF 01 = 80000000 10 +1C 066 00000001 7FFFFFFF 10 = 80000002 00 +1C 067 00000001 7FFFFFFF 11 = 80000002 00 +1C 068 00000002 7FFFFFFF 00 = 80000001 10 +1C 069 00000002 7FFFFFFF 01 = 80000001 10 +1C 06A 00000002 7FFFFFFF 10 = 80000003 00 +1C 06B 00000002 7FFFFFFF 11 = 80000003 00 +1C 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 +1C 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 +1C 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 +1C 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 +1C 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +1C 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +1C 072 80000000 7FFFFFFF 10 = 00000001 10 +1C 073 80000000 7FFFFFFF 11 = 00000001 10 +1C 074 80000001 7FFFFFFF 00 = 00000000 01 +1C 075 80000001 7FFFFFFF 01 = 00000000 01 +1C 076 80000001 7FFFFFFF 10 = 00000002 10 +1C 077 80000001 7FFFFFFF 11 = 00000002 10 +1C 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 00 +1C 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 00 +1C 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 +1C 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 +1C 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 +1C 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 +1C 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 +1C 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 +1C 080 00000000 80000000 00 = 80000000 00 +1C 081 00000000 80000000 01 = 80000000 00 +1C 082 00000000 80000000 10 = 80000000 10 +1C 083 00000000 80000000 11 = 80000000 10 +1C 084 00000001 80000000 00 = 80000001 00 +1C 085 00000001 80000000 01 = 80000001 00 +1C 086 00000001 80000000 10 = 80000001 10 +1C 087 00000001 80000000 11 = 80000001 10 +1C 088 00000002 80000000 00 = 80000002 00 +1C 089 00000002 80000000 01 = 80000002 00 +1C 08A 00000002 80000000 10 = 80000002 10 +1C 08B 00000002 80000000 11 = 80000002 10 +1C 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +1C 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +1C 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +1C 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 +1C 090 80000000 80000000 00 = 00000000 11 +1C 091 80000000 80000000 01 = 00000000 11 +1C 092 80000000 80000000 10 = 00000000 01 +1C 093 80000000 80000000 11 = 00000000 01 +1C 094 80000001 80000000 00 = 00000001 10 +1C 095 80000001 80000000 01 = 00000001 10 +1C 096 80000001 80000000 10 = 00000001 00 +1C 097 80000001 80000000 11 = 00000001 00 +1C 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 +1C 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 +1C 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 +1C 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 +1C 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +1C 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +1C 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 +1C 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 +1C 0A0 00000000 80000001 00 = 80000001 00 +1C 0A1 00000000 80000001 01 = 80000001 00 +1C 0A2 00000000 80000001 10 = 7FFFFFFF 00 +1C 0A3 00000000 80000001 11 = 7FFFFFFF 00 +1C 0A4 00000001 80000001 00 = 80000002 00 +1C 0A5 00000001 80000001 01 = 80000002 00 +1C 0A6 00000001 80000001 10 = 80000000 10 +1C 0A7 00000001 80000001 11 = 80000000 10 +1C 0A8 00000002 80000001 00 = 80000003 00 +1C 0A9 00000002 80000001 01 = 80000003 00 +1C 0AA 00000002 80000001 10 = 80000001 10 +1C 0AB 00000002 80000001 11 = 80000001 10 +1C 0AC 7FFFFFFF 80000001 00 = 00000000 01 +1C 0AD 7FFFFFFF 80000001 01 = 00000000 01 +1C 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 +1C 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 +1C 0B0 80000000 80000001 00 = 00000001 10 +1C 0B1 80000000 80000001 01 = 00000001 10 +1C 0B2 80000000 80000001 10 = FFFFFFFF 00 +1C 0B3 80000000 80000001 11 = FFFFFFFF 00 +1C 0B4 80000001 80000001 00 = 00000002 10 +1C 0B5 80000001 80000001 01 = 00000002 10 +1C 0B6 80000001 80000001 10 = 00000000 01 +1C 0B7 80000001 80000001 11 = 00000000 01 +1C 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 +1C 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 +1C 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 00 +1C 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 00 +1C 0BC FFFFFFFF 80000001 00 = 80000000 00 +1C 0BD FFFFFFFF 80000001 01 = 80000000 00 +1C 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 +1C 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 +1C 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +1C 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 +1C 0C2 00000000 FFFFFFFE 10 = 00000002 00 +1C 0C3 00000000 FFFFFFFE 11 = 00000002 00 +1C 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +1C 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +1C 0C6 00000001 FFFFFFFE 10 = 00000003 00 +1C 0C7 00000001 FFFFFFFE 11 = 00000003 00 +1C 0C8 00000002 FFFFFFFE 00 = 00000000 01 +1C 0C9 00000002 FFFFFFFE 01 = 00000000 01 +1C 0CA 00000002 FFFFFFFE 10 = 00000004 00 +1C 0CB 00000002 FFFFFFFE 11 = 00000004 00 +1C 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 00 +1C 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 00 +1C 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 +1C 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 +1C 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 +1C 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 +1C 0D2 80000000 FFFFFFFE 10 = 80000002 00 +1C 0D3 80000000 FFFFFFFE 11 = 80000002 00 +1C 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 +1C 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 +1C 0D6 80000001 FFFFFFFE 10 = 80000003 00 +1C 0D7 80000001 FFFFFFFE 11 = 80000003 00 +1C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 +1C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 +1C 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 +1C 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 +1C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 +1C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 +1C 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 +1C 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 +1C 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +1C 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +1C 0E2 00000000 FFFFFFFF 10 = 00000001 00 +1C 0E3 00000000 FFFFFFFF 11 = 00000001 00 +1C 0E4 00000001 FFFFFFFF 00 = 00000000 01 +1C 0E5 00000001 FFFFFFFF 01 = 00000000 01 +1C 0E6 00000001 FFFFFFFF 10 = 00000002 00 +1C 0E7 00000001 FFFFFFFF 11 = 00000002 00 +1C 0E8 00000002 FFFFFFFF 00 = 00000001 00 +1C 0E9 00000002 FFFFFFFF 01 = 00000001 00 +1C 0EA 00000002 FFFFFFFF 10 = 00000003 00 +1C 0EB 00000002 FFFFFFFF 11 = 00000003 00 +1C 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 +1C 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 +1C 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 +1C 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 +1C 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 +1C 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 +1C 0F2 80000000 FFFFFFFF 10 = 80000001 00 +1C 0F3 80000000 FFFFFFFF 11 = 80000001 00 +1C 0F4 80000001 FFFFFFFF 00 = 80000000 00 +1C 0F5 80000001 FFFFFFFF 01 = 80000000 00 +1C 0F6 80000001 FFFFFFFF 10 = 80000002 00 +1C 0F7 80000001 FFFFFFFF 11 = 80000002 00 +1C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 +1C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 +1C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 +1C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 +1C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 +1C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 +1C 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 +1C 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 +sumnc ---D---- ---S---- CZ = ---Q---- CZ +1D 000 00000000 00000000 00 = 00000000 01 +1D 001 00000000 00000000 01 = 00000000 01 +1D 002 00000000 00000000 10 = 00000000 01 +1D 003 00000000 00000000 11 = 00000000 01 +1D 004 00000001 00000000 00 = 00000001 00 +1D 005 00000001 00000000 01 = 00000001 00 +1D 006 00000001 00000000 10 = 00000001 00 +1D 007 00000001 00000000 11 = 00000001 00 +1D 008 00000002 00000000 00 = 00000002 00 +1D 009 00000002 00000000 01 = 00000002 00 +1D 00A 00000002 00000000 10 = 00000002 00 +1D 00B 00000002 00000000 11 = 00000002 00 +1D 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +1D 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +1D 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +1D 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +1D 010 80000000 00000000 00 = 80000000 00 +1D 011 80000000 00000000 01 = 80000000 00 +1D 012 80000000 00000000 10 = 80000000 00 +1D 013 80000000 00000000 11 = 80000000 00 +1D 014 80000001 00000000 00 = 80000001 00 +1D 015 80000001 00000000 01 = 80000001 00 +1D 016 80000001 00000000 10 = 80000001 00 +1D 017 80000001 00000000 11 = 80000001 00 +1D 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +1D 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +1D 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +1D 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +1D 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +1D 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +1D 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +1D 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +1D 020 00000000 00000001 00 = FFFFFFFF 00 +1D 021 00000000 00000001 01 = FFFFFFFF 00 +1D 022 00000000 00000001 10 = 00000001 00 +1D 023 00000000 00000001 11 = 00000001 00 +1D 024 00000001 00000001 00 = 00000000 01 +1D 025 00000001 00000001 01 = 00000000 01 +1D 026 00000001 00000001 10 = 00000002 00 +1D 027 00000001 00000001 11 = 00000002 00 +1D 028 00000002 00000001 00 = 00000001 00 +1D 029 00000002 00000001 01 = 00000001 00 +1D 02A 00000002 00000001 10 = 00000003 00 +1D 02B 00000002 00000001 11 = 00000003 00 +1D 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +1D 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +1D 02E 7FFFFFFF 00000001 10 = 80000000 10 +1D 02F 7FFFFFFF 00000001 11 = 80000000 10 +1D 030 80000000 00000001 00 = 7FFFFFFF 10 +1D 031 80000000 00000001 01 = 7FFFFFFF 10 +1D 032 80000000 00000001 10 = 80000001 00 +1D 033 80000000 00000001 11 = 80000001 00 +1D 034 80000001 00000001 00 = 80000000 00 +1D 035 80000001 00000001 01 = 80000000 00 +1D 036 80000001 00000001 10 = 80000002 00 +1D 037 80000001 00000001 11 = 80000002 00 +1D 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 +1D 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 +1D 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +1D 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +1D 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 +1D 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 +1D 03E FFFFFFFF 00000001 10 = 00000000 01 +1D 03F FFFFFFFF 00000001 11 = 00000000 01 +1D 040 00000000 00000002 00 = FFFFFFFE 00 +1D 041 00000000 00000002 01 = FFFFFFFE 00 +1D 042 00000000 00000002 10 = 00000002 00 +1D 043 00000000 00000002 11 = 00000002 00 +1D 044 00000001 00000002 00 = FFFFFFFF 00 +1D 045 00000001 00000002 01 = FFFFFFFF 00 +1D 046 00000001 00000002 10 = 00000003 00 +1D 047 00000001 00000002 11 = 00000003 00 +1D 048 00000002 00000002 00 = 00000000 01 +1D 049 00000002 00000002 01 = 00000000 01 +1D 04A 00000002 00000002 10 = 00000004 00 +1D 04B 00000002 00000002 11 = 00000004 00 +1D 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 +1D 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 +1D 04E 7FFFFFFF 00000002 10 = 80000001 10 +1D 04F 7FFFFFFF 00000002 11 = 80000001 10 +1D 050 80000000 00000002 00 = 7FFFFFFE 10 +1D 051 80000000 00000002 01 = 7FFFFFFE 10 +1D 052 80000000 00000002 10 = 80000002 00 +1D 053 80000000 00000002 11 = 80000002 00 +1D 054 80000001 00000002 00 = 7FFFFFFF 10 +1D 055 80000001 00000002 01 = 7FFFFFFF 10 +1D 056 80000001 00000002 10 = 80000003 00 +1D 057 80000001 00000002 11 = 80000003 00 +1D 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +1D 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +1D 05A FFFFFFFE 00000002 10 = 00000000 01 +1D 05B FFFFFFFE 00000002 11 = 00000000 01 +1D 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 +1D 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 +1D 05E FFFFFFFF 00000002 10 = 00000001 00 +1D 05F FFFFFFFF 00000002 11 = 00000001 00 +1D 060 00000000 7FFFFFFF 00 = 80000001 00 +1D 061 00000000 7FFFFFFF 01 = 80000001 00 +1D 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 +1D 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 +1D 064 00000001 7FFFFFFF 00 = 80000002 00 +1D 065 00000001 7FFFFFFF 01 = 80000002 00 +1D 066 00000001 7FFFFFFF 10 = 80000000 10 +1D 067 00000001 7FFFFFFF 11 = 80000000 10 +1D 068 00000002 7FFFFFFF 00 = 80000003 00 +1D 069 00000002 7FFFFFFF 01 = 80000003 00 +1D 06A 00000002 7FFFFFFF 10 = 80000001 10 +1D 06B 00000002 7FFFFFFF 11 = 80000001 10 +1D 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 +1D 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +1D 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 +1D 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 +1D 070 80000000 7FFFFFFF 00 = 00000001 10 +1D 071 80000000 7FFFFFFF 01 = 00000001 10 +1D 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +1D 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +1D 074 80000001 7FFFFFFF 00 = 00000002 10 +1D 075 80000001 7FFFFFFF 01 = 00000002 10 +1D 076 80000001 7FFFFFFF 10 = 00000000 01 +1D 077 80000001 7FFFFFFF 11 = 00000000 01 +1D 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 +1D 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 +1D 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFD 00 +1D 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFD 00 +1D 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 +1D 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 +1D 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 +1D 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 +1D 080 00000000 80000000 00 = 80000000 10 +1D 081 00000000 80000000 01 = 80000000 10 +1D 082 00000000 80000000 10 = 80000000 00 +1D 083 00000000 80000000 11 = 80000000 00 +1D 084 00000001 80000000 00 = 80000001 10 +1D 085 00000001 80000000 01 = 80000001 10 +1D 086 00000001 80000000 10 = 80000001 00 +1D 087 00000001 80000000 11 = 80000001 00 +1D 088 00000002 80000000 00 = 80000002 10 +1D 089 00000002 80000000 01 = 80000002 10 +1D 08A 00000002 80000000 10 = 80000002 00 +1D 08B 00000002 80000000 11 = 80000002 00 +1D 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +1D 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 +1D 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +1D 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +1D 090 80000000 80000000 00 = 00000000 01 +1D 091 80000000 80000000 01 = 00000000 01 +1D 092 80000000 80000000 10 = 00000000 11 +1D 093 80000000 80000000 11 = 00000000 11 +1D 094 80000001 80000000 00 = 00000001 00 +1D 095 80000001 80000000 01 = 00000001 00 +1D 096 80000001 80000000 10 = 00000001 10 +1D 097 80000001 80000000 11 = 00000001 10 +1D 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 +1D 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 +1D 09A FFFFFFFE 80000000 10 = 7FFFFFFE 10 +1D 09B FFFFFFFE 80000000 11 = 7FFFFFFE 10 +1D 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 +1D 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 +1D 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 +1D 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 +1D 0A0 00000000 80000001 00 = 7FFFFFFF 00 +1D 0A1 00000000 80000001 01 = 7FFFFFFF 00 +1D 0A2 00000000 80000001 10 = 80000001 00 +1D 0A3 00000000 80000001 11 = 80000001 00 +1D 0A4 00000001 80000001 00 = 80000000 10 +1D 0A5 00000001 80000001 01 = 80000000 10 +1D 0A6 00000001 80000001 10 = 80000002 00 +1D 0A7 00000001 80000001 11 = 80000002 00 +1D 0A8 00000002 80000001 00 = 80000001 10 +1D 0A9 00000002 80000001 01 = 80000001 10 +1D 0AA 00000002 80000001 10 = 80000003 00 +1D 0AB 00000002 80000001 11 = 80000003 00 +1D 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 +1D 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 +1D 0AE 7FFFFFFF 80000001 10 = 00000000 01 +1D 0AF 7FFFFFFF 80000001 11 = 00000000 01 +1D 0B0 80000000 80000001 00 = FFFFFFFF 00 +1D 0B1 80000000 80000001 01 = FFFFFFFF 00 +1D 0B2 80000000 80000001 10 = 00000001 10 +1D 0B3 80000000 80000001 11 = 00000001 10 +1D 0B4 80000001 80000001 00 = 00000000 01 +1D 0B5 80000001 80000001 01 = 00000000 01 +1D 0B6 80000001 80000001 10 = 00000002 10 +1D 0B7 80000001 80000001 11 = 00000002 10 +1D 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 +1D 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 +1D 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 +1D 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 +1D 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +1D 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +1D 0BE FFFFFFFF 80000001 10 = 80000000 00 +1D 0BF FFFFFFFF 80000001 11 = 80000000 00 +1D 0C0 00000000 FFFFFFFE 00 = 00000002 00 +1D 0C1 00000000 FFFFFFFE 01 = 00000002 00 +1D 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 +1D 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 +1D 0C4 00000001 FFFFFFFE 00 = 00000003 00 +1D 0C5 00000001 FFFFFFFE 01 = 00000003 00 +1D 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 +1D 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +1D 0C8 00000002 FFFFFFFE 00 = 00000004 00 +1D 0C9 00000002 FFFFFFFE 01 = 00000004 00 +1D 0CA 00000002 FFFFFFFE 10 = 00000000 01 +1D 0CB 00000002 FFFFFFFE 11 = 00000000 01 +1D 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 +1D 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 +1D 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFD 00 +1D 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFD 00 +1D 0D0 80000000 FFFFFFFE 00 = 80000002 00 +1D 0D1 80000000 FFFFFFFE 01 = 80000002 00 +1D 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 10 +1D 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 10 +1D 0D4 80000001 FFFFFFFE 00 = 80000003 00 +1D 0D5 80000001 FFFFFFFE 01 = 80000003 00 +1D 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 +1D 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 +1D 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 +1D 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +1D 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 00 +1D 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 00 +1D 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 +1D 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 +1D 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 00 +1D 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 00 +1D 0E0 00000000 FFFFFFFF 00 = 00000001 00 +1D 0E1 00000000 FFFFFFFF 01 = 00000001 00 +1D 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 +1D 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +1D 0E4 00000001 FFFFFFFF 00 = 00000002 00 +1D 0E5 00000001 FFFFFFFF 01 = 00000002 00 +1D 0E6 00000001 FFFFFFFF 10 = 00000000 01 +1D 0E7 00000001 FFFFFFFF 11 = 00000000 01 +1D 0E8 00000002 FFFFFFFF 00 = 00000003 00 +1D 0E9 00000002 FFFFFFFF 01 = 00000003 00 +1D 0EA 00000002 FFFFFFFF 10 = 00000001 00 +1D 0EB 00000002 FFFFFFFF 11 = 00000001 00 +1D 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 +1D 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +1D 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 00 +1D 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 00 +1D 0F0 80000000 FFFFFFFF 00 = 80000001 00 +1D 0F1 80000000 FFFFFFFF 01 = 80000001 00 +1D 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 +1D 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 +1D 0F4 80000001 FFFFFFFF 00 = 80000002 00 +1D 0F5 80000001 FFFFFFFF 01 = 80000002 00 +1D 0F6 80000001 FFFFFFFF 10 = 80000000 00 +1D 0F7 80000001 FFFFFFFF 11 = 80000000 00 +1D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +1D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 +1D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 +1D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 +1D 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 +1D 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +1D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 +1D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 +sumz ---D---- ---S---- CZ = ---Q---- CZ +1E 000 00000000 00000000 00 = 00000000 01 +1E 001 00000000 00000000 01 = 00000000 01 +1E 002 00000000 00000000 10 = 00000000 01 +1E 003 00000000 00000000 11 = 00000000 01 +1E 004 00000001 00000000 00 = 00000001 00 +1E 005 00000001 00000000 01 = 00000001 00 +1E 006 00000001 00000000 10 = 00000001 00 +1E 007 00000001 00000000 11 = 00000001 00 +1E 008 00000002 00000000 00 = 00000002 00 +1E 009 00000002 00000000 01 = 00000002 00 +1E 00A 00000002 00000000 10 = 00000002 00 +1E 00B 00000002 00000000 11 = 00000002 00 +1E 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +1E 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +1E 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +1E 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +1E 010 80000000 00000000 00 = 80000000 00 +1E 011 80000000 00000000 01 = 80000000 00 +1E 012 80000000 00000000 10 = 80000000 00 +1E 013 80000000 00000000 11 = 80000000 00 +1E 014 80000001 00000000 00 = 80000001 00 +1E 015 80000001 00000000 01 = 80000001 00 +1E 016 80000001 00000000 10 = 80000001 00 +1E 017 80000001 00000000 11 = 80000001 00 +1E 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +1E 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +1E 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +1E 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +1E 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +1E 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +1E 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +1E 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +1E 020 00000000 00000001 00 = 00000001 00 +1E 021 00000000 00000001 01 = FFFFFFFF 00 +1E 022 00000000 00000001 10 = 00000001 00 +1E 023 00000000 00000001 11 = FFFFFFFF 00 +1E 024 00000001 00000001 00 = 00000002 00 +1E 025 00000001 00000001 01 = 00000000 01 +1E 026 00000001 00000001 10 = 00000002 00 +1E 027 00000001 00000001 11 = 00000000 01 +1E 028 00000002 00000001 00 = 00000003 00 +1E 029 00000002 00000001 01 = 00000001 00 +1E 02A 00000002 00000001 10 = 00000003 00 +1E 02B 00000002 00000001 11 = 00000001 00 +1E 02C 7FFFFFFF 00000001 00 = 80000000 10 +1E 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +1E 02E 7FFFFFFF 00000001 10 = 80000000 10 +1E 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 +1E 030 80000000 00000001 00 = 80000001 00 +1E 031 80000000 00000001 01 = 7FFFFFFF 10 +1E 032 80000000 00000001 10 = 80000001 00 +1E 033 80000000 00000001 11 = 7FFFFFFF 10 +1E 034 80000001 00000001 00 = 80000002 00 +1E 035 80000001 00000001 01 = 80000000 00 +1E 036 80000001 00000001 10 = 80000002 00 +1E 037 80000001 00000001 11 = 80000000 00 +1E 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +1E 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 +1E 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +1E 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 +1E 03C FFFFFFFF 00000001 00 = 00000000 01 +1E 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 +1E 03E FFFFFFFF 00000001 10 = 00000000 01 +1E 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 +1E 040 00000000 00000002 00 = 00000002 00 +1E 041 00000000 00000002 01 = FFFFFFFE 00 +1E 042 00000000 00000002 10 = 00000002 00 +1E 043 00000000 00000002 11 = FFFFFFFE 00 +1E 044 00000001 00000002 00 = 00000003 00 +1E 045 00000001 00000002 01 = FFFFFFFF 00 +1E 046 00000001 00000002 10 = 00000003 00 +1E 047 00000001 00000002 11 = FFFFFFFF 00 +1E 048 00000002 00000002 00 = 00000004 00 +1E 049 00000002 00000002 01 = 00000000 01 +1E 04A 00000002 00000002 10 = 00000004 00 +1E 04B 00000002 00000002 11 = 00000000 01 +1E 04C 7FFFFFFF 00000002 00 = 80000001 10 +1E 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 +1E 04E 7FFFFFFF 00000002 10 = 80000001 10 +1E 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 +1E 050 80000000 00000002 00 = 80000002 00 +1E 051 80000000 00000002 01 = 7FFFFFFE 10 +1E 052 80000000 00000002 10 = 80000002 00 +1E 053 80000000 00000002 11 = 7FFFFFFE 10 +1E 054 80000001 00000002 00 = 80000003 00 +1E 055 80000001 00000002 01 = 7FFFFFFF 10 +1E 056 80000001 00000002 10 = 80000003 00 +1E 057 80000001 00000002 11 = 7FFFFFFF 10 +1E 058 FFFFFFFE 00000002 00 = 00000000 01 +1E 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +1E 05A FFFFFFFE 00000002 10 = 00000000 01 +1E 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 +1E 05C FFFFFFFF 00000002 00 = 00000001 00 +1E 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 +1E 05E FFFFFFFF 00000002 10 = 00000001 00 +1E 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 +1E 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +1E 061 00000000 7FFFFFFF 01 = 80000001 00 +1E 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 +1E 063 00000000 7FFFFFFF 11 = 80000001 00 +1E 064 00000001 7FFFFFFF 00 = 80000000 10 +1E 065 00000001 7FFFFFFF 01 = 80000002 00 +1E 066 00000001 7FFFFFFF 10 = 80000000 10 +1E 067 00000001 7FFFFFFF 11 = 80000002 00 +1E 068 00000002 7FFFFFFF 00 = 80000001 10 +1E 069 00000002 7FFFFFFF 01 = 80000003 00 +1E 06A 00000002 7FFFFFFF 10 = 80000001 10 +1E 06B 00000002 7FFFFFFF 11 = 80000003 00 +1E 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 +1E 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +1E 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 +1E 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 +1E 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +1E 071 80000000 7FFFFFFF 01 = 00000001 10 +1E 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +1E 073 80000000 7FFFFFFF 11 = 00000001 10 +1E 074 80000001 7FFFFFFF 00 = 00000000 01 +1E 075 80000001 7FFFFFFF 01 = 00000002 10 +1E 076 80000001 7FFFFFFF 10 = 00000000 01 +1E 077 80000001 7FFFFFFF 11 = 00000002 10 +1E 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFD 00 +1E 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 +1E 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFD 00 +1E 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 +1E 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 +1E 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 +1E 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 +1E 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 +1E 080 00000000 80000000 00 = 80000000 00 +1E 081 00000000 80000000 01 = 80000000 10 +1E 082 00000000 80000000 10 = 80000000 00 +1E 083 00000000 80000000 11 = 80000000 10 +1E 084 00000001 80000000 00 = 80000001 00 +1E 085 00000001 80000000 01 = 80000001 10 +1E 086 00000001 80000000 10 = 80000001 00 +1E 087 00000001 80000000 11 = 80000001 10 +1E 088 00000002 80000000 00 = 80000002 00 +1E 089 00000002 80000000 01 = 80000002 10 +1E 08A 00000002 80000000 10 = 80000002 00 +1E 08B 00000002 80000000 11 = 80000002 10 +1E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +1E 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 +1E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +1E 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 +1E 090 80000000 80000000 00 = 00000000 11 +1E 091 80000000 80000000 01 = 00000000 01 +1E 092 80000000 80000000 10 = 00000000 11 +1E 093 80000000 80000000 11 = 00000000 01 +1E 094 80000001 80000000 00 = 00000001 10 +1E 095 80000001 80000000 01 = 00000001 00 +1E 096 80000001 80000000 10 = 00000001 10 +1E 097 80000001 80000000 11 = 00000001 00 +1E 098 FFFFFFFE 80000000 00 = 7FFFFFFE 10 +1E 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 +1E 09A FFFFFFFE 80000000 10 = 7FFFFFFE 10 +1E 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 +1E 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +1E 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 +1E 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 +1E 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 +1E 0A0 00000000 80000001 00 = 80000001 00 +1E 0A1 00000000 80000001 01 = 7FFFFFFF 00 +1E 0A2 00000000 80000001 10 = 80000001 00 +1E 0A3 00000000 80000001 11 = 7FFFFFFF 00 +1E 0A4 00000001 80000001 00 = 80000002 00 +1E 0A5 00000001 80000001 01 = 80000000 10 +1E 0A6 00000001 80000001 10 = 80000002 00 +1E 0A7 00000001 80000001 11 = 80000000 10 +1E 0A8 00000002 80000001 00 = 80000003 00 +1E 0A9 00000002 80000001 01 = 80000001 10 +1E 0AA 00000002 80000001 10 = 80000003 00 +1E 0AB 00000002 80000001 11 = 80000001 10 +1E 0AC 7FFFFFFF 80000001 00 = 00000000 01 +1E 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 +1E 0AE 7FFFFFFF 80000001 10 = 00000000 01 +1E 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 +1E 0B0 80000000 80000001 00 = 00000001 10 +1E 0B1 80000000 80000001 01 = FFFFFFFF 00 +1E 0B2 80000000 80000001 10 = 00000001 10 +1E 0B3 80000000 80000001 11 = FFFFFFFF 00 +1E 0B4 80000001 80000001 00 = 00000002 10 +1E 0B5 80000001 80000001 01 = 00000000 01 +1E 0B6 80000001 80000001 10 = 00000002 10 +1E 0B7 80000001 80000001 11 = 00000000 01 +1E 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 +1E 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 +1E 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 +1E 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 00 +1E 0BC FFFFFFFF 80000001 00 = 80000000 00 +1E 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +1E 0BE FFFFFFFF 80000001 10 = 80000000 00 +1E 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 +1E 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +1E 0C1 00000000 FFFFFFFE 01 = 00000002 00 +1E 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 +1E 0C3 00000000 FFFFFFFE 11 = 00000002 00 +1E 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +1E 0C5 00000001 FFFFFFFE 01 = 00000003 00 +1E 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 +1E 0C7 00000001 FFFFFFFE 11 = 00000003 00 +1E 0C8 00000002 FFFFFFFE 00 = 00000000 01 +1E 0C9 00000002 FFFFFFFE 01 = 00000004 00 +1E 0CA 00000002 FFFFFFFE 10 = 00000000 01 +1E 0CB 00000002 FFFFFFFE 11 = 00000004 00 +1E 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 00 +1E 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 +1E 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFD 00 +1E 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 +1E 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 +1E 0D1 80000000 FFFFFFFE 01 = 80000002 00 +1E 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 10 +1E 0D3 80000000 FFFFFFFE 11 = 80000002 00 +1E 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 +1E 0D5 80000001 FFFFFFFE 01 = 80000003 00 +1E 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 +1E 0D7 80000001 FFFFFFFE 11 = 80000003 00 +1E 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 +1E 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +1E 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 00 +1E 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 +1E 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 +1E 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 +1E 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 00 +1E 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 +1E 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +1E 0E1 00000000 FFFFFFFF 01 = 00000001 00 +1E 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 +1E 0E3 00000000 FFFFFFFF 11 = 00000001 00 +1E 0E4 00000001 FFFFFFFF 00 = 00000000 01 +1E 0E5 00000001 FFFFFFFF 01 = 00000002 00 +1E 0E6 00000001 FFFFFFFF 10 = 00000000 01 +1E 0E7 00000001 FFFFFFFF 11 = 00000002 00 +1E 0E8 00000002 FFFFFFFF 00 = 00000001 00 +1E 0E9 00000002 FFFFFFFF 01 = 00000003 00 +1E 0EA 00000002 FFFFFFFF 10 = 00000001 00 +1E 0EB 00000002 FFFFFFFF 11 = 00000003 00 +1E 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 +1E 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +1E 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 00 +1E 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 +1E 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 +1E 0F1 80000000 FFFFFFFF 01 = 80000001 00 +1E 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 +1E 0F3 80000000 FFFFFFFF 11 = 80000001 00 +1E 0F4 80000001 FFFFFFFF 00 = 80000000 00 +1E 0F5 80000001 FFFFFFFF 01 = 80000002 00 +1E 0F6 80000001 FFFFFFFF 10 = 80000000 00 +1E 0F7 80000001 FFFFFFFF 11 = 80000002 00 +1E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 +1E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 +1E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 +1E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 +1E 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 +1E 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +1E 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 +1E 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 +sumnz ---D---- ---S---- CZ = ---Q---- CZ +1F 000 00000000 00000000 00 = 00000000 01 +1F 001 00000000 00000000 01 = 00000000 01 +1F 002 00000000 00000000 10 = 00000000 01 +1F 003 00000000 00000000 11 = 00000000 01 +1F 004 00000001 00000000 00 = 00000001 00 +1F 005 00000001 00000000 01 = 00000001 00 +1F 006 00000001 00000000 10 = 00000001 00 +1F 007 00000001 00000000 11 = 00000001 00 +1F 008 00000002 00000000 00 = 00000002 00 +1F 009 00000002 00000000 01 = 00000002 00 +1F 00A 00000002 00000000 10 = 00000002 00 +1F 00B 00000002 00000000 11 = 00000002 00 +1F 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +1F 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 +1F 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +1F 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 +1F 010 80000000 00000000 00 = 80000000 00 +1F 011 80000000 00000000 01 = 80000000 00 +1F 012 80000000 00000000 10 = 80000000 00 +1F 013 80000000 00000000 11 = 80000000 00 +1F 014 80000001 00000000 00 = 80000001 00 +1F 015 80000001 00000000 01 = 80000001 00 +1F 016 80000001 00000000 10 = 80000001 00 +1F 017 80000001 00000000 11 = 80000001 00 +1F 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +1F 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +1F 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +1F 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +1F 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +1F 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +1F 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +1F 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +1F 020 00000000 00000001 00 = FFFFFFFF 00 +1F 021 00000000 00000001 01 = 00000001 00 +1F 022 00000000 00000001 10 = FFFFFFFF 00 +1F 023 00000000 00000001 11 = 00000001 00 +1F 024 00000001 00000001 00 = 00000000 01 +1F 025 00000001 00000001 01 = 00000002 00 +1F 026 00000001 00000001 10 = 00000000 01 +1F 027 00000001 00000001 11 = 00000002 00 +1F 028 00000002 00000001 00 = 00000001 00 +1F 029 00000002 00000001 01 = 00000003 00 +1F 02A 00000002 00000001 10 = 00000001 00 +1F 02B 00000002 00000001 11 = 00000003 00 +1F 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +1F 02D 7FFFFFFF 00000001 01 = 80000000 10 +1F 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 +1F 02F 7FFFFFFF 00000001 11 = 80000000 10 +1F 030 80000000 00000001 00 = 7FFFFFFF 10 +1F 031 80000000 00000001 01 = 80000001 00 +1F 032 80000000 00000001 10 = 7FFFFFFF 10 +1F 033 80000000 00000001 11 = 80000001 00 +1F 034 80000001 00000001 00 = 80000000 00 +1F 035 80000001 00000001 01 = 80000002 00 +1F 036 80000001 00000001 10 = 80000000 00 +1F 037 80000001 00000001 11 = 80000002 00 +1F 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 +1F 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +1F 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 +1F 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +1F 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 +1F 03D FFFFFFFF 00000001 01 = 00000000 01 +1F 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 +1F 03F FFFFFFFF 00000001 11 = 00000000 01 +1F 040 00000000 00000002 00 = FFFFFFFE 00 +1F 041 00000000 00000002 01 = 00000002 00 +1F 042 00000000 00000002 10 = FFFFFFFE 00 +1F 043 00000000 00000002 11 = 00000002 00 +1F 044 00000001 00000002 00 = FFFFFFFF 00 +1F 045 00000001 00000002 01 = 00000003 00 +1F 046 00000001 00000002 10 = FFFFFFFF 00 +1F 047 00000001 00000002 11 = 00000003 00 +1F 048 00000002 00000002 00 = 00000000 01 +1F 049 00000002 00000002 01 = 00000004 00 +1F 04A 00000002 00000002 10 = 00000000 01 +1F 04B 00000002 00000002 11 = 00000004 00 +1F 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 +1F 04D 7FFFFFFF 00000002 01 = 80000001 10 +1F 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 +1F 04F 7FFFFFFF 00000002 11 = 80000001 10 +1F 050 80000000 00000002 00 = 7FFFFFFE 10 +1F 051 80000000 00000002 01 = 80000002 00 +1F 052 80000000 00000002 10 = 7FFFFFFE 10 +1F 053 80000000 00000002 11 = 80000002 00 +1F 054 80000001 00000002 00 = 7FFFFFFF 10 +1F 055 80000001 00000002 01 = 80000003 00 +1F 056 80000001 00000002 10 = 7FFFFFFF 10 +1F 057 80000001 00000002 11 = 80000003 00 +1F 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +1F 059 FFFFFFFE 00000002 01 = 00000000 01 +1F 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 +1F 05B FFFFFFFE 00000002 11 = 00000000 01 +1F 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 +1F 05D FFFFFFFF 00000002 01 = 00000001 00 +1F 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 +1F 05F FFFFFFFF 00000002 11 = 00000001 00 +1F 060 00000000 7FFFFFFF 00 = 80000001 00 +1F 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +1F 062 00000000 7FFFFFFF 10 = 80000001 00 +1F 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 +1F 064 00000001 7FFFFFFF 00 = 80000002 00 +1F 065 00000001 7FFFFFFF 01 = 80000000 10 +1F 066 00000001 7FFFFFFF 10 = 80000002 00 +1F 067 00000001 7FFFFFFF 11 = 80000000 10 +1F 068 00000002 7FFFFFFF 00 = 80000003 00 +1F 069 00000002 7FFFFFFF 01 = 80000001 10 +1F 06A 00000002 7FFFFFFF 10 = 80000003 00 +1F 06B 00000002 7FFFFFFF 11 = 80000001 10 +1F 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 +1F 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 +1F 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 +1F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 +1F 070 80000000 7FFFFFFF 00 = 00000001 10 +1F 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +1F 072 80000000 7FFFFFFF 10 = 00000001 10 +1F 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +1F 074 80000001 7FFFFFFF 00 = 00000002 10 +1F 075 80000001 7FFFFFFF 01 = 00000000 01 +1F 076 80000001 7FFFFFFF 10 = 00000002 10 +1F 077 80000001 7FFFFFFF 11 = 00000000 01 +1F 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 10 +1F 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 00 +1F 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 +1F 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFD 00 +1F 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 +1F 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 +1F 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 +1F 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 +1F 080 00000000 80000000 00 = 80000000 10 +1F 081 00000000 80000000 01 = 80000000 00 +1F 082 00000000 80000000 10 = 80000000 10 +1F 083 00000000 80000000 11 = 80000000 00 +1F 084 00000001 80000000 00 = 80000001 10 +1F 085 00000001 80000000 01 = 80000001 00 +1F 086 00000001 80000000 10 = 80000001 10 +1F 087 00000001 80000000 11 = 80000001 00 +1F 088 00000002 80000000 00 = 80000002 10 +1F 089 00000002 80000000 01 = 80000002 00 +1F 08A 00000002 80000000 10 = 80000002 10 +1F 08B 00000002 80000000 11 = 80000002 00 +1F 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +1F 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +1F 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +1F 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +1F 090 80000000 80000000 00 = 00000000 01 +1F 091 80000000 80000000 01 = 00000000 11 +1F 092 80000000 80000000 10 = 00000000 01 +1F 093 80000000 80000000 11 = 00000000 11 +1F 094 80000001 80000000 00 = 00000001 00 +1F 095 80000001 80000000 01 = 00000001 10 +1F 096 80000001 80000000 10 = 00000001 00 +1F 097 80000001 80000000 11 = 00000001 10 +1F 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 +1F 099 FFFFFFFE 80000000 01 = 7FFFFFFE 10 +1F 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 +1F 09B FFFFFFFE 80000000 11 = 7FFFFFFE 10 +1F 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 +1F 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +1F 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 +1F 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 +1F 0A0 00000000 80000001 00 = 7FFFFFFF 00 +1F 0A1 00000000 80000001 01 = 80000001 00 +1F 0A2 00000000 80000001 10 = 7FFFFFFF 00 +1F 0A3 00000000 80000001 11 = 80000001 00 +1F 0A4 00000001 80000001 00 = 80000000 10 +1F 0A5 00000001 80000001 01 = 80000002 00 +1F 0A6 00000001 80000001 10 = 80000000 10 +1F 0A7 00000001 80000001 11 = 80000002 00 +1F 0A8 00000002 80000001 00 = 80000001 10 +1F 0A9 00000002 80000001 01 = 80000003 00 +1F 0AA 00000002 80000001 10 = 80000001 10 +1F 0AB 00000002 80000001 11 = 80000003 00 +1F 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 +1F 0AD 7FFFFFFF 80000001 01 = 00000000 01 +1F 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 +1F 0AF 7FFFFFFF 80000001 11 = 00000000 01 +1F 0B0 80000000 80000001 00 = FFFFFFFF 00 +1F 0B1 80000000 80000001 01 = 00000001 10 +1F 0B2 80000000 80000001 10 = FFFFFFFF 00 +1F 0B3 80000000 80000001 11 = 00000001 10 +1F 0B4 80000001 80000001 00 = 00000000 01 +1F 0B5 80000001 80000001 01 = 00000002 10 +1F 0B6 80000001 80000001 10 = 00000000 01 +1F 0B7 80000001 80000001 11 = 00000002 10 +1F 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 +1F 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 +1F 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 00 +1F 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 +1F 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +1F 0BD FFFFFFFF 80000001 01 = 80000000 00 +1F 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 +1F 0BF FFFFFFFF 80000001 11 = 80000000 00 +1F 0C0 00000000 FFFFFFFE 00 = 00000002 00 +1F 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 +1F 0C2 00000000 FFFFFFFE 10 = 00000002 00 +1F 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 +1F 0C4 00000001 FFFFFFFE 00 = 00000003 00 +1F 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +1F 0C6 00000001 FFFFFFFE 10 = 00000003 00 +1F 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +1F 0C8 00000002 FFFFFFFE 00 = 00000004 00 +1F 0C9 00000002 FFFFFFFE 01 = 00000000 01 +1F 0CA 00000002 FFFFFFFE 10 = 00000004 00 +1F 0CB 00000002 FFFFFFFE 11 = 00000000 01 +1F 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 +1F 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 00 +1F 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 +1F 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFD 00 +1F 0D0 80000000 FFFFFFFE 00 = 80000002 00 +1F 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 +1F 0D2 80000000 FFFFFFFE 10 = 80000002 00 +1F 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 10 +1F 0D4 80000001 FFFFFFFE 00 = 80000003 00 +1F 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 +1F 0D6 80000001 FFFFFFFE 10 = 80000003 00 +1F 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 +1F 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 +1F 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 +1F 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 +1F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 00 +1F 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 +1F 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 +1F 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 +1F 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 00 +1F 0E0 00000000 FFFFFFFF 00 = 00000001 00 +1F 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +1F 0E2 00000000 FFFFFFFF 10 = 00000001 00 +1F 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +1F 0E4 00000001 FFFFFFFF 00 = 00000002 00 +1F 0E5 00000001 FFFFFFFF 01 = 00000000 01 +1F 0E6 00000001 FFFFFFFF 10 = 00000002 00 +1F 0E7 00000001 FFFFFFFF 11 = 00000000 01 +1F 0E8 00000002 FFFFFFFF 00 = 00000003 00 +1F 0E9 00000002 FFFFFFFF 01 = 00000001 00 +1F 0EA 00000002 FFFFFFFF 10 = 00000003 00 +1F 0EB 00000002 FFFFFFFF 11 = 00000001 00 +1F 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 +1F 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 +1F 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 +1F 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 00 +1F 0F0 80000000 FFFFFFFF 00 = 80000001 00 +1F 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 +1F 0F2 80000000 FFFFFFFF 10 = 80000001 00 +1F 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 +1F 0F4 80000001 FFFFFFFF 00 = 80000002 00 +1F 0F5 80000001 FFFFFFFF 01 = 80000000 00 +1F 0F6 80000001 FFFFFFFF 10 = 80000002 00 +1F 0F7 80000001 FFFFFFFF 11 = 80000000 00 +1F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +1F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 +1F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 +1F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 +1F 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 +1F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 +1F 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 +1F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 +bitl ---D---- ---S---- CZ = ---Q---- CZ +20 000 00000000 00000000 00 = 00000000 00 +20 001 00000000 00000000 01 = 00000000 00 +20 002 00000000 00000000 10 = 00000000 00 +20 003 00000000 00000000 11 = 00000000 00 +20 004 00000001 00000000 00 = 00000000 11 +20 005 00000001 00000000 01 = 00000000 11 +20 006 00000001 00000000 10 = 00000000 11 +20 007 00000001 00000000 11 = 00000000 11 +20 008 00000002 00000000 00 = 00000002 00 +20 009 00000002 00000000 01 = 00000002 00 +20 00A 00000002 00000000 10 = 00000002 00 +20 00B 00000002 00000000 11 = 00000002 00 +20 00C 7FFFFFFF 00000000 00 = 7FFFFFFE 11 +20 00D 7FFFFFFF 00000000 01 = 7FFFFFFE 11 +20 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 11 +20 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 11 +20 010 80000000 00000000 00 = 80000000 00 +20 011 80000000 00000000 01 = 80000000 00 +20 012 80000000 00000000 10 = 80000000 00 +20 013 80000000 00000000 11 = 80000000 00 +20 014 80000001 00000000 00 = 80000000 11 +20 015 80000001 00000000 01 = 80000000 11 +20 016 80000001 00000000 10 = 80000000 11 +20 017 80000001 00000000 11 = 80000000 11 +20 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +20 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +20 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +20 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +20 01C FFFFFFFF 00000000 00 = FFFFFFFE 11 +20 01D FFFFFFFF 00000000 01 = FFFFFFFE 11 +20 01E FFFFFFFF 00000000 10 = FFFFFFFE 11 +20 01F FFFFFFFF 00000000 11 = FFFFFFFE 11 +20 020 00000000 00000001 00 = 00000000 00 +20 021 00000000 00000001 01 = 00000000 00 +20 022 00000000 00000001 10 = 00000000 00 +20 023 00000000 00000001 11 = 00000000 00 +20 024 00000001 00000001 00 = 00000001 00 +20 025 00000001 00000001 01 = 00000001 00 +20 026 00000001 00000001 10 = 00000001 00 +20 027 00000001 00000001 11 = 00000001 00 +20 028 00000002 00000001 00 = 00000000 11 +20 029 00000002 00000001 01 = 00000000 11 +20 02A 00000002 00000001 10 = 00000000 11 +20 02B 00000002 00000001 11 = 00000000 11 +20 02C 7FFFFFFF 00000001 00 = 7FFFFFFD 11 +20 02D 7FFFFFFF 00000001 01 = 7FFFFFFD 11 +20 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 11 +20 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 11 +20 030 80000000 00000001 00 = 80000000 00 +20 031 80000000 00000001 01 = 80000000 00 +20 032 80000000 00000001 10 = 80000000 00 +20 033 80000000 00000001 11 = 80000000 00 +20 034 80000001 00000001 00 = 80000001 00 +20 035 80000001 00000001 01 = 80000001 00 +20 036 80000001 00000001 10 = 80000001 00 +20 037 80000001 00000001 11 = 80000001 00 +20 038 FFFFFFFE 00000001 00 = FFFFFFFC 11 +20 039 FFFFFFFE 00000001 01 = FFFFFFFC 11 +20 03A FFFFFFFE 00000001 10 = FFFFFFFC 11 +20 03B FFFFFFFE 00000001 11 = FFFFFFFC 11 +20 03C FFFFFFFF 00000001 00 = FFFFFFFD 11 +20 03D FFFFFFFF 00000001 01 = FFFFFFFD 11 +20 03E FFFFFFFF 00000001 10 = FFFFFFFD 11 +20 03F FFFFFFFF 00000001 11 = FFFFFFFD 11 +20 040 00000000 00000002 00 = 00000000 00 +20 041 00000000 00000002 01 = 00000000 00 +20 042 00000000 00000002 10 = 00000000 00 +20 043 00000000 00000002 11 = 00000000 00 +20 044 00000001 00000002 00 = 00000001 00 +20 045 00000001 00000002 01 = 00000001 00 +20 046 00000001 00000002 10 = 00000001 00 +20 047 00000001 00000002 11 = 00000001 00 +20 048 00000002 00000002 00 = 00000002 00 +20 049 00000002 00000002 01 = 00000002 00 +20 04A 00000002 00000002 10 = 00000002 00 +20 04B 00000002 00000002 11 = 00000002 00 +20 04C 7FFFFFFF 00000002 00 = 7FFFFFFB 11 +20 04D 7FFFFFFF 00000002 01 = 7FFFFFFB 11 +20 04E 7FFFFFFF 00000002 10 = 7FFFFFFB 11 +20 04F 7FFFFFFF 00000002 11 = 7FFFFFFB 11 +20 050 80000000 00000002 00 = 80000000 00 +20 051 80000000 00000002 01 = 80000000 00 +20 052 80000000 00000002 10 = 80000000 00 +20 053 80000000 00000002 11 = 80000000 00 +20 054 80000001 00000002 00 = 80000001 00 +20 055 80000001 00000002 01 = 80000001 00 +20 056 80000001 00000002 10 = 80000001 00 +20 057 80000001 00000002 11 = 80000001 00 +20 058 FFFFFFFE 00000002 00 = FFFFFFFA 11 +20 059 FFFFFFFE 00000002 01 = FFFFFFFA 11 +20 05A FFFFFFFE 00000002 10 = FFFFFFFA 11 +20 05B FFFFFFFE 00000002 11 = FFFFFFFA 11 +20 05C FFFFFFFF 00000002 00 = FFFFFFFB 11 +20 05D FFFFFFFF 00000002 01 = FFFFFFFB 11 +20 05E FFFFFFFF 00000002 10 = FFFFFFFB 11 +20 05F FFFFFFFF 00000002 11 = FFFFFFFB 11 +20 060 00000000 7FFFFFFF 00 = 00000000 00 +20 061 00000000 7FFFFFFF 01 = 00000000 00 +20 062 00000000 7FFFFFFF 10 = 00000000 00 +20 063 00000000 7FFFFFFF 11 = 00000000 00 +20 064 00000001 7FFFFFFF 00 = 00000001 00 +20 065 00000001 7FFFFFFF 01 = 00000001 00 +20 066 00000001 7FFFFFFF 10 = 00000001 00 +20 067 00000001 7FFFFFFF 11 = 00000001 00 +20 068 00000002 7FFFFFFF 00 = 00000002 00 +20 069 00000002 7FFFFFFF 01 = 00000002 00 +20 06A 00000002 7FFFFFFF 10 = 00000002 00 +20 06B 00000002 7FFFFFFF 11 = 00000002 00 +20 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +20 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +20 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +20 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +20 070 80000000 7FFFFFFF 00 = 00000000 11 +20 071 80000000 7FFFFFFF 01 = 00000000 11 +20 072 80000000 7FFFFFFF 10 = 00000000 11 +20 073 80000000 7FFFFFFF 11 = 00000000 11 +20 074 80000001 7FFFFFFF 00 = 00000001 11 +20 075 80000001 7FFFFFFF 01 = 00000001 11 +20 076 80000001 7FFFFFFF 10 = 00000001 11 +20 077 80000001 7FFFFFFF 11 = 00000001 11 +20 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 11 +20 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 11 +20 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 11 +20 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 11 +20 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 11 +20 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 +20 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 11 +20 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +20 080 00000000 80000000 00 = 00000000 00 +20 081 00000000 80000000 01 = 00000000 00 +20 082 00000000 80000000 10 = 00000000 00 +20 083 00000000 80000000 11 = 00000000 00 +20 084 00000001 80000000 00 = 00000000 11 +20 085 00000001 80000000 01 = 00000000 11 +20 086 00000001 80000000 10 = 00000000 11 +20 087 00000001 80000000 11 = 00000000 11 +20 088 00000002 80000000 00 = 00000002 00 +20 089 00000002 80000000 01 = 00000002 00 +20 08A 00000002 80000000 10 = 00000002 00 +20 08B 00000002 80000000 11 = 00000002 00 +20 08C 7FFFFFFF 80000000 00 = 7FFFFFFE 11 +20 08D 7FFFFFFF 80000000 01 = 7FFFFFFE 11 +20 08E 7FFFFFFF 80000000 10 = 7FFFFFFE 11 +20 08F 7FFFFFFF 80000000 11 = 7FFFFFFE 11 +20 090 80000000 80000000 00 = 80000000 00 +20 091 80000000 80000000 01 = 80000000 00 +20 092 80000000 80000000 10 = 80000000 00 +20 093 80000000 80000000 11 = 80000000 00 +20 094 80000001 80000000 00 = 80000000 11 +20 095 80000001 80000000 01 = 80000000 11 +20 096 80000001 80000000 10 = 80000000 11 +20 097 80000001 80000000 11 = 80000000 11 +20 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +20 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +20 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +20 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +20 09C FFFFFFFF 80000000 00 = FFFFFFFE 11 +20 09D FFFFFFFF 80000000 01 = FFFFFFFE 11 +20 09E FFFFFFFF 80000000 10 = FFFFFFFE 11 +20 09F FFFFFFFF 80000000 11 = FFFFFFFE 11 +20 0A0 00000000 80000001 00 = 00000000 00 +20 0A1 00000000 80000001 01 = 00000000 00 +20 0A2 00000000 80000001 10 = 00000000 00 +20 0A3 00000000 80000001 11 = 00000000 00 +20 0A4 00000001 80000001 00 = 00000001 00 +20 0A5 00000001 80000001 01 = 00000001 00 +20 0A6 00000001 80000001 10 = 00000001 00 +20 0A7 00000001 80000001 11 = 00000001 00 +20 0A8 00000002 80000001 00 = 00000000 11 +20 0A9 00000002 80000001 01 = 00000000 11 +20 0AA 00000002 80000001 10 = 00000000 11 +20 0AB 00000002 80000001 11 = 00000000 11 +20 0AC 7FFFFFFF 80000001 00 = 7FFFFFFD 11 +20 0AD 7FFFFFFF 80000001 01 = 7FFFFFFD 11 +20 0AE 7FFFFFFF 80000001 10 = 7FFFFFFD 11 +20 0AF 7FFFFFFF 80000001 11 = 7FFFFFFD 11 +20 0B0 80000000 80000001 00 = 80000000 00 +20 0B1 80000000 80000001 01 = 80000000 00 +20 0B2 80000000 80000001 10 = 80000000 00 +20 0B3 80000000 80000001 11 = 80000000 00 +20 0B4 80000001 80000001 00 = 80000001 00 +20 0B5 80000001 80000001 01 = 80000001 00 +20 0B6 80000001 80000001 10 = 80000001 00 +20 0B7 80000001 80000001 11 = 80000001 00 +20 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 11 +20 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 11 +20 0BA FFFFFFFE 80000001 10 = FFFFFFFC 11 +20 0BB FFFFFFFE 80000001 11 = FFFFFFFC 11 +20 0BC FFFFFFFF 80000001 00 = FFFFFFFD 11 +20 0BD FFFFFFFF 80000001 01 = FFFFFFFD 11 +20 0BE FFFFFFFF 80000001 10 = FFFFFFFD 11 +20 0BF FFFFFFFF 80000001 11 = FFFFFFFD 11 +20 0C0 00000000 FFFFFFFE 00 = 00000000 00 +20 0C1 00000000 FFFFFFFE 01 = 00000000 00 +20 0C2 00000000 FFFFFFFE 10 = 00000000 00 +20 0C3 00000000 FFFFFFFE 11 = 00000000 00 +20 0C4 00000001 FFFFFFFE 00 = 00000001 00 +20 0C5 00000001 FFFFFFFE 01 = 00000001 00 +20 0C6 00000001 FFFFFFFE 10 = 00000001 00 +20 0C7 00000001 FFFFFFFE 11 = 00000001 00 +20 0C8 00000002 FFFFFFFE 00 = 00000002 00 +20 0C9 00000002 FFFFFFFE 01 = 00000002 00 +20 0CA 00000002 FFFFFFFE 10 = 00000002 00 +20 0CB 00000002 FFFFFFFE 11 = 00000002 00 +20 0CC 7FFFFFFF FFFFFFFE 00 = 3FFFFFFF 11 +20 0CD 7FFFFFFF FFFFFFFE 01 = 3FFFFFFF 11 +20 0CE 7FFFFFFF FFFFFFFE 10 = 3FFFFFFF 11 +20 0CF 7FFFFFFF FFFFFFFE 11 = 3FFFFFFF 11 +20 0D0 80000000 FFFFFFFE 00 = 80000000 00 +20 0D1 80000000 FFFFFFFE 01 = 80000000 00 +20 0D2 80000000 FFFFFFFE 10 = 80000000 00 +20 0D3 80000000 FFFFFFFE 11 = 80000000 00 +20 0D4 80000001 FFFFFFFE 00 = 80000001 00 +20 0D5 80000001 FFFFFFFE 01 = 80000001 00 +20 0D6 80000001 FFFFFFFE 10 = 80000001 00 +20 0D7 80000001 FFFFFFFE 11 = 80000001 00 +20 0D8 FFFFFFFE FFFFFFFE 00 = BFFFFFFE 11 +20 0D9 FFFFFFFE FFFFFFFE 01 = BFFFFFFE 11 +20 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFE 11 +20 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFE 11 +20 0DC FFFFFFFF FFFFFFFE 00 = BFFFFFFF 11 +20 0DD FFFFFFFF FFFFFFFE 01 = BFFFFFFF 11 +20 0DE FFFFFFFF FFFFFFFE 10 = BFFFFFFF 11 +20 0DF FFFFFFFF FFFFFFFE 11 = BFFFFFFF 11 +20 0E0 00000000 FFFFFFFF 00 = 00000000 00 +20 0E1 00000000 FFFFFFFF 01 = 00000000 00 +20 0E2 00000000 FFFFFFFF 10 = 00000000 00 +20 0E3 00000000 FFFFFFFF 11 = 00000000 00 +20 0E4 00000001 FFFFFFFF 00 = 00000001 00 +20 0E5 00000001 FFFFFFFF 01 = 00000001 00 +20 0E6 00000001 FFFFFFFF 10 = 00000001 00 +20 0E7 00000001 FFFFFFFF 11 = 00000001 00 +20 0E8 00000002 FFFFFFFF 00 = 00000002 00 +20 0E9 00000002 FFFFFFFF 01 = 00000002 00 +20 0EA 00000002 FFFFFFFF 10 = 00000002 00 +20 0EB 00000002 FFFFFFFF 11 = 00000002 00 +20 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +20 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +20 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +20 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +20 0F0 80000000 FFFFFFFF 00 = 00000000 11 +20 0F1 80000000 FFFFFFFF 01 = 00000000 11 +20 0F2 80000000 FFFFFFFF 10 = 00000000 11 +20 0F3 80000000 FFFFFFFF 11 = 00000000 11 +20 0F4 80000001 FFFFFFFF 00 = 00000001 11 +20 0F5 80000001 FFFFFFFF 01 = 00000001 11 +20 0F6 80000001 FFFFFFFF 10 = 00000001 11 +20 0F7 80000001 FFFFFFFF 11 = 00000001 11 +20 0F8 FFFFFFFE FFFFFFFF 00 = 7FFFFFFE 11 +20 0F9 FFFFFFFE FFFFFFFF 01 = 7FFFFFFE 11 +20 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFE 11 +20 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFE 11 +20 0FC FFFFFFFF FFFFFFFF 00 = 7FFFFFFF 11 +20 0FD FFFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 +20 0FE FFFFFFFF FFFFFFFF 10 = 7FFFFFFF 11 +20 0FF FFFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +bith ---D---- ---S---- CZ = ---Q---- CZ +21 000 00000000 00000000 00 = 00000001 00 +21 001 00000000 00000000 01 = 00000001 00 +21 002 00000000 00000000 10 = 00000001 00 +21 003 00000000 00000000 11 = 00000001 00 +21 004 00000001 00000000 00 = 00000001 11 +21 005 00000001 00000000 01 = 00000001 11 +21 006 00000001 00000000 10 = 00000001 11 +21 007 00000001 00000000 11 = 00000001 11 +21 008 00000002 00000000 00 = 00000003 00 +21 009 00000002 00000000 01 = 00000003 00 +21 00A 00000002 00000000 10 = 00000003 00 +21 00B 00000002 00000000 11 = 00000003 00 +21 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 11 +21 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 11 +21 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 11 +21 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +21 010 80000000 00000000 00 = 80000001 00 +21 011 80000000 00000000 01 = 80000001 00 +21 012 80000000 00000000 10 = 80000001 00 +21 013 80000000 00000000 11 = 80000001 00 +21 014 80000001 00000000 00 = 80000001 11 +21 015 80000001 00000000 01 = 80000001 11 +21 016 80000001 00000000 10 = 80000001 11 +21 017 80000001 00000000 11 = 80000001 11 +21 018 FFFFFFFE 00000000 00 = FFFFFFFF 00 +21 019 FFFFFFFE 00000000 01 = FFFFFFFF 00 +21 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 +21 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 +21 01C FFFFFFFF 00000000 00 = FFFFFFFF 11 +21 01D FFFFFFFF 00000000 01 = FFFFFFFF 11 +21 01E FFFFFFFF 00000000 10 = FFFFFFFF 11 +21 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +21 020 00000000 00000001 00 = 00000002 00 +21 021 00000000 00000001 01 = 00000002 00 +21 022 00000000 00000001 10 = 00000002 00 +21 023 00000000 00000001 11 = 00000002 00 +21 024 00000001 00000001 00 = 00000003 00 +21 025 00000001 00000001 01 = 00000003 00 +21 026 00000001 00000001 10 = 00000003 00 +21 027 00000001 00000001 11 = 00000003 00 +21 028 00000002 00000001 00 = 00000002 11 +21 029 00000002 00000001 01 = 00000002 11 +21 02A 00000002 00000001 10 = 00000002 11 +21 02B 00000002 00000001 11 = 00000002 11 +21 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 11 +21 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 11 +21 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 11 +21 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 +21 030 80000000 00000001 00 = 80000002 00 +21 031 80000000 00000001 01 = 80000002 00 +21 032 80000000 00000001 10 = 80000002 00 +21 033 80000000 00000001 11 = 80000002 00 +21 034 80000001 00000001 00 = 80000003 00 +21 035 80000001 00000001 01 = 80000003 00 +21 036 80000001 00000001 10 = 80000003 00 +21 037 80000001 00000001 11 = 80000003 00 +21 038 FFFFFFFE 00000001 00 = FFFFFFFE 11 +21 039 FFFFFFFE 00000001 01 = FFFFFFFE 11 +21 03A FFFFFFFE 00000001 10 = FFFFFFFE 11 +21 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 +21 03C FFFFFFFF 00000001 00 = FFFFFFFF 11 +21 03D FFFFFFFF 00000001 01 = FFFFFFFF 11 +21 03E FFFFFFFF 00000001 10 = FFFFFFFF 11 +21 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +21 040 00000000 00000002 00 = 00000004 00 +21 041 00000000 00000002 01 = 00000004 00 +21 042 00000000 00000002 10 = 00000004 00 +21 043 00000000 00000002 11 = 00000004 00 +21 044 00000001 00000002 00 = 00000005 00 +21 045 00000001 00000002 01 = 00000005 00 +21 046 00000001 00000002 10 = 00000005 00 +21 047 00000001 00000002 11 = 00000005 00 +21 048 00000002 00000002 00 = 00000006 00 +21 049 00000002 00000002 01 = 00000006 00 +21 04A 00000002 00000002 10 = 00000006 00 +21 04B 00000002 00000002 11 = 00000006 00 +21 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 11 +21 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 11 +21 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 11 +21 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 +21 050 80000000 00000002 00 = 80000004 00 +21 051 80000000 00000002 01 = 80000004 00 +21 052 80000000 00000002 10 = 80000004 00 +21 053 80000000 00000002 11 = 80000004 00 +21 054 80000001 00000002 00 = 80000005 00 +21 055 80000001 00000002 01 = 80000005 00 +21 056 80000001 00000002 10 = 80000005 00 +21 057 80000001 00000002 11 = 80000005 00 +21 058 FFFFFFFE 00000002 00 = FFFFFFFE 11 +21 059 FFFFFFFE 00000002 01 = FFFFFFFE 11 +21 05A FFFFFFFE 00000002 10 = FFFFFFFE 11 +21 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +21 05C FFFFFFFF 00000002 00 = FFFFFFFF 11 +21 05D FFFFFFFF 00000002 01 = FFFFFFFF 11 +21 05E FFFFFFFF 00000002 10 = FFFFFFFF 11 +21 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +21 060 00000000 7FFFFFFF 00 = 80000000 00 +21 061 00000000 7FFFFFFF 01 = 80000000 00 +21 062 00000000 7FFFFFFF 10 = 80000000 00 +21 063 00000000 7FFFFFFF 11 = 80000000 00 +21 064 00000001 7FFFFFFF 00 = 80000001 00 +21 065 00000001 7FFFFFFF 01 = 80000001 00 +21 066 00000001 7FFFFFFF 10 = 80000001 00 +21 067 00000001 7FFFFFFF 11 = 80000001 00 +21 068 00000002 7FFFFFFF 00 = 80000002 00 +21 069 00000002 7FFFFFFF 01 = 80000002 00 +21 06A 00000002 7FFFFFFF 10 = 80000002 00 +21 06B 00000002 7FFFFFFF 11 = 80000002 00 +21 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +21 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +21 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +21 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +21 070 80000000 7FFFFFFF 00 = 80000000 11 +21 071 80000000 7FFFFFFF 01 = 80000000 11 +21 072 80000000 7FFFFFFF 10 = 80000000 11 +21 073 80000000 7FFFFFFF 11 = 80000000 11 +21 074 80000001 7FFFFFFF 00 = 80000001 11 +21 075 80000001 7FFFFFFF 01 = 80000001 11 +21 076 80000001 7FFFFFFF 10 = 80000001 11 +21 077 80000001 7FFFFFFF 11 = 80000001 11 +21 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 11 +21 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 11 +21 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 11 +21 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +21 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 11 +21 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 11 +21 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 11 +21 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +21 080 00000000 80000000 00 = 00000001 00 +21 081 00000000 80000000 01 = 00000001 00 +21 082 00000000 80000000 10 = 00000001 00 +21 083 00000000 80000000 11 = 00000001 00 +21 084 00000001 80000000 00 = 00000001 11 +21 085 00000001 80000000 01 = 00000001 11 +21 086 00000001 80000000 10 = 00000001 11 +21 087 00000001 80000000 11 = 00000001 11 +21 088 00000002 80000000 00 = 00000003 00 +21 089 00000002 80000000 01 = 00000003 00 +21 08A 00000002 80000000 10 = 00000003 00 +21 08B 00000002 80000000 11 = 00000003 00 +21 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 11 +21 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 11 +21 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 11 +21 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 +21 090 80000000 80000000 00 = 80000001 00 +21 091 80000000 80000000 01 = 80000001 00 +21 092 80000000 80000000 10 = 80000001 00 +21 093 80000000 80000000 11 = 80000001 00 +21 094 80000001 80000000 00 = 80000001 11 +21 095 80000001 80000000 01 = 80000001 11 +21 096 80000001 80000000 10 = 80000001 11 +21 097 80000001 80000000 11 = 80000001 11 +21 098 FFFFFFFE 80000000 00 = FFFFFFFF 00 +21 099 FFFFFFFE 80000000 01 = FFFFFFFF 00 +21 09A FFFFFFFE 80000000 10 = FFFFFFFF 00 +21 09B FFFFFFFE 80000000 11 = FFFFFFFF 00 +21 09C FFFFFFFF 80000000 00 = FFFFFFFF 11 +21 09D FFFFFFFF 80000000 01 = FFFFFFFF 11 +21 09E FFFFFFFF 80000000 10 = FFFFFFFF 11 +21 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +21 0A0 00000000 80000001 00 = 00000002 00 +21 0A1 00000000 80000001 01 = 00000002 00 +21 0A2 00000000 80000001 10 = 00000002 00 +21 0A3 00000000 80000001 11 = 00000002 00 +21 0A4 00000001 80000001 00 = 00000003 00 +21 0A5 00000001 80000001 01 = 00000003 00 +21 0A6 00000001 80000001 10 = 00000003 00 +21 0A7 00000001 80000001 11 = 00000003 00 +21 0A8 00000002 80000001 00 = 00000002 11 +21 0A9 00000002 80000001 01 = 00000002 11 +21 0AA 00000002 80000001 10 = 00000002 11 +21 0AB 00000002 80000001 11 = 00000002 11 +21 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 11 +21 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 11 +21 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 11 +21 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 +21 0B0 80000000 80000001 00 = 80000002 00 +21 0B1 80000000 80000001 01 = 80000002 00 +21 0B2 80000000 80000001 10 = 80000002 00 +21 0B3 80000000 80000001 11 = 80000002 00 +21 0B4 80000001 80000001 00 = 80000003 00 +21 0B5 80000001 80000001 01 = 80000003 00 +21 0B6 80000001 80000001 10 = 80000003 00 +21 0B7 80000001 80000001 11 = 80000003 00 +21 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 11 +21 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 11 +21 0BA FFFFFFFE 80000001 10 = FFFFFFFE 11 +21 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 +21 0BC FFFFFFFF 80000001 00 = FFFFFFFF 11 +21 0BD FFFFFFFF 80000001 01 = FFFFFFFF 11 +21 0BE FFFFFFFF 80000001 10 = FFFFFFFF 11 +21 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +21 0C0 00000000 FFFFFFFE 00 = 40000000 00 +21 0C1 00000000 FFFFFFFE 01 = 40000000 00 +21 0C2 00000000 FFFFFFFE 10 = 40000000 00 +21 0C3 00000000 FFFFFFFE 11 = 40000000 00 +21 0C4 00000001 FFFFFFFE 00 = 40000001 00 +21 0C5 00000001 FFFFFFFE 01 = 40000001 00 +21 0C6 00000001 FFFFFFFE 10 = 40000001 00 +21 0C7 00000001 FFFFFFFE 11 = 40000001 00 +21 0C8 00000002 FFFFFFFE 00 = 40000002 00 +21 0C9 00000002 FFFFFFFE 01 = 40000002 00 +21 0CA 00000002 FFFFFFFE 10 = 40000002 00 +21 0CB 00000002 FFFFFFFE 11 = 40000002 00 +21 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 11 +21 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 11 +21 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 11 +21 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +21 0D0 80000000 FFFFFFFE 00 = C0000000 00 +21 0D1 80000000 FFFFFFFE 01 = C0000000 00 +21 0D2 80000000 FFFFFFFE 10 = C0000000 00 +21 0D3 80000000 FFFFFFFE 11 = C0000000 00 +21 0D4 80000001 FFFFFFFE 00 = C0000001 00 +21 0D5 80000001 FFFFFFFE 01 = C0000001 00 +21 0D6 80000001 FFFFFFFE 10 = C0000001 00 +21 0D7 80000001 FFFFFFFE 11 = C0000001 00 +21 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 11 +21 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 11 +21 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 11 +21 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +21 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 11 +21 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 11 +21 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 11 +21 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +21 0E0 00000000 FFFFFFFF 00 = 80000000 00 +21 0E1 00000000 FFFFFFFF 01 = 80000000 00 +21 0E2 00000000 FFFFFFFF 10 = 80000000 00 +21 0E3 00000000 FFFFFFFF 11 = 80000000 00 +21 0E4 00000001 FFFFFFFF 00 = 80000001 00 +21 0E5 00000001 FFFFFFFF 01 = 80000001 00 +21 0E6 00000001 FFFFFFFF 10 = 80000001 00 +21 0E7 00000001 FFFFFFFF 11 = 80000001 00 +21 0E8 00000002 FFFFFFFF 00 = 80000002 00 +21 0E9 00000002 FFFFFFFF 01 = 80000002 00 +21 0EA 00000002 FFFFFFFF 10 = 80000002 00 +21 0EB 00000002 FFFFFFFF 11 = 80000002 00 +21 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +21 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +21 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +21 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +21 0F0 80000000 FFFFFFFF 00 = 80000000 11 +21 0F1 80000000 FFFFFFFF 01 = 80000000 11 +21 0F2 80000000 FFFFFFFF 10 = 80000000 11 +21 0F3 80000000 FFFFFFFF 11 = 80000000 11 +21 0F4 80000001 FFFFFFFF 00 = 80000001 11 +21 0F5 80000001 FFFFFFFF 01 = 80000001 11 +21 0F6 80000001 FFFFFFFF 10 = 80000001 11 +21 0F7 80000001 FFFFFFFF 11 = 80000001 11 +21 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 11 +21 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 11 +21 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 11 +21 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +21 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 11 +21 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 11 +21 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 11 +21 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +bitc ---D---- ---S---- CZ = ---Q---- CZ +22 000 00000000 00000000 00 = 00000000 00 +22 001 00000000 00000000 01 = 00000000 00 +22 002 00000000 00000000 10 = 00000001 00 +22 003 00000000 00000000 11 = 00000001 00 +22 004 00000001 00000000 00 = 00000000 11 +22 005 00000001 00000000 01 = 00000000 11 +22 006 00000001 00000000 10 = 00000001 11 +22 007 00000001 00000000 11 = 00000001 11 +22 008 00000002 00000000 00 = 00000002 00 +22 009 00000002 00000000 01 = 00000002 00 +22 00A 00000002 00000000 10 = 00000003 00 +22 00B 00000002 00000000 11 = 00000003 00 +22 00C 7FFFFFFF 00000000 00 = 7FFFFFFE 11 +22 00D 7FFFFFFF 00000000 01 = 7FFFFFFE 11 +22 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 11 +22 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +22 010 80000000 00000000 00 = 80000000 00 +22 011 80000000 00000000 01 = 80000000 00 +22 012 80000000 00000000 10 = 80000001 00 +22 013 80000000 00000000 11 = 80000001 00 +22 014 80000001 00000000 00 = 80000000 11 +22 015 80000001 00000000 01 = 80000000 11 +22 016 80000001 00000000 10 = 80000001 11 +22 017 80000001 00000000 11 = 80000001 11 +22 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +22 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +22 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 +22 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 +22 01C FFFFFFFF 00000000 00 = FFFFFFFE 11 +22 01D FFFFFFFF 00000000 01 = FFFFFFFE 11 +22 01E FFFFFFFF 00000000 10 = FFFFFFFF 11 +22 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +22 020 00000000 00000001 00 = 00000000 00 +22 021 00000000 00000001 01 = 00000000 00 +22 022 00000000 00000001 10 = 00000002 00 +22 023 00000000 00000001 11 = 00000002 00 +22 024 00000001 00000001 00 = 00000001 00 +22 025 00000001 00000001 01 = 00000001 00 +22 026 00000001 00000001 10 = 00000003 00 +22 027 00000001 00000001 11 = 00000003 00 +22 028 00000002 00000001 00 = 00000000 11 +22 029 00000002 00000001 01 = 00000000 11 +22 02A 00000002 00000001 10 = 00000002 11 +22 02B 00000002 00000001 11 = 00000002 11 +22 02C 7FFFFFFF 00000001 00 = 7FFFFFFD 11 +22 02D 7FFFFFFF 00000001 01 = 7FFFFFFD 11 +22 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 11 +22 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 +22 030 80000000 00000001 00 = 80000000 00 +22 031 80000000 00000001 01 = 80000000 00 +22 032 80000000 00000001 10 = 80000002 00 +22 033 80000000 00000001 11 = 80000002 00 +22 034 80000001 00000001 00 = 80000001 00 +22 035 80000001 00000001 01 = 80000001 00 +22 036 80000001 00000001 10 = 80000003 00 +22 037 80000001 00000001 11 = 80000003 00 +22 038 FFFFFFFE 00000001 00 = FFFFFFFC 11 +22 039 FFFFFFFE 00000001 01 = FFFFFFFC 11 +22 03A FFFFFFFE 00000001 10 = FFFFFFFE 11 +22 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 +22 03C FFFFFFFF 00000001 00 = FFFFFFFD 11 +22 03D FFFFFFFF 00000001 01 = FFFFFFFD 11 +22 03E FFFFFFFF 00000001 10 = FFFFFFFF 11 +22 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +22 040 00000000 00000002 00 = 00000000 00 +22 041 00000000 00000002 01 = 00000000 00 +22 042 00000000 00000002 10 = 00000004 00 +22 043 00000000 00000002 11 = 00000004 00 +22 044 00000001 00000002 00 = 00000001 00 +22 045 00000001 00000002 01 = 00000001 00 +22 046 00000001 00000002 10 = 00000005 00 +22 047 00000001 00000002 11 = 00000005 00 +22 048 00000002 00000002 00 = 00000002 00 +22 049 00000002 00000002 01 = 00000002 00 +22 04A 00000002 00000002 10 = 00000006 00 +22 04B 00000002 00000002 11 = 00000006 00 +22 04C 7FFFFFFF 00000002 00 = 7FFFFFFB 11 +22 04D 7FFFFFFF 00000002 01 = 7FFFFFFB 11 +22 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 11 +22 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 +22 050 80000000 00000002 00 = 80000000 00 +22 051 80000000 00000002 01 = 80000000 00 +22 052 80000000 00000002 10 = 80000004 00 +22 053 80000000 00000002 11 = 80000004 00 +22 054 80000001 00000002 00 = 80000001 00 +22 055 80000001 00000002 01 = 80000001 00 +22 056 80000001 00000002 10 = 80000005 00 +22 057 80000001 00000002 11 = 80000005 00 +22 058 FFFFFFFE 00000002 00 = FFFFFFFA 11 +22 059 FFFFFFFE 00000002 01 = FFFFFFFA 11 +22 05A FFFFFFFE 00000002 10 = FFFFFFFE 11 +22 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +22 05C FFFFFFFF 00000002 00 = FFFFFFFB 11 +22 05D FFFFFFFF 00000002 01 = FFFFFFFB 11 +22 05E FFFFFFFF 00000002 10 = FFFFFFFF 11 +22 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +22 060 00000000 7FFFFFFF 00 = 00000000 00 +22 061 00000000 7FFFFFFF 01 = 00000000 00 +22 062 00000000 7FFFFFFF 10 = 80000000 00 +22 063 00000000 7FFFFFFF 11 = 80000000 00 +22 064 00000001 7FFFFFFF 00 = 00000001 00 +22 065 00000001 7FFFFFFF 01 = 00000001 00 +22 066 00000001 7FFFFFFF 10 = 80000001 00 +22 067 00000001 7FFFFFFF 11 = 80000001 00 +22 068 00000002 7FFFFFFF 00 = 00000002 00 +22 069 00000002 7FFFFFFF 01 = 00000002 00 +22 06A 00000002 7FFFFFFF 10 = 80000002 00 +22 06B 00000002 7FFFFFFF 11 = 80000002 00 +22 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +22 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +22 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +22 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +22 070 80000000 7FFFFFFF 00 = 00000000 11 +22 071 80000000 7FFFFFFF 01 = 00000000 11 +22 072 80000000 7FFFFFFF 10 = 80000000 11 +22 073 80000000 7FFFFFFF 11 = 80000000 11 +22 074 80000001 7FFFFFFF 00 = 00000001 11 +22 075 80000001 7FFFFFFF 01 = 00000001 11 +22 076 80000001 7FFFFFFF 10 = 80000001 11 +22 077 80000001 7FFFFFFF 11 = 80000001 11 +22 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 11 +22 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 11 +22 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 11 +22 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +22 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 11 +22 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 +22 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 11 +22 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +22 080 00000000 80000000 00 = 00000000 00 +22 081 00000000 80000000 01 = 00000000 00 +22 082 00000000 80000000 10 = 00000001 00 +22 083 00000000 80000000 11 = 00000001 00 +22 084 00000001 80000000 00 = 00000000 11 +22 085 00000001 80000000 01 = 00000000 11 +22 086 00000001 80000000 10 = 00000001 11 +22 087 00000001 80000000 11 = 00000001 11 +22 088 00000002 80000000 00 = 00000002 00 +22 089 00000002 80000000 01 = 00000002 00 +22 08A 00000002 80000000 10 = 00000003 00 +22 08B 00000002 80000000 11 = 00000003 00 +22 08C 7FFFFFFF 80000000 00 = 7FFFFFFE 11 +22 08D 7FFFFFFF 80000000 01 = 7FFFFFFE 11 +22 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 11 +22 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 +22 090 80000000 80000000 00 = 80000000 00 +22 091 80000000 80000000 01 = 80000000 00 +22 092 80000000 80000000 10 = 80000001 00 +22 093 80000000 80000000 11 = 80000001 00 +22 094 80000001 80000000 00 = 80000000 11 +22 095 80000001 80000000 01 = 80000000 11 +22 096 80000001 80000000 10 = 80000001 11 +22 097 80000001 80000000 11 = 80000001 11 +22 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +22 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +22 09A FFFFFFFE 80000000 10 = FFFFFFFF 00 +22 09B FFFFFFFE 80000000 11 = FFFFFFFF 00 +22 09C FFFFFFFF 80000000 00 = FFFFFFFE 11 +22 09D FFFFFFFF 80000000 01 = FFFFFFFE 11 +22 09E FFFFFFFF 80000000 10 = FFFFFFFF 11 +22 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +22 0A0 00000000 80000001 00 = 00000000 00 +22 0A1 00000000 80000001 01 = 00000000 00 +22 0A2 00000000 80000001 10 = 00000002 00 +22 0A3 00000000 80000001 11 = 00000002 00 +22 0A4 00000001 80000001 00 = 00000001 00 +22 0A5 00000001 80000001 01 = 00000001 00 +22 0A6 00000001 80000001 10 = 00000003 00 +22 0A7 00000001 80000001 11 = 00000003 00 +22 0A8 00000002 80000001 00 = 00000000 11 +22 0A9 00000002 80000001 01 = 00000000 11 +22 0AA 00000002 80000001 10 = 00000002 11 +22 0AB 00000002 80000001 11 = 00000002 11 +22 0AC 7FFFFFFF 80000001 00 = 7FFFFFFD 11 +22 0AD 7FFFFFFF 80000001 01 = 7FFFFFFD 11 +22 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 11 +22 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 +22 0B0 80000000 80000001 00 = 80000000 00 +22 0B1 80000000 80000001 01 = 80000000 00 +22 0B2 80000000 80000001 10 = 80000002 00 +22 0B3 80000000 80000001 11 = 80000002 00 +22 0B4 80000001 80000001 00 = 80000001 00 +22 0B5 80000001 80000001 01 = 80000001 00 +22 0B6 80000001 80000001 10 = 80000003 00 +22 0B7 80000001 80000001 11 = 80000003 00 +22 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 11 +22 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 11 +22 0BA FFFFFFFE 80000001 10 = FFFFFFFE 11 +22 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 +22 0BC FFFFFFFF 80000001 00 = FFFFFFFD 11 +22 0BD FFFFFFFF 80000001 01 = FFFFFFFD 11 +22 0BE FFFFFFFF 80000001 10 = FFFFFFFF 11 +22 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +22 0C0 00000000 FFFFFFFE 00 = 00000000 00 +22 0C1 00000000 FFFFFFFE 01 = 00000000 00 +22 0C2 00000000 FFFFFFFE 10 = 40000000 00 +22 0C3 00000000 FFFFFFFE 11 = 40000000 00 +22 0C4 00000001 FFFFFFFE 00 = 00000001 00 +22 0C5 00000001 FFFFFFFE 01 = 00000001 00 +22 0C6 00000001 FFFFFFFE 10 = 40000001 00 +22 0C7 00000001 FFFFFFFE 11 = 40000001 00 +22 0C8 00000002 FFFFFFFE 00 = 00000002 00 +22 0C9 00000002 FFFFFFFE 01 = 00000002 00 +22 0CA 00000002 FFFFFFFE 10 = 40000002 00 +22 0CB 00000002 FFFFFFFE 11 = 40000002 00 +22 0CC 7FFFFFFF FFFFFFFE 00 = 3FFFFFFF 11 +22 0CD 7FFFFFFF FFFFFFFE 01 = 3FFFFFFF 11 +22 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 11 +22 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +22 0D0 80000000 FFFFFFFE 00 = 80000000 00 +22 0D1 80000000 FFFFFFFE 01 = 80000000 00 +22 0D2 80000000 FFFFFFFE 10 = C0000000 00 +22 0D3 80000000 FFFFFFFE 11 = C0000000 00 +22 0D4 80000001 FFFFFFFE 00 = 80000001 00 +22 0D5 80000001 FFFFFFFE 01 = 80000001 00 +22 0D6 80000001 FFFFFFFE 10 = C0000001 00 +22 0D7 80000001 FFFFFFFE 11 = C0000001 00 +22 0D8 FFFFFFFE FFFFFFFE 00 = BFFFFFFE 11 +22 0D9 FFFFFFFE FFFFFFFE 01 = BFFFFFFE 11 +22 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 11 +22 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +22 0DC FFFFFFFF FFFFFFFE 00 = BFFFFFFF 11 +22 0DD FFFFFFFF FFFFFFFE 01 = BFFFFFFF 11 +22 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 11 +22 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +22 0E0 00000000 FFFFFFFF 00 = 00000000 00 +22 0E1 00000000 FFFFFFFF 01 = 00000000 00 +22 0E2 00000000 FFFFFFFF 10 = 80000000 00 +22 0E3 00000000 FFFFFFFF 11 = 80000000 00 +22 0E4 00000001 FFFFFFFF 00 = 00000001 00 +22 0E5 00000001 FFFFFFFF 01 = 00000001 00 +22 0E6 00000001 FFFFFFFF 10 = 80000001 00 +22 0E7 00000001 FFFFFFFF 11 = 80000001 00 +22 0E8 00000002 FFFFFFFF 00 = 00000002 00 +22 0E9 00000002 FFFFFFFF 01 = 00000002 00 +22 0EA 00000002 FFFFFFFF 10 = 80000002 00 +22 0EB 00000002 FFFFFFFF 11 = 80000002 00 +22 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +22 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +22 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +22 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +22 0F0 80000000 FFFFFFFF 00 = 00000000 11 +22 0F1 80000000 FFFFFFFF 01 = 00000000 11 +22 0F2 80000000 FFFFFFFF 10 = 80000000 11 +22 0F3 80000000 FFFFFFFF 11 = 80000000 11 +22 0F4 80000001 FFFFFFFF 00 = 00000001 11 +22 0F5 80000001 FFFFFFFF 01 = 00000001 11 +22 0F6 80000001 FFFFFFFF 10 = 80000001 11 +22 0F7 80000001 FFFFFFFF 11 = 80000001 11 +22 0F8 FFFFFFFE FFFFFFFF 00 = 7FFFFFFE 11 +22 0F9 FFFFFFFE FFFFFFFF 01 = 7FFFFFFE 11 +22 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 11 +22 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +22 0FC FFFFFFFF FFFFFFFF 00 = 7FFFFFFF 11 +22 0FD FFFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 +22 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 11 +22 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +bitnc ---D---- ---S---- CZ = ---Q---- CZ +23 000 00000000 00000000 00 = 00000001 00 +23 001 00000000 00000000 01 = 00000001 00 +23 002 00000000 00000000 10 = 00000000 00 +23 003 00000000 00000000 11 = 00000000 00 +23 004 00000001 00000000 00 = 00000001 11 +23 005 00000001 00000000 01 = 00000001 11 +23 006 00000001 00000000 10 = 00000000 11 +23 007 00000001 00000000 11 = 00000000 11 +23 008 00000002 00000000 00 = 00000003 00 +23 009 00000002 00000000 01 = 00000003 00 +23 00A 00000002 00000000 10 = 00000002 00 +23 00B 00000002 00000000 11 = 00000002 00 +23 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 11 +23 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 11 +23 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 11 +23 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 11 +23 010 80000000 00000000 00 = 80000001 00 +23 011 80000000 00000000 01 = 80000001 00 +23 012 80000000 00000000 10 = 80000000 00 +23 013 80000000 00000000 11 = 80000000 00 +23 014 80000001 00000000 00 = 80000001 11 +23 015 80000001 00000000 01 = 80000001 11 +23 016 80000001 00000000 10 = 80000000 11 +23 017 80000001 00000000 11 = 80000000 11 +23 018 FFFFFFFE 00000000 00 = FFFFFFFF 00 +23 019 FFFFFFFE 00000000 01 = FFFFFFFF 00 +23 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +23 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +23 01C FFFFFFFF 00000000 00 = FFFFFFFF 11 +23 01D FFFFFFFF 00000000 01 = FFFFFFFF 11 +23 01E FFFFFFFF 00000000 10 = FFFFFFFE 11 +23 01F FFFFFFFF 00000000 11 = FFFFFFFE 11 +23 020 00000000 00000001 00 = 00000002 00 +23 021 00000000 00000001 01 = 00000002 00 +23 022 00000000 00000001 10 = 00000000 00 +23 023 00000000 00000001 11 = 00000000 00 +23 024 00000001 00000001 00 = 00000003 00 +23 025 00000001 00000001 01 = 00000003 00 +23 026 00000001 00000001 10 = 00000001 00 +23 027 00000001 00000001 11 = 00000001 00 +23 028 00000002 00000001 00 = 00000002 11 +23 029 00000002 00000001 01 = 00000002 11 +23 02A 00000002 00000001 10 = 00000000 11 +23 02B 00000002 00000001 11 = 00000000 11 +23 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 11 +23 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 11 +23 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 11 +23 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 11 +23 030 80000000 00000001 00 = 80000002 00 +23 031 80000000 00000001 01 = 80000002 00 +23 032 80000000 00000001 10 = 80000000 00 +23 033 80000000 00000001 11 = 80000000 00 +23 034 80000001 00000001 00 = 80000003 00 +23 035 80000001 00000001 01 = 80000003 00 +23 036 80000001 00000001 10 = 80000001 00 +23 037 80000001 00000001 11 = 80000001 00 +23 038 FFFFFFFE 00000001 00 = FFFFFFFE 11 +23 039 FFFFFFFE 00000001 01 = FFFFFFFE 11 +23 03A FFFFFFFE 00000001 10 = FFFFFFFC 11 +23 03B FFFFFFFE 00000001 11 = FFFFFFFC 11 +23 03C FFFFFFFF 00000001 00 = FFFFFFFF 11 +23 03D FFFFFFFF 00000001 01 = FFFFFFFF 11 +23 03E FFFFFFFF 00000001 10 = FFFFFFFD 11 +23 03F FFFFFFFF 00000001 11 = FFFFFFFD 11 +23 040 00000000 00000002 00 = 00000004 00 +23 041 00000000 00000002 01 = 00000004 00 +23 042 00000000 00000002 10 = 00000000 00 +23 043 00000000 00000002 11 = 00000000 00 +23 044 00000001 00000002 00 = 00000005 00 +23 045 00000001 00000002 01 = 00000005 00 +23 046 00000001 00000002 10 = 00000001 00 +23 047 00000001 00000002 11 = 00000001 00 +23 048 00000002 00000002 00 = 00000006 00 +23 049 00000002 00000002 01 = 00000006 00 +23 04A 00000002 00000002 10 = 00000002 00 +23 04B 00000002 00000002 11 = 00000002 00 +23 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 11 +23 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 11 +23 04E 7FFFFFFF 00000002 10 = 7FFFFFFB 11 +23 04F 7FFFFFFF 00000002 11 = 7FFFFFFB 11 +23 050 80000000 00000002 00 = 80000004 00 +23 051 80000000 00000002 01 = 80000004 00 +23 052 80000000 00000002 10 = 80000000 00 +23 053 80000000 00000002 11 = 80000000 00 +23 054 80000001 00000002 00 = 80000005 00 +23 055 80000001 00000002 01 = 80000005 00 +23 056 80000001 00000002 10 = 80000001 00 +23 057 80000001 00000002 11 = 80000001 00 +23 058 FFFFFFFE 00000002 00 = FFFFFFFE 11 +23 059 FFFFFFFE 00000002 01 = FFFFFFFE 11 +23 05A FFFFFFFE 00000002 10 = FFFFFFFA 11 +23 05B FFFFFFFE 00000002 11 = FFFFFFFA 11 +23 05C FFFFFFFF 00000002 00 = FFFFFFFF 11 +23 05D FFFFFFFF 00000002 01 = FFFFFFFF 11 +23 05E FFFFFFFF 00000002 10 = FFFFFFFB 11 +23 05F FFFFFFFF 00000002 11 = FFFFFFFB 11 +23 060 00000000 7FFFFFFF 00 = 80000000 00 +23 061 00000000 7FFFFFFF 01 = 80000000 00 +23 062 00000000 7FFFFFFF 10 = 00000000 00 +23 063 00000000 7FFFFFFF 11 = 00000000 00 +23 064 00000001 7FFFFFFF 00 = 80000001 00 +23 065 00000001 7FFFFFFF 01 = 80000001 00 +23 066 00000001 7FFFFFFF 10 = 00000001 00 +23 067 00000001 7FFFFFFF 11 = 00000001 00 +23 068 00000002 7FFFFFFF 00 = 80000002 00 +23 069 00000002 7FFFFFFF 01 = 80000002 00 +23 06A 00000002 7FFFFFFF 10 = 00000002 00 +23 06B 00000002 7FFFFFFF 11 = 00000002 00 +23 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +23 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +23 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +23 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +23 070 80000000 7FFFFFFF 00 = 80000000 11 +23 071 80000000 7FFFFFFF 01 = 80000000 11 +23 072 80000000 7FFFFFFF 10 = 00000000 11 +23 073 80000000 7FFFFFFF 11 = 00000000 11 +23 074 80000001 7FFFFFFF 00 = 80000001 11 +23 075 80000001 7FFFFFFF 01 = 80000001 11 +23 076 80000001 7FFFFFFF 10 = 00000001 11 +23 077 80000001 7FFFFFFF 11 = 00000001 11 +23 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 11 +23 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 11 +23 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 11 +23 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 11 +23 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 11 +23 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 11 +23 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 11 +23 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +23 080 00000000 80000000 00 = 00000001 00 +23 081 00000000 80000000 01 = 00000001 00 +23 082 00000000 80000000 10 = 00000000 00 +23 083 00000000 80000000 11 = 00000000 00 +23 084 00000001 80000000 00 = 00000001 11 +23 085 00000001 80000000 01 = 00000001 11 +23 086 00000001 80000000 10 = 00000000 11 +23 087 00000001 80000000 11 = 00000000 11 +23 088 00000002 80000000 00 = 00000003 00 +23 089 00000002 80000000 01 = 00000003 00 +23 08A 00000002 80000000 10 = 00000002 00 +23 08B 00000002 80000000 11 = 00000002 00 +23 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 11 +23 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 11 +23 08E 7FFFFFFF 80000000 10 = 7FFFFFFE 11 +23 08F 7FFFFFFF 80000000 11 = 7FFFFFFE 11 +23 090 80000000 80000000 00 = 80000001 00 +23 091 80000000 80000000 01 = 80000001 00 +23 092 80000000 80000000 10 = 80000000 00 +23 093 80000000 80000000 11 = 80000000 00 +23 094 80000001 80000000 00 = 80000001 11 +23 095 80000001 80000000 01 = 80000001 11 +23 096 80000001 80000000 10 = 80000000 11 +23 097 80000001 80000000 11 = 80000000 11 +23 098 FFFFFFFE 80000000 00 = FFFFFFFF 00 +23 099 FFFFFFFE 80000000 01 = FFFFFFFF 00 +23 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +23 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +23 09C FFFFFFFF 80000000 00 = FFFFFFFF 11 +23 09D FFFFFFFF 80000000 01 = FFFFFFFF 11 +23 09E FFFFFFFF 80000000 10 = FFFFFFFE 11 +23 09F FFFFFFFF 80000000 11 = FFFFFFFE 11 +23 0A0 00000000 80000001 00 = 00000002 00 +23 0A1 00000000 80000001 01 = 00000002 00 +23 0A2 00000000 80000001 10 = 00000000 00 +23 0A3 00000000 80000001 11 = 00000000 00 +23 0A4 00000001 80000001 00 = 00000003 00 +23 0A5 00000001 80000001 01 = 00000003 00 +23 0A6 00000001 80000001 10 = 00000001 00 +23 0A7 00000001 80000001 11 = 00000001 00 +23 0A8 00000002 80000001 00 = 00000002 11 +23 0A9 00000002 80000001 01 = 00000002 11 +23 0AA 00000002 80000001 10 = 00000000 11 +23 0AB 00000002 80000001 11 = 00000000 11 +23 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 11 +23 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 11 +23 0AE 7FFFFFFF 80000001 10 = 7FFFFFFD 11 +23 0AF 7FFFFFFF 80000001 11 = 7FFFFFFD 11 +23 0B0 80000000 80000001 00 = 80000002 00 +23 0B1 80000000 80000001 01 = 80000002 00 +23 0B2 80000000 80000001 10 = 80000000 00 +23 0B3 80000000 80000001 11 = 80000000 00 +23 0B4 80000001 80000001 00 = 80000003 00 +23 0B5 80000001 80000001 01 = 80000003 00 +23 0B6 80000001 80000001 10 = 80000001 00 +23 0B7 80000001 80000001 11 = 80000001 00 +23 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 11 +23 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 11 +23 0BA FFFFFFFE 80000001 10 = FFFFFFFC 11 +23 0BB FFFFFFFE 80000001 11 = FFFFFFFC 11 +23 0BC FFFFFFFF 80000001 00 = FFFFFFFF 11 +23 0BD FFFFFFFF 80000001 01 = FFFFFFFF 11 +23 0BE FFFFFFFF 80000001 10 = FFFFFFFD 11 +23 0BF FFFFFFFF 80000001 11 = FFFFFFFD 11 +23 0C0 00000000 FFFFFFFE 00 = 40000000 00 +23 0C1 00000000 FFFFFFFE 01 = 40000000 00 +23 0C2 00000000 FFFFFFFE 10 = 00000000 00 +23 0C3 00000000 FFFFFFFE 11 = 00000000 00 +23 0C4 00000001 FFFFFFFE 00 = 40000001 00 +23 0C5 00000001 FFFFFFFE 01 = 40000001 00 +23 0C6 00000001 FFFFFFFE 10 = 00000001 00 +23 0C7 00000001 FFFFFFFE 11 = 00000001 00 +23 0C8 00000002 FFFFFFFE 00 = 40000002 00 +23 0C9 00000002 FFFFFFFE 01 = 40000002 00 +23 0CA 00000002 FFFFFFFE 10 = 00000002 00 +23 0CB 00000002 FFFFFFFE 11 = 00000002 00 +23 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 11 +23 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 11 +23 0CE 7FFFFFFF FFFFFFFE 10 = 3FFFFFFF 11 +23 0CF 7FFFFFFF FFFFFFFE 11 = 3FFFFFFF 11 +23 0D0 80000000 FFFFFFFE 00 = C0000000 00 +23 0D1 80000000 FFFFFFFE 01 = C0000000 00 +23 0D2 80000000 FFFFFFFE 10 = 80000000 00 +23 0D3 80000000 FFFFFFFE 11 = 80000000 00 +23 0D4 80000001 FFFFFFFE 00 = C0000001 00 +23 0D5 80000001 FFFFFFFE 01 = C0000001 00 +23 0D6 80000001 FFFFFFFE 10 = 80000001 00 +23 0D7 80000001 FFFFFFFE 11 = 80000001 00 +23 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 11 +23 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 11 +23 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFE 11 +23 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFE 11 +23 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 11 +23 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 11 +23 0DE FFFFFFFF FFFFFFFE 10 = BFFFFFFF 11 +23 0DF FFFFFFFF FFFFFFFE 11 = BFFFFFFF 11 +23 0E0 00000000 FFFFFFFF 00 = 80000000 00 +23 0E1 00000000 FFFFFFFF 01 = 80000000 00 +23 0E2 00000000 FFFFFFFF 10 = 00000000 00 +23 0E3 00000000 FFFFFFFF 11 = 00000000 00 +23 0E4 00000001 FFFFFFFF 00 = 80000001 00 +23 0E5 00000001 FFFFFFFF 01 = 80000001 00 +23 0E6 00000001 FFFFFFFF 10 = 00000001 00 +23 0E7 00000001 FFFFFFFF 11 = 00000001 00 +23 0E8 00000002 FFFFFFFF 00 = 80000002 00 +23 0E9 00000002 FFFFFFFF 01 = 80000002 00 +23 0EA 00000002 FFFFFFFF 10 = 00000002 00 +23 0EB 00000002 FFFFFFFF 11 = 00000002 00 +23 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +23 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +23 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +23 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +23 0F0 80000000 FFFFFFFF 00 = 80000000 11 +23 0F1 80000000 FFFFFFFF 01 = 80000000 11 +23 0F2 80000000 FFFFFFFF 10 = 00000000 11 +23 0F3 80000000 FFFFFFFF 11 = 00000000 11 +23 0F4 80000001 FFFFFFFF 00 = 80000001 11 +23 0F5 80000001 FFFFFFFF 01 = 80000001 11 +23 0F6 80000001 FFFFFFFF 10 = 00000001 11 +23 0F7 80000001 FFFFFFFF 11 = 00000001 11 +23 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 11 +23 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 11 +23 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFE 11 +23 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFE 11 +23 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 11 +23 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 11 +23 0FE FFFFFFFF FFFFFFFF 10 = 7FFFFFFF 11 +23 0FF FFFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +bitz ---D---- ---S---- CZ = ---Q---- CZ +24 000 00000000 00000000 00 = 00000000 00 +24 001 00000000 00000000 01 = 00000001 00 +24 002 00000000 00000000 10 = 00000000 00 +24 003 00000000 00000000 11 = 00000001 00 +24 004 00000001 00000000 00 = 00000000 11 +24 005 00000001 00000000 01 = 00000001 11 +24 006 00000001 00000000 10 = 00000000 11 +24 007 00000001 00000000 11 = 00000001 11 +24 008 00000002 00000000 00 = 00000002 00 +24 009 00000002 00000000 01 = 00000003 00 +24 00A 00000002 00000000 10 = 00000002 00 +24 00B 00000002 00000000 11 = 00000003 00 +24 00C 7FFFFFFF 00000000 00 = 7FFFFFFE 11 +24 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 11 +24 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 11 +24 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +24 010 80000000 00000000 00 = 80000000 00 +24 011 80000000 00000000 01 = 80000001 00 +24 012 80000000 00000000 10 = 80000000 00 +24 013 80000000 00000000 11 = 80000001 00 +24 014 80000001 00000000 00 = 80000000 11 +24 015 80000001 00000000 01 = 80000001 11 +24 016 80000001 00000000 10 = 80000000 11 +24 017 80000001 00000000 11 = 80000001 11 +24 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +24 019 FFFFFFFE 00000000 01 = FFFFFFFF 00 +24 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +24 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 +24 01C FFFFFFFF 00000000 00 = FFFFFFFE 11 +24 01D FFFFFFFF 00000000 01 = FFFFFFFF 11 +24 01E FFFFFFFF 00000000 10 = FFFFFFFE 11 +24 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +24 020 00000000 00000001 00 = 00000000 00 +24 021 00000000 00000001 01 = 00000002 00 +24 022 00000000 00000001 10 = 00000000 00 +24 023 00000000 00000001 11 = 00000002 00 +24 024 00000001 00000001 00 = 00000001 00 +24 025 00000001 00000001 01 = 00000003 00 +24 026 00000001 00000001 10 = 00000001 00 +24 027 00000001 00000001 11 = 00000003 00 +24 028 00000002 00000001 00 = 00000000 11 +24 029 00000002 00000001 01 = 00000002 11 +24 02A 00000002 00000001 10 = 00000000 11 +24 02B 00000002 00000001 11 = 00000002 11 +24 02C 7FFFFFFF 00000001 00 = 7FFFFFFD 11 +24 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 11 +24 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 11 +24 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 +24 030 80000000 00000001 00 = 80000000 00 +24 031 80000000 00000001 01 = 80000002 00 +24 032 80000000 00000001 10 = 80000000 00 +24 033 80000000 00000001 11 = 80000002 00 +24 034 80000001 00000001 00 = 80000001 00 +24 035 80000001 00000001 01 = 80000003 00 +24 036 80000001 00000001 10 = 80000001 00 +24 037 80000001 00000001 11 = 80000003 00 +24 038 FFFFFFFE 00000001 00 = FFFFFFFC 11 +24 039 FFFFFFFE 00000001 01 = FFFFFFFE 11 +24 03A FFFFFFFE 00000001 10 = FFFFFFFC 11 +24 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 +24 03C FFFFFFFF 00000001 00 = FFFFFFFD 11 +24 03D FFFFFFFF 00000001 01 = FFFFFFFF 11 +24 03E FFFFFFFF 00000001 10 = FFFFFFFD 11 +24 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +24 040 00000000 00000002 00 = 00000000 00 +24 041 00000000 00000002 01 = 00000004 00 +24 042 00000000 00000002 10 = 00000000 00 +24 043 00000000 00000002 11 = 00000004 00 +24 044 00000001 00000002 00 = 00000001 00 +24 045 00000001 00000002 01 = 00000005 00 +24 046 00000001 00000002 10 = 00000001 00 +24 047 00000001 00000002 11 = 00000005 00 +24 048 00000002 00000002 00 = 00000002 00 +24 049 00000002 00000002 01 = 00000006 00 +24 04A 00000002 00000002 10 = 00000002 00 +24 04B 00000002 00000002 11 = 00000006 00 +24 04C 7FFFFFFF 00000002 00 = 7FFFFFFB 11 +24 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 11 +24 04E 7FFFFFFF 00000002 10 = 7FFFFFFB 11 +24 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 +24 050 80000000 00000002 00 = 80000000 00 +24 051 80000000 00000002 01 = 80000004 00 +24 052 80000000 00000002 10 = 80000000 00 +24 053 80000000 00000002 11 = 80000004 00 +24 054 80000001 00000002 00 = 80000001 00 +24 055 80000001 00000002 01 = 80000005 00 +24 056 80000001 00000002 10 = 80000001 00 +24 057 80000001 00000002 11 = 80000005 00 +24 058 FFFFFFFE 00000002 00 = FFFFFFFA 11 +24 059 FFFFFFFE 00000002 01 = FFFFFFFE 11 +24 05A FFFFFFFE 00000002 10 = FFFFFFFA 11 +24 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +24 05C FFFFFFFF 00000002 00 = FFFFFFFB 11 +24 05D FFFFFFFF 00000002 01 = FFFFFFFF 11 +24 05E FFFFFFFF 00000002 10 = FFFFFFFB 11 +24 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +24 060 00000000 7FFFFFFF 00 = 00000000 00 +24 061 00000000 7FFFFFFF 01 = 80000000 00 +24 062 00000000 7FFFFFFF 10 = 00000000 00 +24 063 00000000 7FFFFFFF 11 = 80000000 00 +24 064 00000001 7FFFFFFF 00 = 00000001 00 +24 065 00000001 7FFFFFFF 01 = 80000001 00 +24 066 00000001 7FFFFFFF 10 = 00000001 00 +24 067 00000001 7FFFFFFF 11 = 80000001 00 +24 068 00000002 7FFFFFFF 00 = 00000002 00 +24 069 00000002 7FFFFFFF 01 = 80000002 00 +24 06A 00000002 7FFFFFFF 10 = 00000002 00 +24 06B 00000002 7FFFFFFF 11 = 80000002 00 +24 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +24 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +24 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +24 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +24 070 80000000 7FFFFFFF 00 = 00000000 11 +24 071 80000000 7FFFFFFF 01 = 80000000 11 +24 072 80000000 7FFFFFFF 10 = 00000000 11 +24 073 80000000 7FFFFFFF 11 = 80000000 11 +24 074 80000001 7FFFFFFF 00 = 00000001 11 +24 075 80000001 7FFFFFFF 01 = 80000001 11 +24 076 80000001 7FFFFFFF 10 = 00000001 11 +24 077 80000001 7FFFFFFF 11 = 80000001 11 +24 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 11 +24 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 11 +24 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 11 +24 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +24 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 11 +24 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 11 +24 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 11 +24 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +24 080 00000000 80000000 00 = 00000000 00 +24 081 00000000 80000000 01 = 00000001 00 +24 082 00000000 80000000 10 = 00000000 00 +24 083 00000000 80000000 11 = 00000001 00 +24 084 00000001 80000000 00 = 00000000 11 +24 085 00000001 80000000 01 = 00000001 11 +24 086 00000001 80000000 10 = 00000000 11 +24 087 00000001 80000000 11 = 00000001 11 +24 088 00000002 80000000 00 = 00000002 00 +24 089 00000002 80000000 01 = 00000003 00 +24 08A 00000002 80000000 10 = 00000002 00 +24 08B 00000002 80000000 11 = 00000003 00 +24 08C 7FFFFFFF 80000000 00 = 7FFFFFFE 11 +24 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 11 +24 08E 7FFFFFFF 80000000 10 = 7FFFFFFE 11 +24 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 +24 090 80000000 80000000 00 = 80000000 00 +24 091 80000000 80000000 01 = 80000001 00 +24 092 80000000 80000000 10 = 80000000 00 +24 093 80000000 80000000 11 = 80000001 00 +24 094 80000001 80000000 00 = 80000000 11 +24 095 80000001 80000000 01 = 80000001 11 +24 096 80000001 80000000 10 = 80000000 11 +24 097 80000001 80000000 11 = 80000001 11 +24 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +24 099 FFFFFFFE 80000000 01 = FFFFFFFF 00 +24 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +24 09B FFFFFFFE 80000000 11 = FFFFFFFF 00 +24 09C FFFFFFFF 80000000 00 = FFFFFFFE 11 +24 09D FFFFFFFF 80000000 01 = FFFFFFFF 11 +24 09E FFFFFFFF 80000000 10 = FFFFFFFE 11 +24 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +24 0A0 00000000 80000001 00 = 00000000 00 +24 0A1 00000000 80000001 01 = 00000002 00 +24 0A2 00000000 80000001 10 = 00000000 00 +24 0A3 00000000 80000001 11 = 00000002 00 +24 0A4 00000001 80000001 00 = 00000001 00 +24 0A5 00000001 80000001 01 = 00000003 00 +24 0A6 00000001 80000001 10 = 00000001 00 +24 0A7 00000001 80000001 11 = 00000003 00 +24 0A8 00000002 80000001 00 = 00000000 11 +24 0A9 00000002 80000001 01 = 00000002 11 +24 0AA 00000002 80000001 10 = 00000000 11 +24 0AB 00000002 80000001 11 = 00000002 11 +24 0AC 7FFFFFFF 80000001 00 = 7FFFFFFD 11 +24 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 11 +24 0AE 7FFFFFFF 80000001 10 = 7FFFFFFD 11 +24 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 +24 0B0 80000000 80000001 00 = 80000000 00 +24 0B1 80000000 80000001 01 = 80000002 00 +24 0B2 80000000 80000001 10 = 80000000 00 +24 0B3 80000000 80000001 11 = 80000002 00 +24 0B4 80000001 80000001 00 = 80000001 00 +24 0B5 80000001 80000001 01 = 80000003 00 +24 0B6 80000001 80000001 10 = 80000001 00 +24 0B7 80000001 80000001 11 = 80000003 00 +24 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 11 +24 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 11 +24 0BA FFFFFFFE 80000001 10 = FFFFFFFC 11 +24 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 +24 0BC FFFFFFFF 80000001 00 = FFFFFFFD 11 +24 0BD FFFFFFFF 80000001 01 = FFFFFFFF 11 +24 0BE FFFFFFFF 80000001 10 = FFFFFFFD 11 +24 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +24 0C0 00000000 FFFFFFFE 00 = 00000000 00 +24 0C1 00000000 FFFFFFFE 01 = 40000000 00 +24 0C2 00000000 FFFFFFFE 10 = 00000000 00 +24 0C3 00000000 FFFFFFFE 11 = 40000000 00 +24 0C4 00000001 FFFFFFFE 00 = 00000001 00 +24 0C5 00000001 FFFFFFFE 01 = 40000001 00 +24 0C6 00000001 FFFFFFFE 10 = 00000001 00 +24 0C7 00000001 FFFFFFFE 11 = 40000001 00 +24 0C8 00000002 FFFFFFFE 00 = 00000002 00 +24 0C9 00000002 FFFFFFFE 01 = 40000002 00 +24 0CA 00000002 FFFFFFFE 10 = 00000002 00 +24 0CB 00000002 FFFFFFFE 11 = 40000002 00 +24 0CC 7FFFFFFF FFFFFFFE 00 = 3FFFFFFF 11 +24 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 11 +24 0CE 7FFFFFFF FFFFFFFE 10 = 3FFFFFFF 11 +24 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +24 0D0 80000000 FFFFFFFE 00 = 80000000 00 +24 0D1 80000000 FFFFFFFE 01 = C0000000 00 +24 0D2 80000000 FFFFFFFE 10 = 80000000 00 +24 0D3 80000000 FFFFFFFE 11 = C0000000 00 +24 0D4 80000001 FFFFFFFE 00 = 80000001 00 +24 0D5 80000001 FFFFFFFE 01 = C0000001 00 +24 0D6 80000001 FFFFFFFE 10 = 80000001 00 +24 0D7 80000001 FFFFFFFE 11 = C0000001 00 +24 0D8 FFFFFFFE FFFFFFFE 00 = BFFFFFFE 11 +24 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 11 +24 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFE 11 +24 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +24 0DC FFFFFFFF FFFFFFFE 00 = BFFFFFFF 11 +24 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 11 +24 0DE FFFFFFFF FFFFFFFE 10 = BFFFFFFF 11 +24 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +24 0E0 00000000 FFFFFFFF 00 = 00000000 00 +24 0E1 00000000 FFFFFFFF 01 = 80000000 00 +24 0E2 00000000 FFFFFFFF 10 = 00000000 00 +24 0E3 00000000 FFFFFFFF 11 = 80000000 00 +24 0E4 00000001 FFFFFFFF 00 = 00000001 00 +24 0E5 00000001 FFFFFFFF 01 = 80000001 00 +24 0E6 00000001 FFFFFFFF 10 = 00000001 00 +24 0E7 00000001 FFFFFFFF 11 = 80000001 00 +24 0E8 00000002 FFFFFFFF 00 = 00000002 00 +24 0E9 00000002 FFFFFFFF 01 = 80000002 00 +24 0EA 00000002 FFFFFFFF 10 = 00000002 00 +24 0EB 00000002 FFFFFFFF 11 = 80000002 00 +24 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +24 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +24 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +24 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +24 0F0 80000000 FFFFFFFF 00 = 00000000 11 +24 0F1 80000000 FFFFFFFF 01 = 80000000 11 +24 0F2 80000000 FFFFFFFF 10 = 00000000 11 +24 0F3 80000000 FFFFFFFF 11 = 80000000 11 +24 0F4 80000001 FFFFFFFF 00 = 00000001 11 +24 0F5 80000001 FFFFFFFF 01 = 80000001 11 +24 0F6 80000001 FFFFFFFF 10 = 00000001 11 +24 0F7 80000001 FFFFFFFF 11 = 80000001 11 +24 0F8 FFFFFFFE FFFFFFFF 00 = 7FFFFFFE 11 +24 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 11 +24 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFE 11 +24 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +24 0FC FFFFFFFF FFFFFFFF 00 = 7FFFFFFF 11 +24 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 11 +24 0FE FFFFFFFF FFFFFFFF 10 = 7FFFFFFF 11 +24 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +bitnz ---D---- ---S---- CZ = ---Q---- CZ +25 000 00000000 00000000 00 = 00000001 00 +25 001 00000000 00000000 01 = 00000000 00 +25 002 00000000 00000000 10 = 00000001 00 +25 003 00000000 00000000 11 = 00000000 00 +25 004 00000001 00000000 00 = 00000001 11 +25 005 00000001 00000000 01 = 00000000 11 +25 006 00000001 00000000 10 = 00000001 11 +25 007 00000001 00000000 11 = 00000000 11 +25 008 00000002 00000000 00 = 00000003 00 +25 009 00000002 00000000 01 = 00000002 00 +25 00A 00000002 00000000 10 = 00000003 00 +25 00B 00000002 00000000 11 = 00000002 00 +25 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 11 +25 00D 7FFFFFFF 00000000 01 = 7FFFFFFE 11 +25 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 11 +25 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 11 +25 010 80000000 00000000 00 = 80000001 00 +25 011 80000000 00000000 01 = 80000000 00 +25 012 80000000 00000000 10 = 80000001 00 +25 013 80000000 00000000 11 = 80000000 00 +25 014 80000001 00000000 00 = 80000001 11 +25 015 80000001 00000000 01 = 80000000 11 +25 016 80000001 00000000 10 = 80000001 11 +25 017 80000001 00000000 11 = 80000000 11 +25 018 FFFFFFFE 00000000 00 = FFFFFFFF 00 +25 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 +25 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 +25 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 +25 01C FFFFFFFF 00000000 00 = FFFFFFFF 11 +25 01D FFFFFFFF 00000000 01 = FFFFFFFE 11 +25 01E FFFFFFFF 00000000 10 = FFFFFFFF 11 +25 01F FFFFFFFF 00000000 11 = FFFFFFFE 11 +25 020 00000000 00000001 00 = 00000002 00 +25 021 00000000 00000001 01 = 00000000 00 +25 022 00000000 00000001 10 = 00000002 00 +25 023 00000000 00000001 11 = 00000000 00 +25 024 00000001 00000001 00 = 00000003 00 +25 025 00000001 00000001 01 = 00000001 00 +25 026 00000001 00000001 10 = 00000003 00 +25 027 00000001 00000001 11 = 00000001 00 +25 028 00000002 00000001 00 = 00000002 11 +25 029 00000002 00000001 01 = 00000000 11 +25 02A 00000002 00000001 10 = 00000002 11 +25 02B 00000002 00000001 11 = 00000000 11 +25 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 11 +25 02D 7FFFFFFF 00000001 01 = 7FFFFFFD 11 +25 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 11 +25 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 11 +25 030 80000000 00000001 00 = 80000002 00 +25 031 80000000 00000001 01 = 80000000 00 +25 032 80000000 00000001 10 = 80000002 00 +25 033 80000000 00000001 11 = 80000000 00 +25 034 80000001 00000001 00 = 80000003 00 +25 035 80000001 00000001 01 = 80000001 00 +25 036 80000001 00000001 10 = 80000003 00 +25 037 80000001 00000001 11 = 80000001 00 +25 038 FFFFFFFE 00000001 00 = FFFFFFFE 11 +25 039 FFFFFFFE 00000001 01 = FFFFFFFC 11 +25 03A FFFFFFFE 00000001 10 = FFFFFFFE 11 +25 03B FFFFFFFE 00000001 11 = FFFFFFFC 11 +25 03C FFFFFFFF 00000001 00 = FFFFFFFF 11 +25 03D FFFFFFFF 00000001 01 = FFFFFFFD 11 +25 03E FFFFFFFF 00000001 10 = FFFFFFFF 11 +25 03F FFFFFFFF 00000001 11 = FFFFFFFD 11 +25 040 00000000 00000002 00 = 00000004 00 +25 041 00000000 00000002 01 = 00000000 00 +25 042 00000000 00000002 10 = 00000004 00 +25 043 00000000 00000002 11 = 00000000 00 +25 044 00000001 00000002 00 = 00000005 00 +25 045 00000001 00000002 01 = 00000001 00 +25 046 00000001 00000002 10 = 00000005 00 +25 047 00000001 00000002 11 = 00000001 00 +25 048 00000002 00000002 00 = 00000006 00 +25 049 00000002 00000002 01 = 00000002 00 +25 04A 00000002 00000002 10 = 00000006 00 +25 04B 00000002 00000002 11 = 00000002 00 +25 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 11 +25 04D 7FFFFFFF 00000002 01 = 7FFFFFFB 11 +25 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 11 +25 04F 7FFFFFFF 00000002 11 = 7FFFFFFB 11 +25 050 80000000 00000002 00 = 80000004 00 +25 051 80000000 00000002 01 = 80000000 00 +25 052 80000000 00000002 10 = 80000004 00 +25 053 80000000 00000002 11 = 80000000 00 +25 054 80000001 00000002 00 = 80000005 00 +25 055 80000001 00000002 01 = 80000001 00 +25 056 80000001 00000002 10 = 80000005 00 +25 057 80000001 00000002 11 = 80000001 00 +25 058 FFFFFFFE 00000002 00 = FFFFFFFE 11 +25 059 FFFFFFFE 00000002 01 = FFFFFFFA 11 +25 05A FFFFFFFE 00000002 10 = FFFFFFFE 11 +25 05B FFFFFFFE 00000002 11 = FFFFFFFA 11 +25 05C FFFFFFFF 00000002 00 = FFFFFFFF 11 +25 05D FFFFFFFF 00000002 01 = FFFFFFFB 11 +25 05E FFFFFFFF 00000002 10 = FFFFFFFF 11 +25 05F FFFFFFFF 00000002 11 = FFFFFFFB 11 +25 060 00000000 7FFFFFFF 00 = 80000000 00 +25 061 00000000 7FFFFFFF 01 = 00000000 00 +25 062 00000000 7FFFFFFF 10 = 80000000 00 +25 063 00000000 7FFFFFFF 11 = 00000000 00 +25 064 00000001 7FFFFFFF 00 = 80000001 00 +25 065 00000001 7FFFFFFF 01 = 00000001 00 +25 066 00000001 7FFFFFFF 10 = 80000001 00 +25 067 00000001 7FFFFFFF 11 = 00000001 00 +25 068 00000002 7FFFFFFF 00 = 80000002 00 +25 069 00000002 7FFFFFFF 01 = 00000002 00 +25 06A 00000002 7FFFFFFF 10 = 80000002 00 +25 06B 00000002 7FFFFFFF 11 = 00000002 00 +25 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +25 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +25 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +25 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +25 070 80000000 7FFFFFFF 00 = 80000000 11 +25 071 80000000 7FFFFFFF 01 = 00000000 11 +25 072 80000000 7FFFFFFF 10 = 80000000 11 +25 073 80000000 7FFFFFFF 11 = 00000000 11 +25 074 80000001 7FFFFFFF 00 = 80000001 11 +25 075 80000001 7FFFFFFF 01 = 00000001 11 +25 076 80000001 7FFFFFFF 10 = 80000001 11 +25 077 80000001 7FFFFFFF 11 = 00000001 11 +25 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 11 +25 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 11 +25 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 11 +25 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 11 +25 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 11 +25 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 +25 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 11 +25 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +25 080 00000000 80000000 00 = 00000001 00 +25 081 00000000 80000000 01 = 00000000 00 +25 082 00000000 80000000 10 = 00000001 00 +25 083 00000000 80000000 11 = 00000000 00 +25 084 00000001 80000000 00 = 00000001 11 +25 085 00000001 80000000 01 = 00000000 11 +25 086 00000001 80000000 10 = 00000001 11 +25 087 00000001 80000000 11 = 00000000 11 +25 088 00000002 80000000 00 = 00000003 00 +25 089 00000002 80000000 01 = 00000002 00 +25 08A 00000002 80000000 10 = 00000003 00 +25 08B 00000002 80000000 11 = 00000002 00 +25 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 11 +25 08D 7FFFFFFF 80000000 01 = 7FFFFFFE 11 +25 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 11 +25 08F 7FFFFFFF 80000000 11 = 7FFFFFFE 11 +25 090 80000000 80000000 00 = 80000001 00 +25 091 80000000 80000000 01 = 80000000 00 +25 092 80000000 80000000 10 = 80000001 00 +25 093 80000000 80000000 11 = 80000000 00 +25 094 80000001 80000000 00 = 80000001 11 +25 095 80000001 80000000 01 = 80000000 11 +25 096 80000001 80000000 10 = 80000001 11 +25 097 80000001 80000000 11 = 80000000 11 +25 098 FFFFFFFE 80000000 00 = FFFFFFFF 00 +25 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +25 09A FFFFFFFE 80000000 10 = FFFFFFFF 00 +25 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +25 09C FFFFFFFF 80000000 00 = FFFFFFFF 11 +25 09D FFFFFFFF 80000000 01 = FFFFFFFE 11 +25 09E FFFFFFFF 80000000 10 = FFFFFFFF 11 +25 09F FFFFFFFF 80000000 11 = FFFFFFFE 11 +25 0A0 00000000 80000001 00 = 00000002 00 +25 0A1 00000000 80000001 01 = 00000000 00 +25 0A2 00000000 80000001 10 = 00000002 00 +25 0A3 00000000 80000001 11 = 00000000 00 +25 0A4 00000001 80000001 00 = 00000003 00 +25 0A5 00000001 80000001 01 = 00000001 00 +25 0A6 00000001 80000001 10 = 00000003 00 +25 0A7 00000001 80000001 11 = 00000001 00 +25 0A8 00000002 80000001 00 = 00000002 11 +25 0A9 00000002 80000001 01 = 00000000 11 +25 0AA 00000002 80000001 10 = 00000002 11 +25 0AB 00000002 80000001 11 = 00000000 11 +25 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 11 +25 0AD 7FFFFFFF 80000001 01 = 7FFFFFFD 11 +25 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 11 +25 0AF 7FFFFFFF 80000001 11 = 7FFFFFFD 11 +25 0B0 80000000 80000001 00 = 80000002 00 +25 0B1 80000000 80000001 01 = 80000000 00 +25 0B2 80000000 80000001 10 = 80000002 00 +25 0B3 80000000 80000001 11 = 80000000 00 +25 0B4 80000001 80000001 00 = 80000003 00 +25 0B5 80000001 80000001 01 = 80000001 00 +25 0B6 80000001 80000001 10 = 80000003 00 +25 0B7 80000001 80000001 11 = 80000001 00 +25 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 11 +25 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 11 +25 0BA FFFFFFFE 80000001 10 = FFFFFFFE 11 +25 0BB FFFFFFFE 80000001 11 = FFFFFFFC 11 +25 0BC FFFFFFFF 80000001 00 = FFFFFFFF 11 +25 0BD FFFFFFFF 80000001 01 = FFFFFFFD 11 +25 0BE FFFFFFFF 80000001 10 = FFFFFFFF 11 +25 0BF FFFFFFFF 80000001 11 = FFFFFFFD 11 +25 0C0 00000000 FFFFFFFE 00 = 40000000 00 +25 0C1 00000000 FFFFFFFE 01 = 00000000 00 +25 0C2 00000000 FFFFFFFE 10 = 40000000 00 +25 0C3 00000000 FFFFFFFE 11 = 00000000 00 +25 0C4 00000001 FFFFFFFE 00 = 40000001 00 +25 0C5 00000001 FFFFFFFE 01 = 00000001 00 +25 0C6 00000001 FFFFFFFE 10 = 40000001 00 +25 0C7 00000001 FFFFFFFE 11 = 00000001 00 +25 0C8 00000002 FFFFFFFE 00 = 40000002 00 +25 0C9 00000002 FFFFFFFE 01 = 00000002 00 +25 0CA 00000002 FFFFFFFE 10 = 40000002 00 +25 0CB 00000002 FFFFFFFE 11 = 00000002 00 +25 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 11 +25 0CD 7FFFFFFF FFFFFFFE 01 = 3FFFFFFF 11 +25 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 11 +25 0CF 7FFFFFFF FFFFFFFE 11 = 3FFFFFFF 11 +25 0D0 80000000 FFFFFFFE 00 = C0000000 00 +25 0D1 80000000 FFFFFFFE 01 = 80000000 00 +25 0D2 80000000 FFFFFFFE 10 = C0000000 00 +25 0D3 80000000 FFFFFFFE 11 = 80000000 00 +25 0D4 80000001 FFFFFFFE 00 = C0000001 00 +25 0D5 80000001 FFFFFFFE 01 = 80000001 00 +25 0D6 80000001 FFFFFFFE 10 = C0000001 00 +25 0D7 80000001 FFFFFFFE 11 = 80000001 00 +25 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 11 +25 0D9 FFFFFFFE FFFFFFFE 01 = BFFFFFFE 11 +25 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 11 +25 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFE 11 +25 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 11 +25 0DD FFFFFFFF FFFFFFFE 01 = BFFFFFFF 11 +25 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 11 +25 0DF FFFFFFFF FFFFFFFE 11 = BFFFFFFF 11 +25 0E0 00000000 FFFFFFFF 00 = 80000000 00 +25 0E1 00000000 FFFFFFFF 01 = 00000000 00 +25 0E2 00000000 FFFFFFFF 10 = 80000000 00 +25 0E3 00000000 FFFFFFFF 11 = 00000000 00 +25 0E4 00000001 FFFFFFFF 00 = 80000001 00 +25 0E5 00000001 FFFFFFFF 01 = 00000001 00 +25 0E6 00000001 FFFFFFFF 10 = 80000001 00 +25 0E7 00000001 FFFFFFFF 11 = 00000001 00 +25 0E8 00000002 FFFFFFFF 00 = 80000002 00 +25 0E9 00000002 FFFFFFFF 01 = 00000002 00 +25 0EA 00000002 FFFFFFFF 10 = 80000002 00 +25 0EB 00000002 FFFFFFFF 11 = 00000002 00 +25 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +25 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +25 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +25 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +25 0F0 80000000 FFFFFFFF 00 = 80000000 11 +25 0F1 80000000 FFFFFFFF 01 = 00000000 11 +25 0F2 80000000 FFFFFFFF 10 = 80000000 11 +25 0F3 80000000 FFFFFFFF 11 = 00000000 11 +25 0F4 80000001 FFFFFFFF 00 = 80000001 11 +25 0F5 80000001 FFFFFFFF 01 = 00000001 11 +25 0F6 80000001 FFFFFFFF 10 = 80000001 11 +25 0F7 80000001 FFFFFFFF 11 = 00000001 11 +25 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 11 +25 0F9 FFFFFFFE FFFFFFFF 01 = 7FFFFFFE 11 +25 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 11 +25 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFE 11 +25 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 11 +25 0FD FFFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 +25 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 11 +25 0FF FFFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +bitnot ---D---- ---S---- CZ = ---Q---- CZ +26 000 00000000 00000000 00 = 00000001 00 +26 001 00000000 00000000 01 = 00000001 00 +26 002 00000000 00000000 10 = 00000001 00 +26 003 00000000 00000000 11 = 00000001 00 +26 004 00000001 00000000 00 = 00000000 11 +26 005 00000001 00000000 01 = 00000000 11 +26 006 00000001 00000000 10 = 00000000 11 +26 007 00000001 00000000 11 = 00000000 11 +26 008 00000002 00000000 00 = 00000003 00 +26 009 00000002 00000000 01 = 00000003 00 +26 00A 00000002 00000000 10 = 00000003 00 +26 00B 00000002 00000000 11 = 00000003 00 +26 00C 7FFFFFFF 00000000 00 = 7FFFFFFE 11 +26 00D 7FFFFFFF 00000000 01 = 7FFFFFFE 11 +26 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 11 +26 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 11 +26 010 80000000 00000000 00 = 80000001 00 +26 011 80000000 00000000 01 = 80000001 00 +26 012 80000000 00000000 10 = 80000001 00 +26 013 80000000 00000000 11 = 80000001 00 +26 014 80000001 00000000 00 = 80000000 11 +26 015 80000001 00000000 01 = 80000000 11 +26 016 80000001 00000000 10 = 80000000 11 +26 017 80000001 00000000 11 = 80000000 11 +26 018 FFFFFFFE 00000000 00 = FFFFFFFF 00 +26 019 FFFFFFFE 00000000 01 = FFFFFFFF 00 +26 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 +26 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 +26 01C FFFFFFFF 00000000 00 = FFFFFFFE 11 +26 01D FFFFFFFF 00000000 01 = FFFFFFFE 11 +26 01E FFFFFFFF 00000000 10 = FFFFFFFE 11 +26 01F FFFFFFFF 00000000 11 = FFFFFFFE 11 +26 020 00000000 00000001 00 = 00000002 00 +26 021 00000000 00000001 01 = 00000002 00 +26 022 00000000 00000001 10 = 00000002 00 +26 023 00000000 00000001 11 = 00000002 00 +26 024 00000001 00000001 00 = 00000003 00 +26 025 00000001 00000001 01 = 00000003 00 +26 026 00000001 00000001 10 = 00000003 00 +26 027 00000001 00000001 11 = 00000003 00 +26 028 00000002 00000001 00 = 00000000 11 +26 029 00000002 00000001 01 = 00000000 11 +26 02A 00000002 00000001 10 = 00000000 11 +26 02B 00000002 00000001 11 = 00000000 11 +26 02C 7FFFFFFF 00000001 00 = 7FFFFFFD 11 +26 02D 7FFFFFFF 00000001 01 = 7FFFFFFD 11 +26 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 11 +26 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 11 +26 030 80000000 00000001 00 = 80000002 00 +26 031 80000000 00000001 01 = 80000002 00 +26 032 80000000 00000001 10 = 80000002 00 +26 033 80000000 00000001 11 = 80000002 00 +26 034 80000001 00000001 00 = 80000003 00 +26 035 80000001 00000001 01 = 80000003 00 +26 036 80000001 00000001 10 = 80000003 00 +26 037 80000001 00000001 11 = 80000003 00 +26 038 FFFFFFFE 00000001 00 = FFFFFFFC 11 +26 039 FFFFFFFE 00000001 01 = FFFFFFFC 11 +26 03A FFFFFFFE 00000001 10 = FFFFFFFC 11 +26 03B FFFFFFFE 00000001 11 = FFFFFFFC 11 +26 03C FFFFFFFF 00000001 00 = FFFFFFFD 11 +26 03D FFFFFFFF 00000001 01 = FFFFFFFD 11 +26 03E FFFFFFFF 00000001 10 = FFFFFFFD 11 +26 03F FFFFFFFF 00000001 11 = FFFFFFFD 11 +26 040 00000000 00000002 00 = 00000004 00 +26 041 00000000 00000002 01 = 00000004 00 +26 042 00000000 00000002 10 = 00000004 00 +26 043 00000000 00000002 11 = 00000004 00 +26 044 00000001 00000002 00 = 00000005 00 +26 045 00000001 00000002 01 = 00000005 00 +26 046 00000001 00000002 10 = 00000005 00 +26 047 00000001 00000002 11 = 00000005 00 +26 048 00000002 00000002 00 = 00000006 00 +26 049 00000002 00000002 01 = 00000006 00 +26 04A 00000002 00000002 10 = 00000006 00 +26 04B 00000002 00000002 11 = 00000006 00 +26 04C 7FFFFFFF 00000002 00 = 7FFFFFFB 11 +26 04D 7FFFFFFF 00000002 01 = 7FFFFFFB 11 +26 04E 7FFFFFFF 00000002 10 = 7FFFFFFB 11 +26 04F 7FFFFFFF 00000002 11 = 7FFFFFFB 11 +26 050 80000000 00000002 00 = 80000004 00 +26 051 80000000 00000002 01 = 80000004 00 +26 052 80000000 00000002 10 = 80000004 00 +26 053 80000000 00000002 11 = 80000004 00 +26 054 80000001 00000002 00 = 80000005 00 +26 055 80000001 00000002 01 = 80000005 00 +26 056 80000001 00000002 10 = 80000005 00 +26 057 80000001 00000002 11 = 80000005 00 +26 058 FFFFFFFE 00000002 00 = FFFFFFFA 11 +26 059 FFFFFFFE 00000002 01 = FFFFFFFA 11 +26 05A FFFFFFFE 00000002 10 = FFFFFFFA 11 +26 05B FFFFFFFE 00000002 11 = FFFFFFFA 11 +26 05C FFFFFFFF 00000002 00 = FFFFFFFB 11 +26 05D FFFFFFFF 00000002 01 = FFFFFFFB 11 +26 05E FFFFFFFF 00000002 10 = FFFFFFFB 11 +26 05F FFFFFFFF 00000002 11 = FFFFFFFB 11 +26 060 00000000 7FFFFFFF 00 = 80000000 00 +26 061 00000000 7FFFFFFF 01 = 80000000 00 +26 062 00000000 7FFFFFFF 10 = 80000000 00 +26 063 00000000 7FFFFFFF 11 = 80000000 00 +26 064 00000001 7FFFFFFF 00 = 80000001 00 +26 065 00000001 7FFFFFFF 01 = 80000001 00 +26 066 00000001 7FFFFFFF 10 = 80000001 00 +26 067 00000001 7FFFFFFF 11 = 80000001 00 +26 068 00000002 7FFFFFFF 00 = 80000002 00 +26 069 00000002 7FFFFFFF 01 = 80000002 00 +26 06A 00000002 7FFFFFFF 10 = 80000002 00 +26 06B 00000002 7FFFFFFF 11 = 80000002 00 +26 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +26 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +26 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +26 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +26 070 80000000 7FFFFFFF 00 = 00000000 11 +26 071 80000000 7FFFFFFF 01 = 00000000 11 +26 072 80000000 7FFFFFFF 10 = 00000000 11 +26 073 80000000 7FFFFFFF 11 = 00000000 11 +26 074 80000001 7FFFFFFF 00 = 00000001 11 +26 075 80000001 7FFFFFFF 01 = 00000001 11 +26 076 80000001 7FFFFFFF 10 = 00000001 11 +26 077 80000001 7FFFFFFF 11 = 00000001 11 +26 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 11 +26 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 11 +26 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 11 +26 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 11 +26 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 11 +26 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 +26 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 11 +26 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +26 080 00000000 80000000 00 = 00000001 00 +26 081 00000000 80000000 01 = 00000001 00 +26 082 00000000 80000000 10 = 00000001 00 +26 083 00000000 80000000 11 = 00000001 00 +26 084 00000001 80000000 00 = 00000000 11 +26 085 00000001 80000000 01 = 00000000 11 +26 086 00000001 80000000 10 = 00000000 11 +26 087 00000001 80000000 11 = 00000000 11 +26 088 00000002 80000000 00 = 00000003 00 +26 089 00000002 80000000 01 = 00000003 00 +26 08A 00000002 80000000 10 = 00000003 00 +26 08B 00000002 80000000 11 = 00000003 00 +26 08C 7FFFFFFF 80000000 00 = 7FFFFFFE 11 +26 08D 7FFFFFFF 80000000 01 = 7FFFFFFE 11 +26 08E 7FFFFFFF 80000000 10 = 7FFFFFFE 11 +26 08F 7FFFFFFF 80000000 11 = 7FFFFFFE 11 +26 090 80000000 80000000 00 = 80000001 00 +26 091 80000000 80000000 01 = 80000001 00 +26 092 80000000 80000000 10 = 80000001 00 +26 093 80000000 80000000 11 = 80000001 00 +26 094 80000001 80000000 00 = 80000000 11 +26 095 80000001 80000000 01 = 80000000 11 +26 096 80000001 80000000 10 = 80000000 11 +26 097 80000001 80000000 11 = 80000000 11 +26 098 FFFFFFFE 80000000 00 = FFFFFFFF 00 +26 099 FFFFFFFE 80000000 01 = FFFFFFFF 00 +26 09A FFFFFFFE 80000000 10 = FFFFFFFF 00 +26 09B FFFFFFFE 80000000 11 = FFFFFFFF 00 +26 09C FFFFFFFF 80000000 00 = FFFFFFFE 11 +26 09D FFFFFFFF 80000000 01 = FFFFFFFE 11 +26 09E FFFFFFFF 80000000 10 = FFFFFFFE 11 +26 09F FFFFFFFF 80000000 11 = FFFFFFFE 11 +26 0A0 00000000 80000001 00 = 00000002 00 +26 0A1 00000000 80000001 01 = 00000002 00 +26 0A2 00000000 80000001 10 = 00000002 00 +26 0A3 00000000 80000001 11 = 00000002 00 +26 0A4 00000001 80000001 00 = 00000003 00 +26 0A5 00000001 80000001 01 = 00000003 00 +26 0A6 00000001 80000001 10 = 00000003 00 +26 0A7 00000001 80000001 11 = 00000003 00 +26 0A8 00000002 80000001 00 = 00000000 11 +26 0A9 00000002 80000001 01 = 00000000 11 +26 0AA 00000002 80000001 10 = 00000000 11 +26 0AB 00000002 80000001 11 = 00000000 11 +26 0AC 7FFFFFFF 80000001 00 = 7FFFFFFD 11 +26 0AD 7FFFFFFF 80000001 01 = 7FFFFFFD 11 +26 0AE 7FFFFFFF 80000001 10 = 7FFFFFFD 11 +26 0AF 7FFFFFFF 80000001 11 = 7FFFFFFD 11 +26 0B0 80000000 80000001 00 = 80000002 00 +26 0B1 80000000 80000001 01 = 80000002 00 +26 0B2 80000000 80000001 10 = 80000002 00 +26 0B3 80000000 80000001 11 = 80000002 00 +26 0B4 80000001 80000001 00 = 80000003 00 +26 0B5 80000001 80000001 01 = 80000003 00 +26 0B6 80000001 80000001 10 = 80000003 00 +26 0B7 80000001 80000001 11 = 80000003 00 +26 0B8 FFFFFFFE 80000001 00 = FFFFFFFC 11 +26 0B9 FFFFFFFE 80000001 01 = FFFFFFFC 11 +26 0BA FFFFFFFE 80000001 10 = FFFFFFFC 11 +26 0BB FFFFFFFE 80000001 11 = FFFFFFFC 11 +26 0BC FFFFFFFF 80000001 00 = FFFFFFFD 11 +26 0BD FFFFFFFF 80000001 01 = FFFFFFFD 11 +26 0BE FFFFFFFF 80000001 10 = FFFFFFFD 11 +26 0BF FFFFFFFF 80000001 11 = FFFFFFFD 11 +26 0C0 00000000 FFFFFFFE 00 = 40000000 00 +26 0C1 00000000 FFFFFFFE 01 = 40000000 00 +26 0C2 00000000 FFFFFFFE 10 = 40000000 00 +26 0C3 00000000 FFFFFFFE 11 = 40000000 00 +26 0C4 00000001 FFFFFFFE 00 = 40000001 00 +26 0C5 00000001 FFFFFFFE 01 = 40000001 00 +26 0C6 00000001 FFFFFFFE 10 = 40000001 00 +26 0C7 00000001 FFFFFFFE 11 = 40000001 00 +26 0C8 00000002 FFFFFFFE 00 = 40000002 00 +26 0C9 00000002 FFFFFFFE 01 = 40000002 00 +26 0CA 00000002 FFFFFFFE 10 = 40000002 00 +26 0CB 00000002 FFFFFFFE 11 = 40000002 00 +26 0CC 7FFFFFFF FFFFFFFE 00 = 3FFFFFFF 11 +26 0CD 7FFFFFFF FFFFFFFE 01 = 3FFFFFFF 11 +26 0CE 7FFFFFFF FFFFFFFE 10 = 3FFFFFFF 11 +26 0CF 7FFFFFFF FFFFFFFE 11 = 3FFFFFFF 11 +26 0D0 80000000 FFFFFFFE 00 = C0000000 00 +26 0D1 80000000 FFFFFFFE 01 = C0000000 00 +26 0D2 80000000 FFFFFFFE 10 = C0000000 00 +26 0D3 80000000 FFFFFFFE 11 = C0000000 00 +26 0D4 80000001 FFFFFFFE 00 = C0000001 00 +26 0D5 80000001 FFFFFFFE 01 = C0000001 00 +26 0D6 80000001 FFFFFFFE 10 = C0000001 00 +26 0D7 80000001 FFFFFFFE 11 = C0000001 00 +26 0D8 FFFFFFFE FFFFFFFE 00 = BFFFFFFE 11 +26 0D9 FFFFFFFE FFFFFFFE 01 = BFFFFFFE 11 +26 0DA FFFFFFFE FFFFFFFE 10 = BFFFFFFE 11 +26 0DB FFFFFFFE FFFFFFFE 11 = BFFFFFFE 11 +26 0DC FFFFFFFF FFFFFFFE 00 = BFFFFFFF 11 +26 0DD FFFFFFFF FFFFFFFE 01 = BFFFFFFF 11 +26 0DE FFFFFFFF FFFFFFFE 10 = BFFFFFFF 11 +26 0DF FFFFFFFF FFFFFFFE 11 = BFFFFFFF 11 +26 0E0 00000000 FFFFFFFF 00 = 80000000 00 +26 0E1 00000000 FFFFFFFF 01 = 80000000 00 +26 0E2 00000000 FFFFFFFF 10 = 80000000 00 +26 0E3 00000000 FFFFFFFF 11 = 80000000 00 +26 0E4 00000001 FFFFFFFF 00 = 80000001 00 +26 0E5 00000001 FFFFFFFF 01 = 80000001 00 +26 0E6 00000001 FFFFFFFF 10 = 80000001 00 +26 0E7 00000001 FFFFFFFF 11 = 80000001 00 +26 0E8 00000002 FFFFFFFF 00 = 80000002 00 +26 0E9 00000002 FFFFFFFF 01 = 80000002 00 +26 0EA 00000002 FFFFFFFF 10 = 80000002 00 +26 0EB 00000002 FFFFFFFF 11 = 80000002 00 +26 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +26 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +26 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +26 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +26 0F0 80000000 FFFFFFFF 00 = 00000000 11 +26 0F1 80000000 FFFFFFFF 01 = 00000000 11 +26 0F2 80000000 FFFFFFFF 10 = 00000000 11 +26 0F3 80000000 FFFFFFFF 11 = 00000000 11 +26 0F4 80000001 FFFFFFFF 00 = 00000001 11 +26 0F5 80000001 FFFFFFFF 01 = 00000001 11 +26 0F6 80000001 FFFFFFFF 10 = 00000001 11 +26 0F7 80000001 FFFFFFFF 11 = 00000001 11 +26 0F8 FFFFFFFE FFFFFFFF 00 = 7FFFFFFE 11 +26 0F9 FFFFFFFE FFFFFFFF 01 = 7FFFFFFE 11 +26 0FA FFFFFFFE FFFFFFFF 10 = 7FFFFFFE 11 +26 0FB FFFFFFFE FFFFFFFF 11 = 7FFFFFFE 11 +26 0FC FFFFFFFF FFFFFFFF 00 = 7FFFFFFF 11 +26 0FD FFFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 +26 0FE FFFFFFFF FFFFFFFF 10 = 7FFFFFFF 11 +26 0FF FFFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +andn ---D---- ---S---- CZ = ---Q---- CZ +27 000 00000000 00000000 00 = 00000000 01 +27 001 00000000 00000000 01 = 00000000 01 +27 002 00000000 00000000 10 = 00000000 01 +27 003 00000000 00000000 11 = 00000000 01 +27 004 00000001 00000000 00 = 00000001 10 +27 005 00000001 00000000 01 = 00000001 10 +27 006 00000001 00000000 10 = 00000001 10 +27 007 00000001 00000000 11 = 00000001 10 +27 008 00000002 00000000 00 = 00000002 10 +27 009 00000002 00000000 01 = 00000002 10 +27 00A 00000002 00000000 10 = 00000002 10 +27 00B 00000002 00000000 11 = 00000002 10 +27 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +27 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +27 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +27 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +27 010 80000000 00000000 00 = 80000000 10 +27 011 80000000 00000000 01 = 80000000 10 +27 012 80000000 00000000 10 = 80000000 10 +27 013 80000000 00000000 11 = 80000000 10 +27 014 80000001 00000000 00 = 80000001 00 +27 015 80000001 00000000 01 = 80000001 00 +27 016 80000001 00000000 10 = 80000001 00 +27 017 80000001 00000000 11 = 80000001 00 +27 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +27 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +27 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +27 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +27 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +27 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +27 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +27 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +27 020 00000000 00000001 00 = 00000000 01 +27 021 00000000 00000001 01 = 00000000 01 +27 022 00000000 00000001 10 = 00000000 01 +27 023 00000000 00000001 11 = 00000000 01 +27 024 00000001 00000001 00 = 00000000 01 +27 025 00000001 00000001 01 = 00000000 01 +27 026 00000001 00000001 10 = 00000000 01 +27 027 00000001 00000001 11 = 00000000 01 +27 028 00000002 00000001 00 = 00000002 10 +27 029 00000002 00000001 01 = 00000002 10 +27 02A 00000002 00000001 10 = 00000002 10 +27 02B 00000002 00000001 11 = 00000002 10 +27 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +27 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +27 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 +27 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 +27 030 80000000 00000001 00 = 80000000 10 +27 031 80000000 00000001 01 = 80000000 10 +27 032 80000000 00000001 10 = 80000000 10 +27 033 80000000 00000001 11 = 80000000 10 +27 034 80000001 00000001 00 = 80000000 10 +27 035 80000001 00000001 01 = 80000000 10 +27 036 80000001 00000001 10 = 80000000 10 +27 037 80000001 00000001 11 = 80000000 10 +27 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +27 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 +27 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +27 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +27 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +27 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 +27 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 +27 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 +27 040 00000000 00000002 00 = 00000000 01 +27 041 00000000 00000002 01 = 00000000 01 +27 042 00000000 00000002 10 = 00000000 01 +27 043 00000000 00000002 11 = 00000000 01 +27 044 00000001 00000002 00 = 00000001 10 +27 045 00000001 00000002 01 = 00000001 10 +27 046 00000001 00000002 10 = 00000001 10 +27 047 00000001 00000002 11 = 00000001 10 +27 048 00000002 00000002 00 = 00000000 01 +27 049 00000002 00000002 01 = 00000000 01 +27 04A 00000002 00000002 10 = 00000000 01 +27 04B 00000002 00000002 11 = 00000000 01 +27 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 +27 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 +27 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 +27 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 +27 050 80000000 00000002 00 = 80000000 10 +27 051 80000000 00000002 01 = 80000000 10 +27 052 80000000 00000002 10 = 80000000 10 +27 053 80000000 00000002 11 = 80000000 10 +27 054 80000001 00000002 00 = 80000001 00 +27 055 80000001 00000002 01 = 80000001 00 +27 056 80000001 00000002 10 = 80000001 00 +27 057 80000001 00000002 11 = 80000001 00 +27 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +27 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +27 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 +27 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 +27 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 +27 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 +27 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 +27 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 +27 060 00000000 7FFFFFFF 00 = 00000000 01 +27 061 00000000 7FFFFFFF 01 = 00000000 01 +27 062 00000000 7FFFFFFF 10 = 00000000 01 +27 063 00000000 7FFFFFFF 11 = 00000000 01 +27 064 00000001 7FFFFFFF 00 = 00000000 01 +27 065 00000001 7FFFFFFF 01 = 00000000 01 +27 066 00000001 7FFFFFFF 10 = 00000000 01 +27 067 00000001 7FFFFFFF 11 = 00000000 01 +27 068 00000002 7FFFFFFF 00 = 00000000 01 +27 069 00000002 7FFFFFFF 01 = 00000000 01 +27 06A 00000002 7FFFFFFF 10 = 00000000 01 +27 06B 00000002 7FFFFFFF 11 = 00000000 01 +27 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 +27 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +27 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 +27 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 +27 070 80000000 7FFFFFFF 00 = 80000000 10 +27 071 80000000 7FFFFFFF 01 = 80000000 10 +27 072 80000000 7FFFFFFF 10 = 80000000 10 +27 073 80000000 7FFFFFFF 11 = 80000000 10 +27 074 80000001 7FFFFFFF 00 = 80000000 10 +27 075 80000001 7FFFFFFF 01 = 80000000 10 +27 076 80000001 7FFFFFFF 10 = 80000000 10 +27 077 80000001 7FFFFFFF 11 = 80000000 10 +27 078 FFFFFFFE 7FFFFFFF 00 = 80000000 10 +27 079 FFFFFFFE 7FFFFFFF 01 = 80000000 10 +27 07A FFFFFFFE 7FFFFFFF 10 = 80000000 10 +27 07B FFFFFFFE 7FFFFFFF 11 = 80000000 10 +27 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +27 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 +27 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +27 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +27 080 00000000 80000000 00 = 00000000 01 +27 081 00000000 80000000 01 = 00000000 01 +27 082 00000000 80000000 10 = 00000000 01 +27 083 00000000 80000000 11 = 00000000 01 +27 084 00000001 80000000 00 = 00000001 10 +27 085 00000001 80000000 01 = 00000001 10 +27 086 00000001 80000000 10 = 00000001 10 +27 087 00000001 80000000 11 = 00000001 10 +27 088 00000002 80000000 00 = 00000002 10 +27 089 00000002 80000000 01 = 00000002 10 +27 08A 00000002 80000000 10 = 00000002 10 +27 08B 00000002 80000000 11 = 00000002 10 +27 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +27 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +27 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +27 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +27 090 80000000 80000000 00 = 00000000 01 +27 091 80000000 80000000 01 = 00000000 01 +27 092 80000000 80000000 10 = 00000000 01 +27 093 80000000 80000000 11 = 00000000 01 +27 094 80000001 80000000 00 = 00000001 10 +27 095 80000001 80000000 01 = 00000001 10 +27 096 80000001 80000000 10 = 00000001 10 +27 097 80000001 80000000 11 = 00000001 10 +27 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 +27 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 +27 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 +27 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 +27 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +27 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +27 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 +27 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 +27 0A0 00000000 80000001 00 = 00000000 01 +27 0A1 00000000 80000001 01 = 00000000 01 +27 0A2 00000000 80000001 10 = 00000000 01 +27 0A3 00000000 80000001 11 = 00000000 01 +27 0A4 00000001 80000001 00 = 00000000 01 +27 0A5 00000001 80000001 01 = 00000000 01 +27 0A6 00000001 80000001 10 = 00000000 01 +27 0A7 00000001 80000001 11 = 00000000 01 +27 0A8 00000002 80000001 00 = 00000002 10 +27 0A9 00000002 80000001 01 = 00000002 10 +27 0AA 00000002 80000001 10 = 00000002 10 +27 0AB 00000002 80000001 11 = 00000002 10 +27 0AC 7FFFFFFF 80000001 00 = 7FFFFFFE 00 +27 0AD 7FFFFFFF 80000001 01 = 7FFFFFFE 00 +27 0AE 7FFFFFFF 80000001 10 = 7FFFFFFE 00 +27 0AF 7FFFFFFF 80000001 11 = 7FFFFFFE 00 +27 0B0 80000000 80000001 00 = 00000000 01 +27 0B1 80000000 80000001 01 = 00000000 01 +27 0B2 80000000 80000001 10 = 00000000 01 +27 0B3 80000000 80000001 11 = 00000000 01 +27 0B4 80000001 80000001 00 = 00000000 01 +27 0B5 80000001 80000001 01 = 00000000 01 +27 0B6 80000001 80000001 10 = 00000000 01 +27 0B7 80000001 80000001 11 = 00000000 01 +27 0B8 FFFFFFFE 80000001 00 = 7FFFFFFE 00 +27 0B9 FFFFFFFE 80000001 01 = 7FFFFFFE 00 +27 0BA FFFFFFFE 80000001 10 = 7FFFFFFE 00 +27 0BB FFFFFFFE 80000001 11 = 7FFFFFFE 00 +27 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +27 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +27 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 +27 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 +27 0C0 00000000 FFFFFFFE 00 = 00000000 01 +27 0C1 00000000 FFFFFFFE 01 = 00000000 01 +27 0C2 00000000 FFFFFFFE 10 = 00000000 01 +27 0C3 00000000 FFFFFFFE 11 = 00000000 01 +27 0C4 00000001 FFFFFFFE 00 = 00000001 10 +27 0C5 00000001 FFFFFFFE 01 = 00000001 10 +27 0C6 00000001 FFFFFFFE 10 = 00000001 10 +27 0C7 00000001 FFFFFFFE 11 = 00000001 10 +27 0C8 00000002 FFFFFFFE 00 = 00000000 01 +27 0C9 00000002 FFFFFFFE 01 = 00000000 01 +27 0CA 00000002 FFFFFFFE 10 = 00000000 01 +27 0CB 00000002 FFFFFFFE 11 = 00000000 01 +27 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 +27 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 +27 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 10 +27 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 10 +27 0D0 80000000 FFFFFFFE 00 = 00000000 01 +27 0D1 80000000 FFFFFFFE 01 = 00000000 01 +27 0D2 80000000 FFFFFFFE 10 = 00000000 01 +27 0D3 80000000 FFFFFFFE 11 = 00000000 01 +27 0D4 80000001 FFFFFFFE 00 = 00000001 10 +27 0D5 80000001 FFFFFFFE 01 = 00000001 10 +27 0D6 80000001 FFFFFFFE 10 = 00000001 10 +27 0D7 80000001 FFFFFFFE 11 = 00000001 10 +27 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 +27 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +27 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 +27 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 +27 0DC FFFFFFFF FFFFFFFE 00 = 00000001 10 +27 0DD FFFFFFFF FFFFFFFE 01 = 00000001 10 +27 0DE FFFFFFFF FFFFFFFE 10 = 00000001 10 +27 0DF FFFFFFFF FFFFFFFE 11 = 00000001 10 +27 0E0 00000000 FFFFFFFF 00 = 00000000 01 +27 0E1 00000000 FFFFFFFF 01 = 00000000 01 +27 0E2 00000000 FFFFFFFF 10 = 00000000 01 +27 0E3 00000000 FFFFFFFF 11 = 00000000 01 +27 0E4 00000001 FFFFFFFF 00 = 00000000 01 +27 0E5 00000001 FFFFFFFF 01 = 00000000 01 +27 0E6 00000001 FFFFFFFF 10 = 00000000 01 +27 0E7 00000001 FFFFFFFF 11 = 00000000 01 +27 0E8 00000002 FFFFFFFF 00 = 00000000 01 +27 0E9 00000002 FFFFFFFF 01 = 00000000 01 +27 0EA 00000002 FFFFFFFF 10 = 00000000 01 +27 0EB 00000002 FFFFFFFF 11 = 00000000 01 +27 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 01 +27 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 01 +27 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 01 +27 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 01 +27 0F0 80000000 FFFFFFFF 00 = 00000000 01 +27 0F1 80000000 FFFFFFFF 01 = 00000000 01 +27 0F2 80000000 FFFFFFFF 10 = 00000000 01 +27 0F3 80000000 FFFFFFFF 11 = 00000000 01 +27 0F4 80000001 FFFFFFFF 00 = 00000000 01 +27 0F5 80000001 FFFFFFFF 01 = 00000000 01 +27 0F6 80000001 FFFFFFFF 10 = 00000000 01 +27 0F7 80000001 FFFFFFFF 11 = 00000000 01 +27 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 01 +27 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 01 +27 0FA FFFFFFFE FFFFFFFF 10 = 00000000 01 +27 0FB FFFFFFFE FFFFFFFF 11 = 00000000 01 +27 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 +27 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +27 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 +27 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 +and ---D---- ---S---- CZ = ---Q---- CZ +28 000 00000000 00000000 00 = 00000000 01 +28 001 00000000 00000000 01 = 00000000 01 +28 002 00000000 00000000 10 = 00000000 01 +28 003 00000000 00000000 11 = 00000000 01 +28 004 00000001 00000000 00 = 00000000 01 +28 005 00000001 00000000 01 = 00000000 01 +28 006 00000001 00000000 10 = 00000000 01 +28 007 00000001 00000000 11 = 00000000 01 +28 008 00000002 00000000 00 = 00000000 01 +28 009 00000002 00000000 01 = 00000000 01 +28 00A 00000002 00000000 10 = 00000000 01 +28 00B 00000002 00000000 11 = 00000000 01 +28 00C 7FFFFFFF 00000000 00 = 00000000 01 +28 00D 7FFFFFFF 00000000 01 = 00000000 01 +28 00E 7FFFFFFF 00000000 10 = 00000000 01 +28 00F 7FFFFFFF 00000000 11 = 00000000 01 +28 010 80000000 00000000 00 = 00000000 01 +28 011 80000000 00000000 01 = 00000000 01 +28 012 80000000 00000000 10 = 00000000 01 +28 013 80000000 00000000 11 = 00000000 01 +28 014 80000001 00000000 00 = 00000000 01 +28 015 80000001 00000000 01 = 00000000 01 +28 016 80000001 00000000 10 = 00000000 01 +28 017 80000001 00000000 11 = 00000000 01 +28 018 FFFFFFFE 00000000 00 = 00000000 01 +28 019 FFFFFFFE 00000000 01 = 00000000 01 +28 01A FFFFFFFE 00000000 10 = 00000000 01 +28 01B FFFFFFFE 00000000 11 = 00000000 01 +28 01C FFFFFFFF 00000000 00 = 00000000 01 +28 01D FFFFFFFF 00000000 01 = 00000000 01 +28 01E FFFFFFFF 00000000 10 = 00000000 01 +28 01F FFFFFFFF 00000000 11 = 00000000 01 +28 020 00000000 00000001 00 = 00000000 01 +28 021 00000000 00000001 01 = 00000000 01 +28 022 00000000 00000001 10 = 00000000 01 +28 023 00000000 00000001 11 = 00000000 01 +28 024 00000001 00000001 00 = 00000001 10 +28 025 00000001 00000001 01 = 00000001 10 +28 026 00000001 00000001 10 = 00000001 10 +28 027 00000001 00000001 11 = 00000001 10 +28 028 00000002 00000001 00 = 00000000 01 +28 029 00000002 00000001 01 = 00000000 01 +28 02A 00000002 00000001 10 = 00000000 01 +28 02B 00000002 00000001 11 = 00000000 01 +28 02C 7FFFFFFF 00000001 00 = 00000001 10 +28 02D 7FFFFFFF 00000001 01 = 00000001 10 +28 02E 7FFFFFFF 00000001 10 = 00000001 10 +28 02F 7FFFFFFF 00000001 11 = 00000001 10 +28 030 80000000 00000001 00 = 00000000 01 +28 031 80000000 00000001 01 = 00000000 01 +28 032 80000000 00000001 10 = 00000000 01 +28 033 80000000 00000001 11 = 00000000 01 +28 034 80000001 00000001 00 = 00000001 10 +28 035 80000001 00000001 01 = 00000001 10 +28 036 80000001 00000001 10 = 00000001 10 +28 037 80000001 00000001 11 = 00000001 10 +28 038 FFFFFFFE 00000001 00 = 00000000 01 +28 039 FFFFFFFE 00000001 01 = 00000000 01 +28 03A FFFFFFFE 00000001 10 = 00000000 01 +28 03B FFFFFFFE 00000001 11 = 00000000 01 +28 03C FFFFFFFF 00000001 00 = 00000001 10 +28 03D FFFFFFFF 00000001 01 = 00000001 10 +28 03E FFFFFFFF 00000001 10 = 00000001 10 +28 03F FFFFFFFF 00000001 11 = 00000001 10 +28 040 00000000 00000002 00 = 00000000 01 +28 041 00000000 00000002 01 = 00000000 01 +28 042 00000000 00000002 10 = 00000000 01 +28 043 00000000 00000002 11 = 00000000 01 +28 044 00000001 00000002 00 = 00000000 01 +28 045 00000001 00000002 01 = 00000000 01 +28 046 00000001 00000002 10 = 00000000 01 +28 047 00000001 00000002 11 = 00000000 01 +28 048 00000002 00000002 00 = 00000002 10 +28 049 00000002 00000002 01 = 00000002 10 +28 04A 00000002 00000002 10 = 00000002 10 +28 04B 00000002 00000002 11 = 00000002 10 +28 04C 7FFFFFFF 00000002 00 = 00000002 10 +28 04D 7FFFFFFF 00000002 01 = 00000002 10 +28 04E 7FFFFFFF 00000002 10 = 00000002 10 +28 04F 7FFFFFFF 00000002 11 = 00000002 10 +28 050 80000000 00000002 00 = 00000000 01 +28 051 80000000 00000002 01 = 00000000 01 +28 052 80000000 00000002 10 = 00000000 01 +28 053 80000000 00000002 11 = 00000000 01 +28 054 80000001 00000002 00 = 00000000 01 +28 055 80000001 00000002 01 = 00000000 01 +28 056 80000001 00000002 10 = 00000000 01 +28 057 80000001 00000002 11 = 00000000 01 +28 058 FFFFFFFE 00000002 00 = 00000002 10 +28 059 FFFFFFFE 00000002 01 = 00000002 10 +28 05A FFFFFFFE 00000002 10 = 00000002 10 +28 05B FFFFFFFE 00000002 11 = 00000002 10 +28 05C FFFFFFFF 00000002 00 = 00000002 10 +28 05D FFFFFFFF 00000002 01 = 00000002 10 +28 05E FFFFFFFF 00000002 10 = 00000002 10 +28 05F FFFFFFFF 00000002 11 = 00000002 10 +28 060 00000000 7FFFFFFF 00 = 00000000 01 +28 061 00000000 7FFFFFFF 01 = 00000000 01 +28 062 00000000 7FFFFFFF 10 = 00000000 01 +28 063 00000000 7FFFFFFF 11 = 00000000 01 +28 064 00000001 7FFFFFFF 00 = 00000001 10 +28 065 00000001 7FFFFFFF 01 = 00000001 10 +28 066 00000001 7FFFFFFF 10 = 00000001 10 +28 067 00000001 7FFFFFFF 11 = 00000001 10 +28 068 00000002 7FFFFFFF 00 = 00000002 10 +28 069 00000002 7FFFFFFF 01 = 00000002 10 +28 06A 00000002 7FFFFFFF 10 = 00000002 10 +28 06B 00000002 7FFFFFFF 11 = 00000002 10 +28 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +28 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 +28 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +28 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +28 070 80000000 7FFFFFFF 00 = 00000000 01 +28 071 80000000 7FFFFFFF 01 = 00000000 01 +28 072 80000000 7FFFFFFF 10 = 00000000 01 +28 073 80000000 7FFFFFFF 11 = 00000000 01 +28 074 80000001 7FFFFFFF 00 = 00000001 10 +28 075 80000001 7FFFFFFF 01 = 00000001 10 +28 076 80000001 7FFFFFFF 10 = 00000001 10 +28 077 80000001 7FFFFFFF 11 = 00000001 10 +28 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 00 +28 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 00 +28 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 00 +28 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 00 +28 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +28 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 +28 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +28 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +28 080 00000000 80000000 00 = 00000000 01 +28 081 00000000 80000000 01 = 00000000 01 +28 082 00000000 80000000 10 = 00000000 01 +28 083 00000000 80000000 11 = 00000000 01 +28 084 00000001 80000000 00 = 00000000 01 +28 085 00000001 80000000 01 = 00000000 01 +28 086 00000001 80000000 10 = 00000000 01 +28 087 00000001 80000000 11 = 00000000 01 +28 088 00000002 80000000 00 = 00000000 01 +28 089 00000002 80000000 01 = 00000000 01 +28 08A 00000002 80000000 10 = 00000000 01 +28 08B 00000002 80000000 11 = 00000000 01 +28 08C 7FFFFFFF 80000000 00 = 00000000 01 +28 08D 7FFFFFFF 80000000 01 = 00000000 01 +28 08E 7FFFFFFF 80000000 10 = 00000000 01 +28 08F 7FFFFFFF 80000000 11 = 00000000 01 +28 090 80000000 80000000 00 = 80000000 10 +28 091 80000000 80000000 01 = 80000000 10 +28 092 80000000 80000000 10 = 80000000 10 +28 093 80000000 80000000 11 = 80000000 10 +28 094 80000001 80000000 00 = 80000000 10 +28 095 80000001 80000000 01 = 80000000 10 +28 096 80000001 80000000 10 = 80000000 10 +28 097 80000001 80000000 11 = 80000000 10 +28 098 FFFFFFFE 80000000 00 = 80000000 10 +28 099 FFFFFFFE 80000000 01 = 80000000 10 +28 09A FFFFFFFE 80000000 10 = 80000000 10 +28 09B FFFFFFFE 80000000 11 = 80000000 10 +28 09C FFFFFFFF 80000000 00 = 80000000 10 +28 09D FFFFFFFF 80000000 01 = 80000000 10 +28 09E FFFFFFFF 80000000 10 = 80000000 10 +28 09F FFFFFFFF 80000000 11 = 80000000 10 +28 0A0 00000000 80000001 00 = 00000000 01 +28 0A1 00000000 80000001 01 = 00000000 01 +28 0A2 00000000 80000001 10 = 00000000 01 +28 0A3 00000000 80000001 11 = 00000000 01 +28 0A4 00000001 80000001 00 = 00000001 10 +28 0A5 00000001 80000001 01 = 00000001 10 +28 0A6 00000001 80000001 10 = 00000001 10 +28 0A7 00000001 80000001 11 = 00000001 10 +28 0A8 00000002 80000001 00 = 00000000 01 +28 0A9 00000002 80000001 01 = 00000000 01 +28 0AA 00000002 80000001 10 = 00000000 01 +28 0AB 00000002 80000001 11 = 00000000 01 +28 0AC 7FFFFFFF 80000001 00 = 00000001 10 +28 0AD 7FFFFFFF 80000001 01 = 00000001 10 +28 0AE 7FFFFFFF 80000001 10 = 00000001 10 +28 0AF 7FFFFFFF 80000001 11 = 00000001 10 +28 0B0 80000000 80000001 00 = 80000000 10 +28 0B1 80000000 80000001 01 = 80000000 10 +28 0B2 80000000 80000001 10 = 80000000 10 +28 0B3 80000000 80000001 11 = 80000000 10 +28 0B4 80000001 80000001 00 = 80000001 00 +28 0B5 80000001 80000001 01 = 80000001 00 +28 0B6 80000001 80000001 10 = 80000001 00 +28 0B7 80000001 80000001 11 = 80000001 00 +28 0B8 FFFFFFFE 80000001 00 = 80000000 10 +28 0B9 FFFFFFFE 80000001 01 = 80000000 10 +28 0BA FFFFFFFE 80000001 10 = 80000000 10 +28 0BB FFFFFFFE 80000001 11 = 80000000 10 +28 0BC FFFFFFFF 80000001 00 = 80000001 00 +28 0BD FFFFFFFF 80000001 01 = 80000001 00 +28 0BE FFFFFFFF 80000001 10 = 80000001 00 +28 0BF FFFFFFFF 80000001 11 = 80000001 00 +28 0C0 00000000 FFFFFFFE 00 = 00000000 01 +28 0C1 00000000 FFFFFFFE 01 = 00000000 01 +28 0C2 00000000 FFFFFFFE 10 = 00000000 01 +28 0C3 00000000 FFFFFFFE 11 = 00000000 01 +28 0C4 00000001 FFFFFFFE 00 = 00000000 01 +28 0C5 00000001 FFFFFFFE 01 = 00000000 01 +28 0C6 00000001 FFFFFFFE 10 = 00000000 01 +28 0C7 00000001 FFFFFFFE 11 = 00000000 01 +28 0C8 00000002 FFFFFFFE 00 = 00000002 10 +28 0C9 00000002 FFFFFFFE 01 = 00000002 10 +28 0CA 00000002 FFFFFFFE 10 = 00000002 10 +28 0CB 00000002 FFFFFFFE 11 = 00000002 10 +28 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 +28 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 00 +28 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 00 +28 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 00 +28 0D0 80000000 FFFFFFFE 00 = 80000000 10 +28 0D1 80000000 FFFFFFFE 01 = 80000000 10 +28 0D2 80000000 FFFFFFFE 10 = 80000000 10 +28 0D3 80000000 FFFFFFFE 11 = 80000000 10 +28 0D4 80000001 FFFFFFFE 00 = 80000000 10 +28 0D5 80000001 FFFFFFFE 01 = 80000000 10 +28 0D6 80000001 FFFFFFFE 10 = 80000000 10 +28 0D7 80000001 FFFFFFFE 11 = 80000000 10 +28 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +28 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 +28 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +28 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +28 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +28 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +28 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +28 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +28 0E0 00000000 FFFFFFFF 00 = 00000000 01 +28 0E1 00000000 FFFFFFFF 01 = 00000000 01 +28 0E2 00000000 FFFFFFFF 10 = 00000000 01 +28 0E3 00000000 FFFFFFFF 11 = 00000000 01 +28 0E4 00000001 FFFFFFFF 00 = 00000001 10 +28 0E5 00000001 FFFFFFFF 01 = 00000001 10 +28 0E6 00000001 FFFFFFFF 10 = 00000001 10 +28 0E7 00000001 FFFFFFFF 11 = 00000001 10 +28 0E8 00000002 FFFFFFFF 00 = 00000002 10 +28 0E9 00000002 FFFFFFFF 01 = 00000002 10 +28 0EA 00000002 FFFFFFFF 10 = 00000002 10 +28 0EB 00000002 FFFFFFFF 11 = 00000002 10 +28 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 +28 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 10 +28 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +28 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 +28 0F0 80000000 FFFFFFFF 00 = 80000000 10 +28 0F1 80000000 FFFFFFFF 01 = 80000000 10 +28 0F2 80000000 FFFFFFFF 10 = 80000000 10 +28 0F3 80000000 FFFFFFFF 11 = 80000000 10 +28 0F4 80000001 FFFFFFFF 00 = 80000001 00 +28 0F5 80000001 FFFFFFFF 01 = 80000001 00 +28 0F6 80000001 FFFFFFFF 10 = 80000001 00 +28 0F7 80000001 FFFFFFFF 11 = 80000001 00 +28 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +28 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 +28 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +28 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +28 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +28 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +28 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +28 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +or ---D---- ---S---- CZ = ---Q---- CZ +29 000 00000000 00000000 00 = 00000000 01 +29 001 00000000 00000000 01 = 00000000 01 +29 002 00000000 00000000 10 = 00000000 01 +29 003 00000000 00000000 11 = 00000000 01 +29 004 00000001 00000000 00 = 00000001 10 +29 005 00000001 00000000 01 = 00000001 10 +29 006 00000001 00000000 10 = 00000001 10 +29 007 00000001 00000000 11 = 00000001 10 +29 008 00000002 00000000 00 = 00000002 10 +29 009 00000002 00000000 01 = 00000002 10 +29 00A 00000002 00000000 10 = 00000002 10 +29 00B 00000002 00000000 11 = 00000002 10 +29 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +29 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +29 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +29 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +29 010 80000000 00000000 00 = 80000000 10 +29 011 80000000 00000000 01 = 80000000 10 +29 012 80000000 00000000 10 = 80000000 10 +29 013 80000000 00000000 11 = 80000000 10 +29 014 80000001 00000000 00 = 80000001 00 +29 015 80000001 00000000 01 = 80000001 00 +29 016 80000001 00000000 10 = 80000001 00 +29 017 80000001 00000000 11 = 80000001 00 +29 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +29 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +29 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +29 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +29 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +29 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +29 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +29 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +29 020 00000000 00000001 00 = 00000001 10 +29 021 00000000 00000001 01 = 00000001 10 +29 022 00000000 00000001 10 = 00000001 10 +29 023 00000000 00000001 11 = 00000001 10 +29 024 00000001 00000001 00 = 00000001 10 +29 025 00000001 00000001 01 = 00000001 10 +29 026 00000001 00000001 10 = 00000001 10 +29 027 00000001 00000001 11 = 00000001 10 +29 028 00000002 00000001 00 = 00000003 00 +29 029 00000002 00000001 01 = 00000003 00 +29 02A 00000002 00000001 10 = 00000003 00 +29 02B 00000002 00000001 11 = 00000003 00 +29 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 +29 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 +29 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +29 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 +29 030 80000000 00000001 00 = 80000001 00 +29 031 80000000 00000001 01 = 80000001 00 +29 032 80000000 00000001 10 = 80000001 00 +29 033 80000000 00000001 11 = 80000001 00 +29 034 80000001 00000001 00 = 80000001 00 +29 035 80000001 00000001 01 = 80000001 00 +29 036 80000001 00000001 10 = 80000001 00 +29 037 80000001 00000001 11 = 80000001 00 +29 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +29 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +29 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +29 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +29 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +29 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 +29 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +29 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 +29 040 00000000 00000002 00 = 00000002 10 +29 041 00000000 00000002 01 = 00000002 10 +29 042 00000000 00000002 10 = 00000002 10 +29 043 00000000 00000002 11 = 00000002 10 +29 044 00000001 00000002 00 = 00000003 00 +29 045 00000001 00000002 01 = 00000003 00 +29 046 00000001 00000002 10 = 00000003 00 +29 047 00000001 00000002 11 = 00000003 00 +29 048 00000002 00000002 00 = 00000002 10 +29 049 00000002 00000002 01 = 00000002 10 +29 04A 00000002 00000002 10 = 00000002 10 +29 04B 00000002 00000002 11 = 00000002 10 +29 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 +29 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 +29 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +29 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 +29 050 80000000 00000002 00 = 80000002 00 +29 051 80000000 00000002 01 = 80000002 00 +29 052 80000000 00000002 10 = 80000002 00 +29 053 80000000 00000002 11 = 80000002 00 +29 054 80000001 00000002 00 = 80000003 10 +29 055 80000001 00000002 01 = 80000003 10 +29 056 80000001 00000002 10 = 80000003 10 +29 057 80000001 00000002 11 = 80000003 10 +29 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +29 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +29 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +29 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +29 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +29 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 +29 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +29 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 +29 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 +29 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 +29 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 +29 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 +29 064 00000001 7FFFFFFF 00 = 7FFFFFFF 10 +29 065 00000001 7FFFFFFF 01 = 7FFFFFFF 10 +29 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 +29 067 00000001 7FFFFFFF 11 = 7FFFFFFF 10 +29 068 00000002 7FFFFFFF 00 = 7FFFFFFF 10 +29 069 00000002 7FFFFFFF 01 = 7FFFFFFF 10 +29 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 +29 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 +29 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +29 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 +29 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +29 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +29 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +29 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +29 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +29 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +29 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 +29 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 +29 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 +29 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 +29 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +29 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 00 +29 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 00 +29 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 00 +29 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +29 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +29 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +29 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +29 080 00000000 80000000 00 = 80000000 10 +29 081 00000000 80000000 01 = 80000000 10 +29 082 00000000 80000000 10 = 80000000 10 +29 083 00000000 80000000 11 = 80000000 10 +29 084 00000001 80000000 00 = 80000001 00 +29 085 00000001 80000000 01 = 80000001 00 +29 086 00000001 80000000 10 = 80000001 00 +29 087 00000001 80000000 11 = 80000001 00 +29 088 00000002 80000000 00 = 80000002 00 +29 089 00000002 80000000 01 = 80000002 00 +29 08A 00000002 80000000 10 = 80000002 00 +29 08B 00000002 80000000 11 = 80000002 00 +29 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +29 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +29 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +29 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +29 090 80000000 80000000 00 = 80000000 10 +29 091 80000000 80000000 01 = 80000000 10 +29 092 80000000 80000000 10 = 80000000 10 +29 093 80000000 80000000 11 = 80000000 10 +29 094 80000001 80000000 00 = 80000001 00 +29 095 80000001 80000000 01 = 80000001 00 +29 096 80000001 80000000 10 = 80000001 00 +29 097 80000001 80000000 11 = 80000001 00 +29 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +29 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 +29 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +29 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 +29 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +29 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +29 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +29 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +29 0A0 00000000 80000001 00 = 80000001 00 +29 0A1 00000000 80000001 01 = 80000001 00 +29 0A2 00000000 80000001 10 = 80000001 00 +29 0A3 00000000 80000001 11 = 80000001 00 +29 0A4 00000001 80000001 00 = 80000001 00 +29 0A5 00000001 80000001 01 = 80000001 00 +29 0A6 00000001 80000001 10 = 80000001 00 +29 0A7 00000001 80000001 11 = 80000001 00 +29 0A8 00000002 80000001 00 = 80000003 10 +29 0A9 00000002 80000001 01 = 80000003 10 +29 0AA 00000002 80000001 10 = 80000003 10 +29 0AB 00000002 80000001 11 = 80000003 10 +29 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +29 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 +29 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 00 +29 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 00 +29 0B0 80000000 80000001 00 = 80000001 00 +29 0B1 80000000 80000001 01 = 80000001 00 +29 0B2 80000000 80000001 10 = 80000001 00 +29 0B3 80000000 80000001 11 = 80000001 00 +29 0B4 80000001 80000001 00 = 80000001 00 +29 0B5 80000001 80000001 01 = 80000001 00 +29 0B6 80000001 80000001 10 = 80000001 00 +29 0B7 80000001 80000001 11 = 80000001 00 +29 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 +29 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 00 +29 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 +29 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 +29 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +29 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +29 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +29 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +29 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +29 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +29 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +29 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +29 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +29 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +29 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 +29 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +29 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 +29 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 +29 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 +29 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 +29 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +29 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +29 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +29 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +29 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 +29 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 +29 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +29 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 +29 0D4 80000001 FFFFFFFE 00 = FFFFFFFF 00 +29 0D5 80000001 FFFFFFFE 01 = FFFFFFFF 00 +29 0D6 80000001 FFFFFFFE 10 = FFFFFFFF 00 +29 0D7 80000001 FFFFFFFE 11 = FFFFFFFF 00 +29 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +29 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 +29 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +29 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +29 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +29 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +29 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +29 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +29 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +29 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +29 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 +29 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +29 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 +29 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 +29 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 00 +29 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 00 +29 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 00 +29 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 00 +29 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 00 +29 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 00 +29 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +29 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +29 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +29 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +29 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 +29 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 00 +29 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 00 +29 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 00 +29 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +29 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 +29 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 +29 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 +29 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +29 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 +29 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 +29 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 +29 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +29 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +29 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +29 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +xor ---D---- ---S---- CZ = ---Q---- CZ +2A 000 00000000 00000000 00 = 00000000 01 +2A 001 00000000 00000000 01 = 00000000 01 +2A 002 00000000 00000000 10 = 00000000 01 +2A 003 00000000 00000000 11 = 00000000 01 +2A 004 00000001 00000000 00 = 00000001 10 +2A 005 00000001 00000000 01 = 00000001 10 +2A 006 00000001 00000000 10 = 00000001 10 +2A 007 00000001 00000000 11 = 00000001 10 +2A 008 00000002 00000000 00 = 00000002 10 +2A 009 00000002 00000000 01 = 00000002 10 +2A 00A 00000002 00000000 10 = 00000002 10 +2A 00B 00000002 00000000 11 = 00000002 10 +2A 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +2A 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +2A 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +2A 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +2A 010 80000000 00000000 00 = 80000000 10 +2A 011 80000000 00000000 01 = 80000000 10 +2A 012 80000000 00000000 10 = 80000000 10 +2A 013 80000000 00000000 11 = 80000000 10 +2A 014 80000001 00000000 00 = 80000001 00 +2A 015 80000001 00000000 01 = 80000001 00 +2A 016 80000001 00000000 10 = 80000001 00 +2A 017 80000001 00000000 11 = 80000001 00 +2A 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +2A 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +2A 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +2A 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +2A 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +2A 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +2A 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +2A 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +2A 020 00000000 00000001 00 = 00000001 10 +2A 021 00000000 00000001 01 = 00000001 10 +2A 022 00000000 00000001 10 = 00000001 10 +2A 023 00000000 00000001 11 = 00000001 10 +2A 024 00000001 00000001 00 = 00000000 01 +2A 025 00000001 00000001 01 = 00000000 01 +2A 026 00000001 00000001 10 = 00000000 01 +2A 027 00000001 00000001 11 = 00000000 01 +2A 028 00000002 00000001 00 = 00000003 00 +2A 029 00000002 00000001 01 = 00000003 00 +2A 02A 00000002 00000001 10 = 00000003 00 +2A 02B 00000002 00000001 11 = 00000003 00 +2A 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +2A 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +2A 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 +2A 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 +2A 030 80000000 00000001 00 = 80000001 00 +2A 031 80000000 00000001 01 = 80000001 00 +2A 032 80000000 00000001 10 = 80000001 00 +2A 033 80000000 00000001 11 = 80000001 00 +2A 034 80000001 00000001 00 = 80000000 10 +2A 035 80000001 00000001 01 = 80000000 10 +2A 036 80000001 00000001 10 = 80000000 10 +2A 037 80000001 00000001 11 = 80000000 10 +2A 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +2A 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +2A 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +2A 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +2A 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +2A 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 +2A 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 +2A 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 +2A 040 00000000 00000002 00 = 00000002 10 +2A 041 00000000 00000002 01 = 00000002 10 +2A 042 00000000 00000002 10 = 00000002 10 +2A 043 00000000 00000002 11 = 00000002 10 +2A 044 00000001 00000002 00 = 00000003 00 +2A 045 00000001 00000002 01 = 00000003 00 +2A 046 00000001 00000002 10 = 00000003 00 +2A 047 00000001 00000002 11 = 00000003 00 +2A 048 00000002 00000002 00 = 00000000 01 +2A 049 00000002 00000002 01 = 00000000 01 +2A 04A 00000002 00000002 10 = 00000000 01 +2A 04B 00000002 00000002 11 = 00000000 01 +2A 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 +2A 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 +2A 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 +2A 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 +2A 050 80000000 00000002 00 = 80000002 00 +2A 051 80000000 00000002 01 = 80000002 00 +2A 052 80000000 00000002 10 = 80000002 00 +2A 053 80000000 00000002 11 = 80000002 00 +2A 054 80000001 00000002 00 = 80000003 10 +2A 055 80000001 00000002 01 = 80000003 10 +2A 056 80000001 00000002 10 = 80000003 10 +2A 057 80000001 00000002 11 = 80000003 10 +2A 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +2A 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +2A 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 +2A 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 +2A 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 +2A 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 +2A 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 +2A 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 +2A 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 +2A 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 +2A 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 +2A 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 +2A 064 00000001 7FFFFFFF 00 = 7FFFFFFE 00 +2A 065 00000001 7FFFFFFF 01 = 7FFFFFFE 00 +2A 066 00000001 7FFFFFFF 10 = 7FFFFFFE 00 +2A 067 00000001 7FFFFFFF 11 = 7FFFFFFE 00 +2A 068 00000002 7FFFFFFF 00 = 7FFFFFFD 00 +2A 069 00000002 7FFFFFFF 01 = 7FFFFFFD 00 +2A 06A 00000002 7FFFFFFF 10 = 7FFFFFFD 00 +2A 06B 00000002 7FFFFFFF 11 = 7FFFFFFD 00 +2A 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 +2A 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +2A 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 +2A 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 +2A 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +2A 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +2A 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +2A 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +2A 074 80000001 7FFFFFFF 00 = FFFFFFFE 10 +2A 075 80000001 7FFFFFFF 01 = FFFFFFFE 10 +2A 076 80000001 7FFFFFFF 10 = FFFFFFFE 10 +2A 077 80000001 7FFFFFFF 11 = FFFFFFFE 10 +2A 078 FFFFFFFE 7FFFFFFF 00 = 80000001 00 +2A 079 FFFFFFFE 7FFFFFFF 01 = 80000001 00 +2A 07A FFFFFFFE 7FFFFFFF 10 = 80000001 00 +2A 07B FFFFFFFE 7FFFFFFF 11 = 80000001 00 +2A 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +2A 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 +2A 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +2A 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +2A 080 00000000 80000000 00 = 80000000 10 +2A 081 00000000 80000000 01 = 80000000 10 +2A 082 00000000 80000000 10 = 80000000 10 +2A 083 00000000 80000000 11 = 80000000 10 +2A 084 00000001 80000000 00 = 80000001 00 +2A 085 00000001 80000000 01 = 80000001 00 +2A 086 00000001 80000000 10 = 80000001 00 +2A 087 00000001 80000000 11 = 80000001 00 +2A 088 00000002 80000000 00 = 80000002 00 +2A 089 00000002 80000000 01 = 80000002 00 +2A 08A 00000002 80000000 10 = 80000002 00 +2A 08B 00000002 80000000 11 = 80000002 00 +2A 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +2A 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +2A 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +2A 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +2A 090 80000000 80000000 00 = 00000000 01 +2A 091 80000000 80000000 01 = 00000000 01 +2A 092 80000000 80000000 10 = 00000000 01 +2A 093 80000000 80000000 11 = 00000000 01 +2A 094 80000001 80000000 00 = 00000001 10 +2A 095 80000001 80000000 01 = 00000001 10 +2A 096 80000001 80000000 10 = 00000001 10 +2A 097 80000001 80000000 11 = 00000001 10 +2A 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 +2A 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 +2A 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 +2A 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 +2A 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +2A 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +2A 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 +2A 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 +2A 0A0 00000000 80000001 00 = 80000001 00 +2A 0A1 00000000 80000001 01 = 80000001 00 +2A 0A2 00000000 80000001 10 = 80000001 00 +2A 0A3 00000000 80000001 11 = 80000001 00 +2A 0A4 00000001 80000001 00 = 80000000 10 +2A 0A5 00000001 80000001 01 = 80000000 10 +2A 0A6 00000001 80000001 10 = 80000000 10 +2A 0A7 00000001 80000001 11 = 80000000 10 +2A 0A8 00000002 80000001 00 = 80000003 10 +2A 0A9 00000002 80000001 01 = 80000003 10 +2A 0AA 00000002 80000001 10 = 80000003 10 +2A 0AB 00000002 80000001 11 = 80000003 10 +2A 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 +2A 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 +2A 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 +2A 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 +2A 0B0 80000000 80000001 00 = 00000001 10 +2A 0B1 80000000 80000001 01 = 00000001 10 +2A 0B2 80000000 80000001 10 = 00000001 10 +2A 0B3 80000000 80000001 11 = 00000001 10 +2A 0B4 80000001 80000001 00 = 00000000 01 +2A 0B5 80000001 80000001 01 = 00000000 01 +2A 0B6 80000001 80000001 10 = 00000000 01 +2A 0B7 80000001 80000001 11 = 00000000 01 +2A 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 +2A 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 +2A 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 +2A 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 +2A 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +2A 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +2A 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 +2A 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 +2A 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +2A 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +2A 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +2A 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +2A 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +2A 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +2A 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 +2A 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +2A 0C8 00000002 FFFFFFFE 00 = FFFFFFFC 00 +2A 0C9 00000002 FFFFFFFE 01 = FFFFFFFC 00 +2A 0CA 00000002 FFFFFFFE 10 = FFFFFFFC 00 +2A 0CB 00000002 FFFFFFFE 11 = FFFFFFFC 00 +2A 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 00 +2A 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 00 +2A 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 00 +2A 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 00 +2A 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 00 +2A 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 00 +2A 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 00 +2A 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 00 +2A 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 +2A 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 +2A 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 +2A 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 +2A 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 +2A 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +2A 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 +2A 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 +2A 0DC FFFFFFFF FFFFFFFE 00 = 00000001 10 +2A 0DD FFFFFFFF FFFFFFFE 01 = 00000001 10 +2A 0DE FFFFFFFF FFFFFFFE 10 = 00000001 10 +2A 0DF FFFFFFFF FFFFFFFE 11 = 00000001 10 +2A 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +2A 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +2A 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 +2A 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +2A 0E4 00000001 FFFFFFFF 00 = FFFFFFFE 10 +2A 0E5 00000001 FFFFFFFF 01 = FFFFFFFE 10 +2A 0E6 00000001 FFFFFFFF 10 = FFFFFFFE 10 +2A 0E7 00000001 FFFFFFFF 11 = FFFFFFFE 10 +2A 0E8 00000002 FFFFFFFF 00 = FFFFFFFD 10 +2A 0E9 00000002 FFFFFFFF 01 = FFFFFFFD 10 +2A 0EA 00000002 FFFFFFFF 10 = FFFFFFFD 10 +2A 0EB 00000002 FFFFFFFF 11 = FFFFFFFD 10 +2A 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 +2A 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +2A 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 +2A 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 +2A 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 +2A 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 +2A 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 +2A 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 +2A 0F4 80000001 FFFFFFFF 00 = 7FFFFFFE 00 +2A 0F5 80000001 FFFFFFFF 01 = 7FFFFFFE 00 +2A 0F6 80000001 FFFFFFFF 10 = 7FFFFFFE 00 +2A 0F7 80000001 FFFFFFFF 11 = 7FFFFFFE 00 +2A 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 10 +2A 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 10 +2A 0FA FFFFFFFE FFFFFFFF 10 = 00000001 10 +2A 0FB FFFFFFFE FFFFFFFF 11 = 00000001 10 +2A 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 +2A 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +2A 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 +2A 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 +muxc ---D---- ---S---- CZ = ---Q---- CZ +2B 000 00000000 00000000 00 = 00000000 01 +2B 001 00000000 00000000 01 = 00000000 01 +2B 002 00000000 00000000 10 = 00000000 01 +2B 003 00000000 00000000 11 = 00000000 01 +2B 004 00000001 00000000 00 = 00000001 10 +2B 005 00000001 00000000 01 = 00000001 10 +2B 006 00000001 00000000 10 = 00000001 10 +2B 007 00000001 00000000 11 = 00000001 10 +2B 008 00000002 00000000 00 = 00000002 10 +2B 009 00000002 00000000 01 = 00000002 10 +2B 00A 00000002 00000000 10 = 00000002 10 +2B 00B 00000002 00000000 11 = 00000002 10 +2B 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +2B 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +2B 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +2B 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +2B 010 80000000 00000000 00 = 80000000 10 +2B 011 80000000 00000000 01 = 80000000 10 +2B 012 80000000 00000000 10 = 80000000 10 +2B 013 80000000 00000000 11 = 80000000 10 +2B 014 80000001 00000000 00 = 80000001 00 +2B 015 80000001 00000000 01 = 80000001 00 +2B 016 80000001 00000000 10 = 80000001 00 +2B 017 80000001 00000000 11 = 80000001 00 +2B 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +2B 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +2B 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +2B 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +2B 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +2B 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +2B 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +2B 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +2B 020 00000000 00000001 00 = 00000000 01 +2B 021 00000000 00000001 01 = 00000000 01 +2B 022 00000000 00000001 10 = 00000001 10 +2B 023 00000000 00000001 11 = 00000001 10 +2B 024 00000001 00000001 00 = 00000000 01 +2B 025 00000001 00000001 01 = 00000000 01 +2B 026 00000001 00000001 10 = 00000001 10 +2B 027 00000001 00000001 11 = 00000001 10 +2B 028 00000002 00000001 00 = 00000002 10 +2B 029 00000002 00000001 01 = 00000002 10 +2B 02A 00000002 00000001 10 = 00000003 00 +2B 02B 00000002 00000001 11 = 00000003 00 +2B 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +2B 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +2B 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +2B 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 +2B 030 80000000 00000001 00 = 80000000 10 +2B 031 80000000 00000001 01 = 80000000 10 +2B 032 80000000 00000001 10 = 80000001 00 +2B 033 80000000 00000001 11 = 80000001 00 +2B 034 80000001 00000001 00 = 80000000 10 +2B 035 80000001 00000001 01 = 80000000 10 +2B 036 80000001 00000001 10 = 80000001 00 +2B 037 80000001 00000001 11 = 80000001 00 +2B 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +2B 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 +2B 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +2B 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +2B 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +2B 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 +2B 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +2B 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 +2B 040 00000000 00000002 00 = 00000000 01 +2B 041 00000000 00000002 01 = 00000000 01 +2B 042 00000000 00000002 10 = 00000002 10 +2B 043 00000000 00000002 11 = 00000002 10 +2B 044 00000001 00000002 00 = 00000001 10 +2B 045 00000001 00000002 01 = 00000001 10 +2B 046 00000001 00000002 10 = 00000003 00 +2B 047 00000001 00000002 11 = 00000003 00 +2B 048 00000002 00000002 00 = 00000000 01 +2B 049 00000002 00000002 01 = 00000000 01 +2B 04A 00000002 00000002 10 = 00000002 10 +2B 04B 00000002 00000002 11 = 00000002 10 +2B 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 +2B 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 +2B 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +2B 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 +2B 050 80000000 00000002 00 = 80000000 10 +2B 051 80000000 00000002 01 = 80000000 10 +2B 052 80000000 00000002 10 = 80000002 00 +2B 053 80000000 00000002 11 = 80000002 00 +2B 054 80000001 00000002 00 = 80000001 00 +2B 055 80000001 00000002 01 = 80000001 00 +2B 056 80000001 00000002 10 = 80000003 10 +2B 057 80000001 00000002 11 = 80000003 10 +2B 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +2B 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +2B 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +2B 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +2B 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 +2B 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 +2B 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +2B 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 +2B 060 00000000 7FFFFFFF 00 = 00000000 01 +2B 061 00000000 7FFFFFFF 01 = 00000000 01 +2B 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 +2B 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 +2B 064 00000001 7FFFFFFF 00 = 00000000 01 +2B 065 00000001 7FFFFFFF 01 = 00000000 01 +2B 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 +2B 067 00000001 7FFFFFFF 11 = 7FFFFFFF 10 +2B 068 00000002 7FFFFFFF 00 = 00000000 01 +2B 069 00000002 7FFFFFFF 01 = 00000000 01 +2B 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 +2B 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 +2B 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 +2B 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +2B 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +2B 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +2B 070 80000000 7FFFFFFF 00 = 80000000 10 +2B 071 80000000 7FFFFFFF 01 = 80000000 10 +2B 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +2B 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +2B 074 80000001 7FFFFFFF 00 = 80000000 10 +2B 075 80000001 7FFFFFFF 01 = 80000000 10 +2B 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 +2B 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 +2B 078 FFFFFFFE 7FFFFFFF 00 = 80000000 10 +2B 079 FFFFFFFE 7FFFFFFF 01 = 80000000 10 +2B 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 00 +2B 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 00 +2B 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +2B 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 +2B 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +2B 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +2B 080 00000000 80000000 00 = 00000000 01 +2B 081 00000000 80000000 01 = 00000000 01 +2B 082 00000000 80000000 10 = 80000000 10 +2B 083 00000000 80000000 11 = 80000000 10 +2B 084 00000001 80000000 00 = 00000001 10 +2B 085 00000001 80000000 01 = 00000001 10 +2B 086 00000001 80000000 10 = 80000001 00 +2B 087 00000001 80000000 11 = 80000001 00 +2B 088 00000002 80000000 00 = 00000002 10 +2B 089 00000002 80000000 01 = 00000002 10 +2B 08A 00000002 80000000 10 = 80000002 00 +2B 08B 00000002 80000000 11 = 80000002 00 +2B 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +2B 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +2B 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +2B 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +2B 090 80000000 80000000 00 = 00000000 01 +2B 091 80000000 80000000 01 = 00000000 01 +2B 092 80000000 80000000 10 = 80000000 10 +2B 093 80000000 80000000 11 = 80000000 10 +2B 094 80000001 80000000 00 = 00000001 10 +2B 095 80000001 80000000 01 = 00000001 10 +2B 096 80000001 80000000 10 = 80000001 00 +2B 097 80000001 80000000 11 = 80000001 00 +2B 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 +2B 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 +2B 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +2B 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 +2B 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +2B 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +2B 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +2B 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +2B 0A0 00000000 80000001 00 = 00000000 01 +2B 0A1 00000000 80000001 01 = 00000000 01 +2B 0A2 00000000 80000001 10 = 80000001 00 +2B 0A3 00000000 80000001 11 = 80000001 00 +2B 0A4 00000001 80000001 00 = 00000000 01 +2B 0A5 00000001 80000001 01 = 00000000 01 +2B 0A6 00000001 80000001 10 = 80000001 00 +2B 0A7 00000001 80000001 11 = 80000001 00 +2B 0A8 00000002 80000001 00 = 00000002 10 +2B 0A9 00000002 80000001 01 = 00000002 10 +2B 0AA 00000002 80000001 10 = 80000003 10 +2B 0AB 00000002 80000001 11 = 80000003 10 +2B 0AC 7FFFFFFF 80000001 00 = 7FFFFFFE 00 +2B 0AD 7FFFFFFF 80000001 01 = 7FFFFFFE 00 +2B 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 00 +2B 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 00 +2B 0B0 80000000 80000001 00 = 00000000 01 +2B 0B1 80000000 80000001 01 = 00000000 01 +2B 0B2 80000000 80000001 10 = 80000001 00 +2B 0B3 80000000 80000001 11 = 80000001 00 +2B 0B4 80000001 80000001 00 = 00000000 01 +2B 0B5 80000001 80000001 01 = 00000000 01 +2B 0B6 80000001 80000001 10 = 80000001 00 +2B 0B7 80000001 80000001 11 = 80000001 00 +2B 0B8 FFFFFFFE 80000001 00 = 7FFFFFFE 00 +2B 0B9 FFFFFFFE 80000001 01 = 7FFFFFFE 00 +2B 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 +2B 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 +2B 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +2B 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +2B 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +2B 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +2B 0C0 00000000 FFFFFFFE 00 = 00000000 01 +2B 0C1 00000000 FFFFFFFE 01 = 00000000 01 +2B 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +2B 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +2B 0C4 00000001 FFFFFFFE 00 = 00000001 10 +2B 0C5 00000001 FFFFFFFE 01 = 00000001 10 +2B 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 +2B 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +2B 0C8 00000002 FFFFFFFE 00 = 00000000 01 +2B 0C9 00000002 FFFFFFFE 01 = 00000000 01 +2B 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 +2B 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 +2B 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 +2B 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 +2B 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +2B 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +2B 0D0 80000000 FFFFFFFE 00 = 00000000 01 +2B 0D1 80000000 FFFFFFFE 01 = 00000000 01 +2B 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +2B 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 +2B 0D4 80000001 FFFFFFFE 00 = 00000001 10 +2B 0D5 80000001 FFFFFFFE 01 = 00000001 10 +2B 0D6 80000001 FFFFFFFE 10 = FFFFFFFF 00 +2B 0D7 80000001 FFFFFFFE 11 = FFFFFFFF 00 +2B 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 +2B 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +2B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +2B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +2B 0DC FFFFFFFF FFFFFFFE 00 = 00000001 10 +2B 0DD FFFFFFFF FFFFFFFE 01 = 00000001 10 +2B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +2B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +2B 0E0 00000000 FFFFFFFF 00 = 00000000 01 +2B 0E1 00000000 FFFFFFFF 01 = 00000000 01 +2B 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 +2B 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +2B 0E4 00000001 FFFFFFFF 00 = 00000000 01 +2B 0E5 00000001 FFFFFFFF 01 = 00000000 01 +2B 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 00 +2B 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 00 +2B 0E8 00000002 FFFFFFFF 00 = 00000000 01 +2B 0E9 00000002 FFFFFFFF 01 = 00000000 01 +2B 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 00 +2B 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 00 +2B 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 01 +2B 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 01 +2B 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +2B 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +2B 0F0 80000000 FFFFFFFF 00 = 00000000 01 +2B 0F1 80000000 FFFFFFFF 01 = 00000000 01 +2B 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 00 +2B 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 00 +2B 0F4 80000001 FFFFFFFF 00 = 00000000 01 +2B 0F5 80000001 FFFFFFFF 01 = 00000000 01 +2B 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 +2B 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 +2B 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 01 +2B 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 01 +2B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 +2B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 +2B 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 +2B 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +2B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +2B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +muxnc ---D---- ---S---- CZ = ---Q---- CZ +2C 000 00000000 00000000 00 = 00000000 01 +2C 001 00000000 00000000 01 = 00000000 01 +2C 002 00000000 00000000 10 = 00000000 01 +2C 003 00000000 00000000 11 = 00000000 01 +2C 004 00000001 00000000 00 = 00000001 10 +2C 005 00000001 00000000 01 = 00000001 10 +2C 006 00000001 00000000 10 = 00000001 10 +2C 007 00000001 00000000 11 = 00000001 10 +2C 008 00000002 00000000 00 = 00000002 10 +2C 009 00000002 00000000 01 = 00000002 10 +2C 00A 00000002 00000000 10 = 00000002 10 +2C 00B 00000002 00000000 11 = 00000002 10 +2C 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +2C 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +2C 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +2C 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +2C 010 80000000 00000000 00 = 80000000 10 +2C 011 80000000 00000000 01 = 80000000 10 +2C 012 80000000 00000000 10 = 80000000 10 +2C 013 80000000 00000000 11 = 80000000 10 +2C 014 80000001 00000000 00 = 80000001 00 +2C 015 80000001 00000000 01 = 80000001 00 +2C 016 80000001 00000000 10 = 80000001 00 +2C 017 80000001 00000000 11 = 80000001 00 +2C 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +2C 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +2C 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +2C 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +2C 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +2C 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +2C 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +2C 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +2C 020 00000000 00000001 00 = 00000001 10 +2C 021 00000000 00000001 01 = 00000001 10 +2C 022 00000000 00000001 10 = 00000000 01 +2C 023 00000000 00000001 11 = 00000000 01 +2C 024 00000001 00000001 00 = 00000001 10 +2C 025 00000001 00000001 01 = 00000001 10 +2C 026 00000001 00000001 10 = 00000000 01 +2C 027 00000001 00000001 11 = 00000000 01 +2C 028 00000002 00000001 00 = 00000003 00 +2C 029 00000002 00000001 01 = 00000003 00 +2C 02A 00000002 00000001 10 = 00000002 10 +2C 02B 00000002 00000001 11 = 00000002 10 +2C 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 +2C 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 +2C 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 +2C 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 +2C 030 80000000 00000001 00 = 80000001 00 +2C 031 80000000 00000001 01 = 80000001 00 +2C 032 80000000 00000001 10 = 80000000 10 +2C 033 80000000 00000001 11 = 80000000 10 +2C 034 80000001 00000001 00 = 80000001 00 +2C 035 80000001 00000001 01 = 80000001 00 +2C 036 80000001 00000001 10 = 80000000 10 +2C 037 80000001 00000001 11 = 80000000 10 +2C 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +2C 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +2C 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +2C 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +2C 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +2C 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 +2C 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 +2C 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 +2C 040 00000000 00000002 00 = 00000002 10 +2C 041 00000000 00000002 01 = 00000002 10 +2C 042 00000000 00000002 10 = 00000000 01 +2C 043 00000000 00000002 11 = 00000000 01 +2C 044 00000001 00000002 00 = 00000003 00 +2C 045 00000001 00000002 01 = 00000003 00 +2C 046 00000001 00000002 10 = 00000001 10 +2C 047 00000001 00000002 11 = 00000001 10 +2C 048 00000002 00000002 00 = 00000002 10 +2C 049 00000002 00000002 01 = 00000002 10 +2C 04A 00000002 00000002 10 = 00000000 01 +2C 04B 00000002 00000002 11 = 00000000 01 +2C 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 +2C 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 +2C 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 +2C 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 +2C 050 80000000 00000002 00 = 80000002 00 +2C 051 80000000 00000002 01 = 80000002 00 +2C 052 80000000 00000002 10 = 80000000 10 +2C 053 80000000 00000002 11 = 80000000 10 +2C 054 80000001 00000002 00 = 80000003 10 +2C 055 80000001 00000002 01 = 80000003 10 +2C 056 80000001 00000002 10 = 80000001 00 +2C 057 80000001 00000002 11 = 80000001 00 +2C 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +2C 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +2C 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 +2C 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 +2C 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +2C 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 +2C 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 +2C 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 +2C 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 +2C 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 +2C 062 00000000 7FFFFFFF 10 = 00000000 01 +2C 063 00000000 7FFFFFFF 11 = 00000000 01 +2C 064 00000001 7FFFFFFF 00 = 7FFFFFFF 10 +2C 065 00000001 7FFFFFFF 01 = 7FFFFFFF 10 +2C 066 00000001 7FFFFFFF 10 = 00000000 01 +2C 067 00000001 7FFFFFFF 11 = 00000000 01 +2C 068 00000002 7FFFFFFF 00 = 7FFFFFFF 10 +2C 069 00000002 7FFFFFFF 01 = 7FFFFFFF 10 +2C 06A 00000002 7FFFFFFF 10 = 00000000 01 +2C 06B 00000002 7FFFFFFF 11 = 00000000 01 +2C 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +2C 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 +2C 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 +2C 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 +2C 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +2C 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +2C 072 80000000 7FFFFFFF 10 = 80000000 10 +2C 073 80000000 7FFFFFFF 11 = 80000000 10 +2C 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 +2C 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 +2C 076 80000001 7FFFFFFF 10 = 80000000 10 +2C 077 80000001 7FFFFFFF 11 = 80000000 10 +2C 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +2C 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 00 +2C 07A FFFFFFFE 7FFFFFFF 10 = 80000000 10 +2C 07B FFFFFFFE 7FFFFFFF 11 = 80000000 10 +2C 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +2C 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +2C 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +2C 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +2C 080 00000000 80000000 00 = 80000000 10 +2C 081 00000000 80000000 01 = 80000000 10 +2C 082 00000000 80000000 10 = 00000000 01 +2C 083 00000000 80000000 11 = 00000000 01 +2C 084 00000001 80000000 00 = 80000001 00 +2C 085 00000001 80000000 01 = 80000001 00 +2C 086 00000001 80000000 10 = 00000001 10 +2C 087 00000001 80000000 11 = 00000001 10 +2C 088 00000002 80000000 00 = 80000002 00 +2C 089 00000002 80000000 01 = 80000002 00 +2C 08A 00000002 80000000 10 = 00000002 10 +2C 08B 00000002 80000000 11 = 00000002 10 +2C 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +2C 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +2C 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +2C 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +2C 090 80000000 80000000 00 = 80000000 10 +2C 091 80000000 80000000 01 = 80000000 10 +2C 092 80000000 80000000 10 = 00000000 01 +2C 093 80000000 80000000 11 = 00000000 01 +2C 094 80000001 80000000 00 = 80000001 00 +2C 095 80000001 80000000 01 = 80000001 00 +2C 096 80000001 80000000 10 = 00000001 10 +2C 097 80000001 80000000 11 = 00000001 10 +2C 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +2C 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 +2C 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 +2C 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 +2C 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +2C 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +2C 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 +2C 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 +2C 0A0 00000000 80000001 00 = 80000001 00 +2C 0A1 00000000 80000001 01 = 80000001 00 +2C 0A2 00000000 80000001 10 = 00000000 01 +2C 0A3 00000000 80000001 11 = 00000000 01 +2C 0A4 00000001 80000001 00 = 80000001 00 +2C 0A5 00000001 80000001 01 = 80000001 00 +2C 0A6 00000001 80000001 10 = 00000000 01 +2C 0A7 00000001 80000001 11 = 00000000 01 +2C 0A8 00000002 80000001 00 = 80000003 10 +2C 0A9 00000002 80000001 01 = 80000003 10 +2C 0AA 00000002 80000001 10 = 00000002 10 +2C 0AB 00000002 80000001 11 = 00000002 10 +2C 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +2C 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 +2C 0AE 7FFFFFFF 80000001 10 = 7FFFFFFE 00 +2C 0AF 7FFFFFFF 80000001 11 = 7FFFFFFE 00 +2C 0B0 80000000 80000001 00 = 80000001 00 +2C 0B1 80000000 80000001 01 = 80000001 00 +2C 0B2 80000000 80000001 10 = 00000000 01 +2C 0B3 80000000 80000001 11 = 00000000 01 +2C 0B4 80000001 80000001 00 = 80000001 00 +2C 0B5 80000001 80000001 01 = 80000001 00 +2C 0B6 80000001 80000001 10 = 00000000 01 +2C 0B7 80000001 80000001 11 = 00000000 01 +2C 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 +2C 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 00 +2C 0BA FFFFFFFE 80000001 10 = 7FFFFFFE 00 +2C 0BB FFFFFFFE 80000001 11 = 7FFFFFFE 00 +2C 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +2C 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +2C 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 +2C 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 +2C 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +2C 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +2C 0C2 00000000 FFFFFFFE 10 = 00000000 01 +2C 0C3 00000000 FFFFFFFE 11 = 00000000 01 +2C 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +2C 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +2C 0C6 00000001 FFFFFFFE 10 = 00000001 10 +2C 0C7 00000001 FFFFFFFE 11 = 00000001 10 +2C 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 +2C 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 +2C 0CA 00000002 FFFFFFFE 10 = 00000000 01 +2C 0CB 00000002 FFFFFFFE 11 = 00000000 01 +2C 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +2C 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +2C 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 10 +2C 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 10 +2C 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 +2C 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 +2C 0D2 80000000 FFFFFFFE 10 = 00000000 01 +2C 0D3 80000000 FFFFFFFE 11 = 00000000 01 +2C 0D4 80000001 FFFFFFFE 00 = FFFFFFFF 00 +2C 0D5 80000001 FFFFFFFE 01 = FFFFFFFF 00 +2C 0D6 80000001 FFFFFFFE 10 = 00000001 10 +2C 0D7 80000001 FFFFFFFE 11 = 00000001 10 +2C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +2C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 +2C 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 +2C 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 +2C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +2C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +2C 0DE FFFFFFFF FFFFFFFE 10 = 00000001 10 +2C 0DF FFFFFFFF FFFFFFFE 11 = 00000001 10 +2C 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +2C 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +2C 0E2 00000000 FFFFFFFF 10 = 00000000 01 +2C 0E3 00000000 FFFFFFFF 11 = 00000000 01 +2C 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 +2C 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 +2C 0E6 00000001 FFFFFFFF 10 = 00000000 01 +2C 0E7 00000001 FFFFFFFF 11 = 00000000 01 +2C 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 00 +2C 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 00 +2C 0EA 00000002 FFFFFFFF 10 = 00000000 01 +2C 0EB 00000002 FFFFFFFF 11 = 00000000 01 +2C 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2C 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +2C 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 01 +2C 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 01 +2C 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 +2C 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 00 +2C 0F2 80000000 FFFFFFFF 10 = 00000000 01 +2C 0F3 80000000 FFFFFFFF 11 = 00000000 01 +2C 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +2C 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 +2C 0F6 80000001 FFFFFFFF 10 = 00000000 01 +2C 0F7 80000001 FFFFFFFF 11 = 00000000 01 +2C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +2C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 +2C 0FA FFFFFFFE FFFFFFFF 10 = 00000000 01 +2C 0FB FFFFFFFE FFFFFFFF 11 = 00000000 01 +2C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +2C 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 +2C 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 +muxz ---D---- ---S---- CZ = ---Q---- CZ +2D 000 00000000 00000000 00 = 00000000 01 +2D 001 00000000 00000000 01 = 00000000 01 +2D 002 00000000 00000000 10 = 00000000 01 +2D 003 00000000 00000000 11 = 00000000 01 +2D 004 00000001 00000000 00 = 00000001 10 +2D 005 00000001 00000000 01 = 00000001 10 +2D 006 00000001 00000000 10 = 00000001 10 +2D 007 00000001 00000000 11 = 00000001 10 +2D 008 00000002 00000000 00 = 00000002 10 +2D 009 00000002 00000000 01 = 00000002 10 +2D 00A 00000002 00000000 10 = 00000002 10 +2D 00B 00000002 00000000 11 = 00000002 10 +2D 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +2D 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +2D 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +2D 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +2D 010 80000000 00000000 00 = 80000000 10 +2D 011 80000000 00000000 01 = 80000000 10 +2D 012 80000000 00000000 10 = 80000000 10 +2D 013 80000000 00000000 11 = 80000000 10 +2D 014 80000001 00000000 00 = 80000001 00 +2D 015 80000001 00000000 01 = 80000001 00 +2D 016 80000001 00000000 10 = 80000001 00 +2D 017 80000001 00000000 11 = 80000001 00 +2D 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +2D 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +2D 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +2D 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +2D 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +2D 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +2D 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +2D 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +2D 020 00000000 00000001 00 = 00000000 01 +2D 021 00000000 00000001 01 = 00000001 10 +2D 022 00000000 00000001 10 = 00000000 01 +2D 023 00000000 00000001 11 = 00000001 10 +2D 024 00000001 00000001 00 = 00000000 01 +2D 025 00000001 00000001 01 = 00000001 10 +2D 026 00000001 00000001 10 = 00000000 01 +2D 027 00000001 00000001 11 = 00000001 10 +2D 028 00000002 00000001 00 = 00000002 10 +2D 029 00000002 00000001 01 = 00000003 00 +2D 02A 00000002 00000001 10 = 00000002 10 +2D 02B 00000002 00000001 11 = 00000003 00 +2D 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +2D 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 +2D 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 +2D 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 +2D 030 80000000 00000001 00 = 80000000 10 +2D 031 80000000 00000001 01 = 80000001 00 +2D 032 80000000 00000001 10 = 80000000 10 +2D 033 80000000 00000001 11 = 80000001 00 +2D 034 80000001 00000001 00 = 80000000 10 +2D 035 80000001 00000001 01 = 80000001 00 +2D 036 80000001 00000001 10 = 80000000 10 +2D 037 80000001 00000001 11 = 80000001 00 +2D 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +2D 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +2D 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +2D 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +2D 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +2D 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 +2D 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 +2D 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 +2D 040 00000000 00000002 00 = 00000000 01 +2D 041 00000000 00000002 01 = 00000002 10 +2D 042 00000000 00000002 10 = 00000000 01 +2D 043 00000000 00000002 11 = 00000002 10 +2D 044 00000001 00000002 00 = 00000001 10 +2D 045 00000001 00000002 01 = 00000003 00 +2D 046 00000001 00000002 10 = 00000001 10 +2D 047 00000001 00000002 11 = 00000003 00 +2D 048 00000002 00000002 00 = 00000000 01 +2D 049 00000002 00000002 01 = 00000002 10 +2D 04A 00000002 00000002 10 = 00000000 01 +2D 04B 00000002 00000002 11 = 00000002 10 +2D 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 +2D 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 +2D 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 +2D 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 +2D 050 80000000 00000002 00 = 80000000 10 +2D 051 80000000 00000002 01 = 80000002 00 +2D 052 80000000 00000002 10 = 80000000 10 +2D 053 80000000 00000002 11 = 80000002 00 +2D 054 80000001 00000002 00 = 80000001 00 +2D 055 80000001 00000002 01 = 80000003 10 +2D 056 80000001 00000002 10 = 80000001 00 +2D 057 80000001 00000002 11 = 80000003 10 +2D 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +2D 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +2D 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 +2D 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +2D 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 +2D 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 +2D 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 +2D 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 +2D 060 00000000 7FFFFFFF 00 = 00000000 01 +2D 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 +2D 062 00000000 7FFFFFFF 10 = 00000000 01 +2D 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 +2D 064 00000001 7FFFFFFF 00 = 00000000 01 +2D 065 00000001 7FFFFFFF 01 = 7FFFFFFF 10 +2D 066 00000001 7FFFFFFF 10 = 00000000 01 +2D 067 00000001 7FFFFFFF 11 = 7FFFFFFF 10 +2D 068 00000002 7FFFFFFF 00 = 00000000 01 +2D 069 00000002 7FFFFFFF 01 = 7FFFFFFF 10 +2D 06A 00000002 7FFFFFFF 10 = 00000000 01 +2D 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 10 +2D 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 +2D 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 +2D 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 +2D 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +2D 070 80000000 7FFFFFFF 00 = 80000000 10 +2D 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +2D 072 80000000 7FFFFFFF 10 = 80000000 10 +2D 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +2D 074 80000001 7FFFFFFF 00 = 80000000 10 +2D 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 +2D 076 80000001 7FFFFFFF 10 = 80000000 10 +2D 077 80000001 7FFFFFFF 11 = FFFFFFFF 00 +2D 078 FFFFFFFE 7FFFFFFF 00 = 80000000 10 +2D 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 00 +2D 07A FFFFFFFE 7FFFFFFF 10 = 80000000 10 +2D 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 00 +2D 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +2D 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +2D 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +2D 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +2D 080 00000000 80000000 00 = 00000000 01 +2D 081 00000000 80000000 01 = 80000000 10 +2D 082 00000000 80000000 10 = 00000000 01 +2D 083 00000000 80000000 11 = 80000000 10 +2D 084 00000001 80000000 00 = 00000001 10 +2D 085 00000001 80000000 01 = 80000001 00 +2D 086 00000001 80000000 10 = 00000001 10 +2D 087 00000001 80000000 11 = 80000001 00 +2D 088 00000002 80000000 00 = 00000002 10 +2D 089 00000002 80000000 01 = 80000002 00 +2D 08A 00000002 80000000 10 = 00000002 10 +2D 08B 00000002 80000000 11 = 80000002 00 +2D 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +2D 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +2D 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +2D 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +2D 090 80000000 80000000 00 = 00000000 01 +2D 091 80000000 80000000 01 = 80000000 10 +2D 092 80000000 80000000 10 = 00000000 01 +2D 093 80000000 80000000 11 = 80000000 10 +2D 094 80000001 80000000 00 = 00000001 10 +2D 095 80000001 80000000 01 = 80000001 00 +2D 096 80000001 80000000 10 = 00000001 10 +2D 097 80000001 80000000 11 = 80000001 00 +2D 098 FFFFFFFE 80000000 00 = 7FFFFFFE 00 +2D 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 +2D 09A FFFFFFFE 80000000 10 = 7FFFFFFE 00 +2D 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 +2D 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 +2D 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +2D 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 +2D 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +2D 0A0 00000000 80000001 00 = 00000000 01 +2D 0A1 00000000 80000001 01 = 80000001 00 +2D 0A2 00000000 80000001 10 = 00000000 01 +2D 0A3 00000000 80000001 11 = 80000001 00 +2D 0A4 00000001 80000001 00 = 00000000 01 +2D 0A5 00000001 80000001 01 = 80000001 00 +2D 0A6 00000001 80000001 10 = 00000000 01 +2D 0A7 00000001 80000001 11 = 80000001 00 +2D 0A8 00000002 80000001 00 = 00000002 10 +2D 0A9 00000002 80000001 01 = 80000003 10 +2D 0AA 00000002 80000001 10 = 00000002 10 +2D 0AB 00000002 80000001 11 = 80000003 10 +2D 0AC 7FFFFFFF 80000001 00 = 7FFFFFFE 00 +2D 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 +2D 0AE 7FFFFFFF 80000001 10 = 7FFFFFFE 00 +2D 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 00 +2D 0B0 80000000 80000001 00 = 00000000 01 +2D 0B1 80000000 80000001 01 = 80000001 00 +2D 0B2 80000000 80000001 10 = 00000000 01 +2D 0B3 80000000 80000001 11 = 80000001 00 +2D 0B4 80000001 80000001 00 = 00000000 01 +2D 0B5 80000001 80000001 01 = 80000001 00 +2D 0B6 80000001 80000001 10 = 00000000 01 +2D 0B7 80000001 80000001 11 = 80000001 00 +2D 0B8 FFFFFFFE 80000001 00 = 7FFFFFFE 00 +2D 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 00 +2D 0BA FFFFFFFE 80000001 10 = 7FFFFFFE 00 +2D 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 +2D 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +2D 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +2D 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 +2D 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +2D 0C0 00000000 FFFFFFFE 00 = 00000000 01 +2D 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +2D 0C2 00000000 FFFFFFFE 10 = 00000000 01 +2D 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +2D 0C4 00000001 FFFFFFFE 00 = 00000001 10 +2D 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +2D 0C6 00000001 FFFFFFFE 10 = 00000001 10 +2D 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +2D 0C8 00000002 FFFFFFFE 00 = 00000000 01 +2D 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 +2D 0CA 00000002 FFFFFFFE 10 = 00000000 01 +2D 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 +2D 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 10 +2D 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +2D 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 10 +2D 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +2D 0D0 80000000 FFFFFFFE 00 = 00000000 01 +2D 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 +2D 0D2 80000000 FFFFFFFE 10 = 00000000 01 +2D 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 +2D 0D4 80000001 FFFFFFFE 00 = 00000001 10 +2D 0D5 80000001 FFFFFFFE 01 = FFFFFFFF 00 +2D 0D6 80000001 FFFFFFFE 10 = 00000001 10 +2D 0D7 80000001 FFFFFFFE 11 = FFFFFFFF 00 +2D 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 +2D 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 +2D 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 +2D 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +2D 0DC FFFFFFFF FFFFFFFE 00 = 00000001 10 +2D 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +2D 0DE FFFFFFFF FFFFFFFE 10 = 00000001 10 +2D 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +2D 0E0 00000000 FFFFFFFF 00 = 00000000 01 +2D 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +2D 0E2 00000000 FFFFFFFF 10 = 00000000 01 +2D 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +2D 0E4 00000001 FFFFFFFF 00 = 00000000 01 +2D 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 +2D 0E6 00000001 FFFFFFFF 10 = 00000000 01 +2D 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 00 +2D 0E8 00000002 FFFFFFFF 00 = 00000000 01 +2D 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 00 +2D 0EA 00000002 FFFFFFFF 10 = 00000000 01 +2D 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 00 +2D 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 01 +2D 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +2D 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 01 +2D 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +2D 0F0 80000000 FFFFFFFF 00 = 00000000 01 +2D 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 00 +2D 0F2 80000000 FFFFFFFF 10 = 00000000 01 +2D 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 00 +2D 0F4 80000001 FFFFFFFF 00 = 00000000 01 +2D 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 +2D 0F6 80000001 FFFFFFFF 10 = 00000000 01 +2D 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 00 +2D 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 01 +2D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 +2D 0FA FFFFFFFE FFFFFFFF 10 = 00000000 01 +2D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 +2D 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 +2D 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +2D 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 +2D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +muxnz ---D---- ---S---- CZ = ---Q---- CZ +2E 000 00000000 00000000 00 = 00000000 01 +2E 001 00000000 00000000 01 = 00000000 01 +2E 002 00000000 00000000 10 = 00000000 01 +2E 003 00000000 00000000 11 = 00000000 01 +2E 004 00000001 00000000 00 = 00000001 10 +2E 005 00000001 00000000 01 = 00000001 10 +2E 006 00000001 00000000 10 = 00000001 10 +2E 007 00000001 00000000 11 = 00000001 10 +2E 008 00000002 00000000 00 = 00000002 10 +2E 009 00000002 00000000 01 = 00000002 10 +2E 00A 00000002 00000000 10 = 00000002 10 +2E 00B 00000002 00000000 11 = 00000002 10 +2E 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +2E 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +2E 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +2E 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +2E 010 80000000 00000000 00 = 80000000 10 +2E 011 80000000 00000000 01 = 80000000 10 +2E 012 80000000 00000000 10 = 80000000 10 +2E 013 80000000 00000000 11 = 80000000 10 +2E 014 80000001 00000000 00 = 80000001 00 +2E 015 80000001 00000000 01 = 80000001 00 +2E 016 80000001 00000000 10 = 80000001 00 +2E 017 80000001 00000000 11 = 80000001 00 +2E 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +2E 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +2E 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +2E 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +2E 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +2E 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +2E 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +2E 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +2E 020 00000000 00000001 00 = 00000001 10 +2E 021 00000000 00000001 01 = 00000000 01 +2E 022 00000000 00000001 10 = 00000001 10 +2E 023 00000000 00000001 11 = 00000000 01 +2E 024 00000001 00000001 00 = 00000001 10 +2E 025 00000001 00000001 01 = 00000000 01 +2E 026 00000001 00000001 10 = 00000001 10 +2E 027 00000001 00000001 11 = 00000000 01 +2E 028 00000002 00000001 00 = 00000003 00 +2E 029 00000002 00000001 01 = 00000002 10 +2E 02A 00000002 00000001 10 = 00000003 00 +2E 02B 00000002 00000001 11 = 00000002 10 +2E 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 +2E 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +2E 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +2E 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 +2E 030 80000000 00000001 00 = 80000001 00 +2E 031 80000000 00000001 01 = 80000000 10 +2E 032 80000000 00000001 10 = 80000001 00 +2E 033 80000000 00000001 11 = 80000000 10 +2E 034 80000001 00000001 00 = 80000001 00 +2E 035 80000001 00000001 01 = 80000000 10 +2E 036 80000001 00000001 10 = 80000001 00 +2E 037 80000001 00000001 11 = 80000000 10 +2E 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +2E 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 +2E 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +2E 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +2E 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +2E 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 +2E 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +2E 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 +2E 040 00000000 00000002 00 = 00000002 10 +2E 041 00000000 00000002 01 = 00000000 01 +2E 042 00000000 00000002 10 = 00000002 10 +2E 043 00000000 00000002 11 = 00000000 01 +2E 044 00000001 00000002 00 = 00000003 00 +2E 045 00000001 00000002 01 = 00000001 10 +2E 046 00000001 00000002 10 = 00000003 00 +2E 047 00000001 00000002 11 = 00000001 10 +2E 048 00000002 00000002 00 = 00000002 10 +2E 049 00000002 00000002 01 = 00000000 01 +2E 04A 00000002 00000002 10 = 00000002 10 +2E 04B 00000002 00000002 11 = 00000000 01 +2E 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 +2E 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 +2E 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +2E 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 +2E 050 80000000 00000002 00 = 80000002 00 +2E 051 80000000 00000002 01 = 80000000 10 +2E 052 80000000 00000002 10 = 80000002 00 +2E 053 80000000 00000002 11 = 80000000 10 +2E 054 80000001 00000002 00 = 80000003 10 +2E 055 80000001 00000002 01 = 80000001 00 +2E 056 80000001 00000002 10 = 80000003 10 +2E 057 80000001 00000002 11 = 80000001 00 +2E 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +2E 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +2E 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +2E 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 +2E 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +2E 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 +2E 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +2E 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 +2E 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 +2E 061 00000000 7FFFFFFF 01 = 00000000 01 +2E 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 +2E 063 00000000 7FFFFFFF 11 = 00000000 01 +2E 064 00000001 7FFFFFFF 00 = 7FFFFFFF 10 +2E 065 00000001 7FFFFFFF 01 = 00000000 01 +2E 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 +2E 067 00000001 7FFFFFFF 11 = 00000000 01 +2E 068 00000002 7FFFFFFF 00 = 7FFFFFFF 10 +2E 069 00000002 7FFFFFFF 01 = 00000000 01 +2E 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 +2E 06B 00000002 7FFFFFFF 11 = 00000000 01 +2E 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +2E 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 +2E 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +2E 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 +2E 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +2E 071 80000000 7FFFFFFF 01 = 80000000 10 +2E 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +2E 073 80000000 7FFFFFFF 11 = 80000000 10 +2E 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 +2E 075 80000001 7FFFFFFF 01 = 80000000 10 +2E 076 80000001 7FFFFFFF 10 = FFFFFFFF 00 +2E 077 80000001 7FFFFFFF 11 = 80000000 10 +2E 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +2E 079 FFFFFFFE 7FFFFFFF 01 = 80000000 10 +2E 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 00 +2E 07B FFFFFFFE 7FFFFFFF 11 = 80000000 10 +2E 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +2E 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 +2E 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +2E 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +2E 080 00000000 80000000 00 = 80000000 10 +2E 081 00000000 80000000 01 = 00000000 01 +2E 082 00000000 80000000 10 = 80000000 10 +2E 083 00000000 80000000 11 = 00000000 01 +2E 084 00000001 80000000 00 = 80000001 00 +2E 085 00000001 80000000 01 = 00000001 10 +2E 086 00000001 80000000 10 = 80000001 00 +2E 087 00000001 80000000 11 = 00000001 10 +2E 088 00000002 80000000 00 = 80000002 00 +2E 089 00000002 80000000 01 = 00000002 10 +2E 08A 00000002 80000000 10 = 80000002 00 +2E 08B 00000002 80000000 11 = 00000002 10 +2E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +2E 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +2E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +2E 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +2E 090 80000000 80000000 00 = 80000000 10 +2E 091 80000000 80000000 01 = 00000000 01 +2E 092 80000000 80000000 10 = 80000000 10 +2E 093 80000000 80000000 11 = 00000000 01 +2E 094 80000001 80000000 00 = 80000001 00 +2E 095 80000001 80000000 01 = 00000001 10 +2E 096 80000001 80000000 10 = 80000001 00 +2E 097 80000001 80000000 11 = 00000001 10 +2E 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +2E 099 FFFFFFFE 80000000 01 = 7FFFFFFE 00 +2E 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +2E 09B FFFFFFFE 80000000 11 = 7FFFFFFE 00 +2E 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +2E 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 +2E 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +2E 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 +2E 0A0 00000000 80000001 00 = 80000001 00 +2E 0A1 00000000 80000001 01 = 00000000 01 +2E 0A2 00000000 80000001 10 = 80000001 00 +2E 0A3 00000000 80000001 11 = 00000000 01 +2E 0A4 00000001 80000001 00 = 80000001 00 +2E 0A5 00000001 80000001 01 = 00000000 01 +2E 0A6 00000001 80000001 10 = 80000001 00 +2E 0A7 00000001 80000001 11 = 00000000 01 +2E 0A8 00000002 80000001 00 = 80000003 10 +2E 0A9 00000002 80000001 01 = 00000002 10 +2E 0AA 00000002 80000001 10 = 80000003 10 +2E 0AB 00000002 80000001 11 = 00000002 10 +2E 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +2E 0AD 7FFFFFFF 80000001 01 = 7FFFFFFE 00 +2E 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 00 +2E 0AF 7FFFFFFF 80000001 11 = 7FFFFFFE 00 +2E 0B0 80000000 80000001 00 = 80000001 00 +2E 0B1 80000000 80000001 01 = 00000000 01 +2E 0B2 80000000 80000001 10 = 80000001 00 +2E 0B3 80000000 80000001 11 = 00000000 01 +2E 0B4 80000001 80000001 00 = 80000001 00 +2E 0B5 80000001 80000001 01 = 00000000 01 +2E 0B6 80000001 80000001 10 = 80000001 00 +2E 0B7 80000001 80000001 11 = 00000000 01 +2E 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 +2E 0B9 FFFFFFFE 80000001 01 = 7FFFFFFE 00 +2E 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 +2E 0BB FFFFFFFE 80000001 11 = 7FFFFFFE 00 +2E 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +2E 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +2E 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +2E 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 +2E 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +2E 0C1 00000000 FFFFFFFE 01 = 00000000 01 +2E 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +2E 0C3 00000000 FFFFFFFE 11 = 00000000 01 +2E 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +2E 0C5 00000001 FFFFFFFE 01 = 00000001 10 +2E 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 +2E 0C7 00000001 FFFFFFFE 11 = 00000001 10 +2E 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 +2E 0C9 00000002 FFFFFFFE 01 = 00000000 01 +2E 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 +2E 0CB 00000002 FFFFFFFE 11 = 00000000 01 +2E 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +2E 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 10 +2E 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +2E 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 10 +2E 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 +2E 0D1 80000000 FFFFFFFE 01 = 00000000 01 +2E 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +2E 0D3 80000000 FFFFFFFE 11 = 00000000 01 +2E 0D4 80000001 FFFFFFFE 00 = FFFFFFFF 00 +2E 0D5 80000001 FFFFFFFE 01 = 00000001 10 +2E 0D6 80000001 FFFFFFFE 10 = FFFFFFFF 00 +2E 0D7 80000001 FFFFFFFE 11 = 00000001 10 +2E 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +2E 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 +2E 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +2E 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 +2E 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +2E 0DD FFFFFFFF FFFFFFFE 01 = 00000001 10 +2E 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +2E 0DF FFFFFFFF FFFFFFFE 11 = 00000001 10 +2E 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +2E 0E1 00000000 FFFFFFFF 01 = 00000000 01 +2E 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 +2E 0E3 00000000 FFFFFFFF 11 = 00000000 01 +2E 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 +2E 0E5 00000001 FFFFFFFF 01 = 00000000 01 +2E 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 00 +2E 0E7 00000001 FFFFFFFF 11 = 00000000 01 +2E 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 00 +2E 0E9 00000002 FFFFFFFF 01 = 00000000 01 +2E 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 00 +2E 0EB 00000002 FFFFFFFF 11 = 00000000 01 +2E 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2E 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 01 +2E 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +2E 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 01 +2E 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 +2E 0F1 80000000 FFFFFFFF 01 = 00000000 01 +2E 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 00 +2E 0F3 80000000 FFFFFFFF 11 = 00000000 01 +2E 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +2E 0F5 80000001 FFFFFFFF 01 = 00000000 01 +2E 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 00 +2E 0F7 80000001 FFFFFFFF 11 = 00000000 01 +2E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +2E 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 01 +2E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 +2E 0FB FFFFFFFE FFFFFFFF 11 = 00000000 01 +2E 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2E 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +2E 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +2E 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 +mov ---D---- ---S---- CZ = ---Q---- CZ +2F 000 00000000 00000000 00 = 00000000 01 +2F 001 00000000 00000000 01 = 00000000 01 +2F 002 00000000 00000000 10 = 00000000 01 +2F 003 00000000 00000000 11 = 00000000 01 +2F 004 00000001 00000000 00 = 00000000 01 +2F 005 00000001 00000000 01 = 00000000 01 +2F 006 00000001 00000000 10 = 00000000 01 +2F 007 00000001 00000000 11 = 00000000 01 +2F 008 00000002 00000000 00 = 00000000 01 +2F 009 00000002 00000000 01 = 00000000 01 +2F 00A 00000002 00000000 10 = 00000000 01 +2F 00B 00000002 00000000 11 = 00000000 01 +2F 00C 7FFFFFFF 00000000 00 = 00000000 01 +2F 00D 7FFFFFFF 00000000 01 = 00000000 01 +2F 00E 7FFFFFFF 00000000 10 = 00000000 01 +2F 00F 7FFFFFFF 00000000 11 = 00000000 01 +2F 010 80000000 00000000 00 = 00000000 01 +2F 011 80000000 00000000 01 = 00000000 01 +2F 012 80000000 00000000 10 = 00000000 01 +2F 013 80000000 00000000 11 = 00000000 01 +2F 014 80000001 00000000 00 = 00000000 01 +2F 015 80000001 00000000 01 = 00000000 01 +2F 016 80000001 00000000 10 = 00000000 01 +2F 017 80000001 00000000 11 = 00000000 01 +2F 018 FFFFFFFE 00000000 00 = 00000000 01 +2F 019 FFFFFFFE 00000000 01 = 00000000 01 +2F 01A FFFFFFFE 00000000 10 = 00000000 01 +2F 01B FFFFFFFE 00000000 11 = 00000000 01 +2F 01C FFFFFFFF 00000000 00 = 00000000 01 +2F 01D FFFFFFFF 00000000 01 = 00000000 01 +2F 01E FFFFFFFF 00000000 10 = 00000000 01 +2F 01F FFFFFFFF 00000000 11 = 00000000 01 +2F 020 00000000 00000001 00 = 00000001 00 +2F 021 00000000 00000001 01 = 00000001 00 +2F 022 00000000 00000001 10 = 00000001 00 +2F 023 00000000 00000001 11 = 00000001 00 +2F 024 00000001 00000001 00 = 00000001 00 +2F 025 00000001 00000001 01 = 00000001 00 +2F 026 00000001 00000001 10 = 00000001 00 +2F 027 00000001 00000001 11 = 00000001 00 +2F 028 00000002 00000001 00 = 00000001 00 +2F 029 00000002 00000001 01 = 00000001 00 +2F 02A 00000002 00000001 10 = 00000001 00 +2F 02B 00000002 00000001 11 = 00000001 00 +2F 02C 7FFFFFFF 00000001 00 = 00000001 00 +2F 02D 7FFFFFFF 00000001 01 = 00000001 00 +2F 02E 7FFFFFFF 00000001 10 = 00000001 00 +2F 02F 7FFFFFFF 00000001 11 = 00000001 00 +2F 030 80000000 00000001 00 = 00000001 00 +2F 031 80000000 00000001 01 = 00000001 00 +2F 032 80000000 00000001 10 = 00000001 00 +2F 033 80000000 00000001 11 = 00000001 00 +2F 034 80000001 00000001 00 = 00000001 00 +2F 035 80000001 00000001 01 = 00000001 00 +2F 036 80000001 00000001 10 = 00000001 00 +2F 037 80000001 00000001 11 = 00000001 00 +2F 038 FFFFFFFE 00000001 00 = 00000001 00 +2F 039 FFFFFFFE 00000001 01 = 00000001 00 +2F 03A FFFFFFFE 00000001 10 = 00000001 00 +2F 03B FFFFFFFE 00000001 11 = 00000001 00 +2F 03C FFFFFFFF 00000001 00 = 00000001 00 +2F 03D FFFFFFFF 00000001 01 = 00000001 00 +2F 03E FFFFFFFF 00000001 10 = 00000001 00 +2F 03F FFFFFFFF 00000001 11 = 00000001 00 +2F 040 00000000 00000002 00 = 00000002 00 +2F 041 00000000 00000002 01 = 00000002 00 +2F 042 00000000 00000002 10 = 00000002 00 +2F 043 00000000 00000002 11 = 00000002 00 +2F 044 00000001 00000002 00 = 00000002 00 +2F 045 00000001 00000002 01 = 00000002 00 +2F 046 00000001 00000002 10 = 00000002 00 +2F 047 00000001 00000002 11 = 00000002 00 +2F 048 00000002 00000002 00 = 00000002 00 +2F 049 00000002 00000002 01 = 00000002 00 +2F 04A 00000002 00000002 10 = 00000002 00 +2F 04B 00000002 00000002 11 = 00000002 00 +2F 04C 7FFFFFFF 00000002 00 = 00000002 00 +2F 04D 7FFFFFFF 00000002 01 = 00000002 00 +2F 04E 7FFFFFFF 00000002 10 = 00000002 00 +2F 04F 7FFFFFFF 00000002 11 = 00000002 00 +2F 050 80000000 00000002 00 = 00000002 00 +2F 051 80000000 00000002 01 = 00000002 00 +2F 052 80000000 00000002 10 = 00000002 00 +2F 053 80000000 00000002 11 = 00000002 00 +2F 054 80000001 00000002 00 = 00000002 00 +2F 055 80000001 00000002 01 = 00000002 00 +2F 056 80000001 00000002 10 = 00000002 00 +2F 057 80000001 00000002 11 = 00000002 00 +2F 058 FFFFFFFE 00000002 00 = 00000002 00 +2F 059 FFFFFFFE 00000002 01 = 00000002 00 +2F 05A FFFFFFFE 00000002 10 = 00000002 00 +2F 05B FFFFFFFE 00000002 11 = 00000002 00 +2F 05C FFFFFFFF 00000002 00 = 00000002 00 +2F 05D FFFFFFFF 00000002 01 = 00000002 00 +2F 05E FFFFFFFF 00000002 10 = 00000002 00 +2F 05F FFFFFFFF 00000002 11 = 00000002 00 +2F 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +2F 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +2F 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 +2F 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 +2F 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 +2F 065 00000001 7FFFFFFF 01 = 7FFFFFFF 00 +2F 066 00000001 7FFFFFFF 10 = 7FFFFFFF 00 +2F 067 00000001 7FFFFFFF 11 = 7FFFFFFF 00 +2F 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 +2F 069 00000002 7FFFFFFF 01 = 7FFFFFFF 00 +2F 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 00 +2F 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 00 +2F 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +2F 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +2F 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +2F 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +2F 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 +2F 071 80000000 7FFFFFFF 01 = 7FFFFFFF 00 +2F 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 +2F 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 +2F 074 80000001 7FFFFFFF 00 = 7FFFFFFF 00 +2F 075 80000001 7FFFFFFF 01 = 7FFFFFFF 00 +2F 076 80000001 7FFFFFFF 10 = 7FFFFFFF 00 +2F 077 80000001 7FFFFFFF 11 = 7FFFFFFF 00 +2F 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 +2F 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 +2F 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 00 +2F 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 00 +2F 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +2F 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +2F 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +2F 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +2F 080 00000000 80000000 00 = 80000000 10 +2F 081 00000000 80000000 01 = 80000000 10 +2F 082 00000000 80000000 10 = 80000000 10 +2F 083 00000000 80000000 11 = 80000000 10 +2F 084 00000001 80000000 00 = 80000000 10 +2F 085 00000001 80000000 01 = 80000000 10 +2F 086 00000001 80000000 10 = 80000000 10 +2F 087 00000001 80000000 11 = 80000000 10 +2F 088 00000002 80000000 00 = 80000000 10 +2F 089 00000002 80000000 01 = 80000000 10 +2F 08A 00000002 80000000 10 = 80000000 10 +2F 08B 00000002 80000000 11 = 80000000 10 +2F 08C 7FFFFFFF 80000000 00 = 80000000 10 +2F 08D 7FFFFFFF 80000000 01 = 80000000 10 +2F 08E 7FFFFFFF 80000000 10 = 80000000 10 +2F 08F 7FFFFFFF 80000000 11 = 80000000 10 +2F 090 80000000 80000000 00 = 80000000 10 +2F 091 80000000 80000000 01 = 80000000 10 +2F 092 80000000 80000000 10 = 80000000 10 +2F 093 80000000 80000000 11 = 80000000 10 +2F 094 80000001 80000000 00 = 80000000 10 +2F 095 80000001 80000000 01 = 80000000 10 +2F 096 80000001 80000000 10 = 80000000 10 +2F 097 80000001 80000000 11 = 80000000 10 +2F 098 FFFFFFFE 80000000 00 = 80000000 10 +2F 099 FFFFFFFE 80000000 01 = 80000000 10 +2F 09A FFFFFFFE 80000000 10 = 80000000 10 +2F 09B FFFFFFFE 80000000 11 = 80000000 10 +2F 09C FFFFFFFF 80000000 00 = 80000000 10 +2F 09D FFFFFFFF 80000000 01 = 80000000 10 +2F 09E FFFFFFFF 80000000 10 = 80000000 10 +2F 09F FFFFFFFF 80000000 11 = 80000000 10 +2F 0A0 00000000 80000001 00 = 80000001 10 +2F 0A1 00000000 80000001 01 = 80000001 10 +2F 0A2 00000000 80000001 10 = 80000001 10 +2F 0A3 00000000 80000001 11 = 80000001 10 +2F 0A4 00000001 80000001 00 = 80000001 10 +2F 0A5 00000001 80000001 01 = 80000001 10 +2F 0A6 00000001 80000001 10 = 80000001 10 +2F 0A7 00000001 80000001 11 = 80000001 10 +2F 0A8 00000002 80000001 00 = 80000001 10 +2F 0A9 00000002 80000001 01 = 80000001 10 +2F 0AA 00000002 80000001 10 = 80000001 10 +2F 0AB 00000002 80000001 11 = 80000001 10 +2F 0AC 7FFFFFFF 80000001 00 = 80000001 10 +2F 0AD 7FFFFFFF 80000001 01 = 80000001 10 +2F 0AE 7FFFFFFF 80000001 10 = 80000001 10 +2F 0AF 7FFFFFFF 80000001 11 = 80000001 10 +2F 0B0 80000000 80000001 00 = 80000001 10 +2F 0B1 80000000 80000001 01 = 80000001 10 +2F 0B2 80000000 80000001 10 = 80000001 10 +2F 0B3 80000000 80000001 11 = 80000001 10 +2F 0B4 80000001 80000001 00 = 80000001 10 +2F 0B5 80000001 80000001 01 = 80000001 10 +2F 0B6 80000001 80000001 10 = 80000001 10 +2F 0B7 80000001 80000001 11 = 80000001 10 +2F 0B8 FFFFFFFE 80000001 00 = 80000001 10 +2F 0B9 FFFFFFFE 80000001 01 = 80000001 10 +2F 0BA FFFFFFFE 80000001 10 = 80000001 10 +2F 0BB FFFFFFFE 80000001 11 = 80000001 10 +2F 0BC FFFFFFFF 80000001 00 = 80000001 10 +2F 0BD FFFFFFFF 80000001 01 = 80000001 10 +2F 0BE FFFFFFFF 80000001 10 = 80000001 10 +2F 0BF FFFFFFFF 80000001 11 = 80000001 10 +2F 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +2F 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +2F 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +2F 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +2F 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 10 +2F 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 10 +2F 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 +2F 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 +2F 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 +2F 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 +2F 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 +2F 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 +2F 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +2F 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +2F 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +2F 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +2F 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 +2F 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 +2F 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +2F 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 +2F 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 10 +2F 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 10 +2F 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 +2F 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 +2F 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +2F 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 +2F 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +2F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +2F 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +2F 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +2F 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +2F 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +2F 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 +2F 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 +2F 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +2F 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 +2F 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 10 +2F 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 10 +2F 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +2F 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 +2F 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 10 +2F 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 10 +2F 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 +2F 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 10 +2F 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +2F 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +2F 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +2F 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +2F 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 10 +2F 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 10 +2F 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 +2F 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 10 +2F 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 10 +2F 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 10 +2F 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +2F 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 +2F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +2F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +2F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +2F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 +2F 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +2F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +2F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +2F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +not ---D---- ---S---- CZ = ---Q---- CZ +30 000 00000000 00000000 00 = FFFFFFFF 10 +30 001 00000000 00000000 01 = FFFFFFFF 10 +30 002 00000000 00000000 10 = FFFFFFFF 10 +30 003 00000000 00000000 11 = FFFFFFFF 10 +30 004 00000001 00000000 00 = FFFFFFFF 10 +30 005 00000001 00000000 01 = FFFFFFFF 10 +30 006 00000001 00000000 10 = FFFFFFFF 10 +30 007 00000001 00000000 11 = FFFFFFFF 10 +30 008 00000002 00000000 00 = FFFFFFFF 10 +30 009 00000002 00000000 01 = FFFFFFFF 10 +30 00A 00000002 00000000 10 = FFFFFFFF 10 +30 00B 00000002 00000000 11 = FFFFFFFF 10 +30 00C 7FFFFFFF 00000000 00 = FFFFFFFF 10 +30 00D 7FFFFFFF 00000000 01 = FFFFFFFF 10 +30 00E 7FFFFFFF 00000000 10 = FFFFFFFF 10 +30 00F 7FFFFFFF 00000000 11 = FFFFFFFF 10 +30 010 80000000 00000000 00 = FFFFFFFF 10 +30 011 80000000 00000000 01 = FFFFFFFF 10 +30 012 80000000 00000000 10 = FFFFFFFF 10 +30 013 80000000 00000000 11 = FFFFFFFF 10 +30 014 80000001 00000000 00 = FFFFFFFF 10 +30 015 80000001 00000000 01 = FFFFFFFF 10 +30 016 80000001 00000000 10 = FFFFFFFF 10 +30 017 80000001 00000000 11 = FFFFFFFF 10 +30 018 FFFFFFFE 00000000 00 = FFFFFFFF 10 +30 019 FFFFFFFE 00000000 01 = FFFFFFFF 10 +30 01A FFFFFFFE 00000000 10 = FFFFFFFF 10 +30 01B FFFFFFFE 00000000 11 = FFFFFFFF 10 +30 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +30 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +30 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +30 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +30 020 00000000 00000001 00 = FFFFFFFE 10 +30 021 00000000 00000001 01 = FFFFFFFE 10 +30 022 00000000 00000001 10 = FFFFFFFE 10 +30 023 00000000 00000001 11 = FFFFFFFE 10 +30 024 00000001 00000001 00 = FFFFFFFE 10 +30 025 00000001 00000001 01 = FFFFFFFE 10 +30 026 00000001 00000001 10 = FFFFFFFE 10 +30 027 00000001 00000001 11 = FFFFFFFE 10 +30 028 00000002 00000001 00 = FFFFFFFE 10 +30 029 00000002 00000001 01 = FFFFFFFE 10 +30 02A 00000002 00000001 10 = FFFFFFFE 10 +30 02B 00000002 00000001 11 = FFFFFFFE 10 +30 02C 7FFFFFFF 00000001 00 = FFFFFFFE 10 +30 02D 7FFFFFFF 00000001 01 = FFFFFFFE 10 +30 02E 7FFFFFFF 00000001 10 = FFFFFFFE 10 +30 02F 7FFFFFFF 00000001 11 = FFFFFFFE 10 +30 030 80000000 00000001 00 = FFFFFFFE 10 +30 031 80000000 00000001 01 = FFFFFFFE 10 +30 032 80000000 00000001 10 = FFFFFFFE 10 +30 033 80000000 00000001 11 = FFFFFFFE 10 +30 034 80000001 00000001 00 = FFFFFFFE 10 +30 035 80000001 00000001 01 = FFFFFFFE 10 +30 036 80000001 00000001 10 = FFFFFFFE 10 +30 037 80000001 00000001 11 = FFFFFFFE 10 +30 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +30 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 +30 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +30 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +30 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +30 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 +30 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 +30 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 +30 040 00000000 00000002 00 = FFFFFFFD 10 +30 041 00000000 00000002 01 = FFFFFFFD 10 +30 042 00000000 00000002 10 = FFFFFFFD 10 +30 043 00000000 00000002 11 = FFFFFFFD 10 +30 044 00000001 00000002 00 = FFFFFFFD 10 +30 045 00000001 00000002 01 = FFFFFFFD 10 +30 046 00000001 00000002 10 = FFFFFFFD 10 +30 047 00000001 00000002 11 = FFFFFFFD 10 +30 048 00000002 00000002 00 = FFFFFFFD 10 +30 049 00000002 00000002 01 = FFFFFFFD 10 +30 04A 00000002 00000002 10 = FFFFFFFD 10 +30 04B 00000002 00000002 11 = FFFFFFFD 10 +30 04C 7FFFFFFF 00000002 00 = FFFFFFFD 10 +30 04D 7FFFFFFF 00000002 01 = FFFFFFFD 10 +30 04E 7FFFFFFF 00000002 10 = FFFFFFFD 10 +30 04F 7FFFFFFF 00000002 11 = FFFFFFFD 10 +30 050 80000000 00000002 00 = FFFFFFFD 10 +30 051 80000000 00000002 01 = FFFFFFFD 10 +30 052 80000000 00000002 10 = FFFFFFFD 10 +30 053 80000000 00000002 11 = FFFFFFFD 10 +30 054 80000001 00000002 00 = FFFFFFFD 10 +30 055 80000001 00000002 01 = FFFFFFFD 10 +30 056 80000001 00000002 10 = FFFFFFFD 10 +30 057 80000001 00000002 11 = FFFFFFFD 10 +30 058 FFFFFFFE 00000002 00 = FFFFFFFD 10 +30 059 FFFFFFFE 00000002 01 = FFFFFFFD 10 +30 05A FFFFFFFE 00000002 10 = FFFFFFFD 10 +30 05B FFFFFFFE 00000002 11 = FFFFFFFD 10 +30 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 +30 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 +30 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 +30 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 +30 060 00000000 7FFFFFFF 00 = 80000000 10 +30 061 00000000 7FFFFFFF 01 = 80000000 10 +30 062 00000000 7FFFFFFF 10 = 80000000 10 +30 063 00000000 7FFFFFFF 11 = 80000000 10 +30 064 00000001 7FFFFFFF 00 = 80000000 10 +30 065 00000001 7FFFFFFF 01 = 80000000 10 +30 066 00000001 7FFFFFFF 10 = 80000000 10 +30 067 00000001 7FFFFFFF 11 = 80000000 10 +30 068 00000002 7FFFFFFF 00 = 80000000 10 +30 069 00000002 7FFFFFFF 01 = 80000000 10 +30 06A 00000002 7FFFFFFF 10 = 80000000 10 +30 06B 00000002 7FFFFFFF 11 = 80000000 10 +30 06C 7FFFFFFF 7FFFFFFF 00 = 80000000 10 +30 06D 7FFFFFFF 7FFFFFFF 01 = 80000000 10 +30 06E 7FFFFFFF 7FFFFFFF 10 = 80000000 10 +30 06F 7FFFFFFF 7FFFFFFF 11 = 80000000 10 +30 070 80000000 7FFFFFFF 00 = 80000000 10 +30 071 80000000 7FFFFFFF 01 = 80000000 10 +30 072 80000000 7FFFFFFF 10 = 80000000 10 +30 073 80000000 7FFFFFFF 11 = 80000000 10 +30 074 80000001 7FFFFFFF 00 = 80000000 10 +30 075 80000001 7FFFFFFF 01 = 80000000 10 +30 076 80000001 7FFFFFFF 10 = 80000000 10 +30 077 80000001 7FFFFFFF 11 = 80000000 10 +30 078 FFFFFFFE 7FFFFFFF 00 = 80000000 10 +30 079 FFFFFFFE 7FFFFFFF 01 = 80000000 10 +30 07A FFFFFFFE 7FFFFFFF 10 = 80000000 10 +30 07B FFFFFFFE 7FFFFFFF 11 = 80000000 10 +30 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +30 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 +30 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +30 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +30 080 00000000 80000000 00 = 7FFFFFFF 00 +30 081 00000000 80000000 01 = 7FFFFFFF 00 +30 082 00000000 80000000 10 = 7FFFFFFF 00 +30 083 00000000 80000000 11 = 7FFFFFFF 00 +30 084 00000001 80000000 00 = 7FFFFFFF 00 +30 085 00000001 80000000 01 = 7FFFFFFF 00 +30 086 00000001 80000000 10 = 7FFFFFFF 00 +30 087 00000001 80000000 11 = 7FFFFFFF 00 +30 088 00000002 80000000 00 = 7FFFFFFF 00 +30 089 00000002 80000000 01 = 7FFFFFFF 00 +30 08A 00000002 80000000 10 = 7FFFFFFF 00 +30 08B 00000002 80000000 11 = 7FFFFFFF 00 +30 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +30 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +30 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +30 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +30 090 80000000 80000000 00 = 7FFFFFFF 00 +30 091 80000000 80000000 01 = 7FFFFFFF 00 +30 092 80000000 80000000 10 = 7FFFFFFF 00 +30 093 80000000 80000000 11 = 7FFFFFFF 00 +30 094 80000001 80000000 00 = 7FFFFFFF 00 +30 095 80000001 80000000 01 = 7FFFFFFF 00 +30 096 80000001 80000000 10 = 7FFFFFFF 00 +30 097 80000001 80000000 11 = 7FFFFFFF 00 +30 098 FFFFFFFE 80000000 00 = 7FFFFFFF 00 +30 099 FFFFFFFE 80000000 01 = 7FFFFFFF 00 +30 09A FFFFFFFE 80000000 10 = 7FFFFFFF 00 +30 09B FFFFFFFE 80000000 11 = 7FFFFFFF 00 +30 09C FFFFFFFF 80000000 00 = 7FFFFFFF 00 +30 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 +30 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 +30 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 +30 0A0 00000000 80000001 00 = 7FFFFFFE 00 +30 0A1 00000000 80000001 01 = 7FFFFFFE 00 +30 0A2 00000000 80000001 10 = 7FFFFFFE 00 +30 0A3 00000000 80000001 11 = 7FFFFFFE 00 +30 0A4 00000001 80000001 00 = 7FFFFFFE 00 +30 0A5 00000001 80000001 01 = 7FFFFFFE 00 +30 0A6 00000001 80000001 10 = 7FFFFFFE 00 +30 0A7 00000001 80000001 11 = 7FFFFFFE 00 +30 0A8 00000002 80000001 00 = 7FFFFFFE 00 +30 0A9 00000002 80000001 01 = 7FFFFFFE 00 +30 0AA 00000002 80000001 10 = 7FFFFFFE 00 +30 0AB 00000002 80000001 11 = 7FFFFFFE 00 +30 0AC 7FFFFFFF 80000001 00 = 7FFFFFFE 00 +30 0AD 7FFFFFFF 80000001 01 = 7FFFFFFE 00 +30 0AE 7FFFFFFF 80000001 10 = 7FFFFFFE 00 +30 0AF 7FFFFFFF 80000001 11 = 7FFFFFFE 00 +30 0B0 80000000 80000001 00 = 7FFFFFFE 00 +30 0B1 80000000 80000001 01 = 7FFFFFFE 00 +30 0B2 80000000 80000001 10 = 7FFFFFFE 00 +30 0B3 80000000 80000001 11 = 7FFFFFFE 00 +30 0B4 80000001 80000001 00 = 7FFFFFFE 00 +30 0B5 80000001 80000001 01 = 7FFFFFFE 00 +30 0B6 80000001 80000001 10 = 7FFFFFFE 00 +30 0B7 80000001 80000001 11 = 7FFFFFFE 00 +30 0B8 FFFFFFFE 80000001 00 = 7FFFFFFE 00 +30 0B9 FFFFFFFE 80000001 01 = 7FFFFFFE 00 +30 0BA FFFFFFFE 80000001 10 = 7FFFFFFE 00 +30 0BB FFFFFFFE 80000001 11 = 7FFFFFFE 00 +30 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 +30 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 +30 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 +30 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 +30 0C0 00000000 FFFFFFFE 00 = 00000001 00 +30 0C1 00000000 FFFFFFFE 01 = 00000001 00 +30 0C2 00000000 FFFFFFFE 10 = 00000001 00 +30 0C3 00000000 FFFFFFFE 11 = 00000001 00 +30 0C4 00000001 FFFFFFFE 00 = 00000001 00 +30 0C5 00000001 FFFFFFFE 01 = 00000001 00 +30 0C6 00000001 FFFFFFFE 10 = 00000001 00 +30 0C7 00000001 FFFFFFFE 11 = 00000001 00 +30 0C8 00000002 FFFFFFFE 00 = 00000001 00 +30 0C9 00000002 FFFFFFFE 01 = 00000001 00 +30 0CA 00000002 FFFFFFFE 10 = 00000001 00 +30 0CB 00000002 FFFFFFFE 11 = 00000001 00 +30 0CC 7FFFFFFF FFFFFFFE 00 = 00000001 00 +30 0CD 7FFFFFFF FFFFFFFE 01 = 00000001 00 +30 0CE 7FFFFFFF FFFFFFFE 10 = 00000001 00 +30 0CF 7FFFFFFF FFFFFFFE 11 = 00000001 00 +30 0D0 80000000 FFFFFFFE 00 = 00000001 00 +30 0D1 80000000 FFFFFFFE 01 = 00000001 00 +30 0D2 80000000 FFFFFFFE 10 = 00000001 00 +30 0D3 80000000 FFFFFFFE 11 = 00000001 00 +30 0D4 80000001 FFFFFFFE 00 = 00000001 00 +30 0D5 80000001 FFFFFFFE 01 = 00000001 00 +30 0D6 80000001 FFFFFFFE 10 = 00000001 00 +30 0D7 80000001 FFFFFFFE 11 = 00000001 00 +30 0D8 FFFFFFFE FFFFFFFE 00 = 00000001 00 +30 0D9 FFFFFFFE FFFFFFFE 01 = 00000001 00 +30 0DA FFFFFFFE FFFFFFFE 10 = 00000001 00 +30 0DB FFFFFFFE FFFFFFFE 11 = 00000001 00 +30 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 +30 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 +30 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 +30 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 +30 0E0 00000000 FFFFFFFF 00 = 00000000 01 +30 0E1 00000000 FFFFFFFF 01 = 00000000 01 +30 0E2 00000000 FFFFFFFF 10 = 00000000 01 +30 0E3 00000000 FFFFFFFF 11 = 00000000 01 +30 0E4 00000001 FFFFFFFF 00 = 00000000 01 +30 0E5 00000001 FFFFFFFF 01 = 00000000 01 +30 0E6 00000001 FFFFFFFF 10 = 00000000 01 +30 0E7 00000001 FFFFFFFF 11 = 00000000 01 +30 0E8 00000002 FFFFFFFF 00 = 00000000 01 +30 0E9 00000002 FFFFFFFF 01 = 00000000 01 +30 0EA 00000002 FFFFFFFF 10 = 00000000 01 +30 0EB 00000002 FFFFFFFF 11 = 00000000 01 +30 0EC 7FFFFFFF FFFFFFFF 00 = 00000000 01 +30 0ED 7FFFFFFF FFFFFFFF 01 = 00000000 01 +30 0EE 7FFFFFFF FFFFFFFF 10 = 00000000 01 +30 0EF 7FFFFFFF FFFFFFFF 11 = 00000000 01 +30 0F0 80000000 FFFFFFFF 00 = 00000000 01 +30 0F1 80000000 FFFFFFFF 01 = 00000000 01 +30 0F2 80000000 FFFFFFFF 10 = 00000000 01 +30 0F3 80000000 FFFFFFFF 11 = 00000000 01 +30 0F4 80000001 FFFFFFFF 00 = 00000000 01 +30 0F5 80000001 FFFFFFFF 01 = 00000000 01 +30 0F6 80000001 FFFFFFFF 10 = 00000000 01 +30 0F7 80000001 FFFFFFFF 11 = 00000000 01 +30 0F8 FFFFFFFE FFFFFFFF 00 = 00000000 01 +30 0F9 FFFFFFFE FFFFFFFF 01 = 00000000 01 +30 0FA FFFFFFFE FFFFFFFF 10 = 00000000 01 +30 0FB FFFFFFFE FFFFFFFF 11 = 00000000 01 +30 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 +30 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 +30 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 +30 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 +abs ---D---- ---S---- CZ = ---Q---- CZ +31 000 00000000 00000000 00 = 00000000 01 +31 001 00000000 00000000 01 = 00000000 01 +31 002 00000000 00000000 10 = 00000000 01 +31 003 00000000 00000000 11 = 00000000 01 +31 004 00000001 00000000 00 = 00000000 01 +31 005 00000001 00000000 01 = 00000000 01 +31 006 00000001 00000000 10 = 00000000 01 +31 007 00000001 00000000 11 = 00000000 01 +31 008 00000002 00000000 00 = 00000000 01 +31 009 00000002 00000000 01 = 00000000 01 +31 00A 00000002 00000000 10 = 00000000 01 +31 00B 00000002 00000000 11 = 00000000 01 +31 00C 7FFFFFFF 00000000 00 = 00000000 01 +31 00D 7FFFFFFF 00000000 01 = 00000000 01 +31 00E 7FFFFFFF 00000000 10 = 00000000 01 +31 00F 7FFFFFFF 00000000 11 = 00000000 01 +31 010 80000000 00000000 00 = 00000000 01 +31 011 80000000 00000000 01 = 00000000 01 +31 012 80000000 00000000 10 = 00000000 01 +31 013 80000000 00000000 11 = 00000000 01 +31 014 80000001 00000000 00 = 00000000 01 +31 015 80000001 00000000 01 = 00000000 01 +31 016 80000001 00000000 10 = 00000000 01 +31 017 80000001 00000000 11 = 00000000 01 +31 018 FFFFFFFE 00000000 00 = 00000000 01 +31 019 FFFFFFFE 00000000 01 = 00000000 01 +31 01A FFFFFFFE 00000000 10 = 00000000 01 +31 01B FFFFFFFE 00000000 11 = 00000000 01 +31 01C FFFFFFFF 00000000 00 = 00000000 01 +31 01D FFFFFFFF 00000000 01 = 00000000 01 +31 01E FFFFFFFF 00000000 10 = 00000000 01 +31 01F FFFFFFFF 00000000 11 = 00000000 01 +31 020 00000000 00000001 00 = 00000001 00 +31 021 00000000 00000001 01 = 00000001 00 +31 022 00000000 00000001 10 = 00000001 00 +31 023 00000000 00000001 11 = 00000001 00 +31 024 00000001 00000001 00 = 00000001 00 +31 025 00000001 00000001 01 = 00000001 00 +31 026 00000001 00000001 10 = 00000001 00 +31 027 00000001 00000001 11 = 00000001 00 +31 028 00000002 00000001 00 = 00000001 00 +31 029 00000002 00000001 01 = 00000001 00 +31 02A 00000002 00000001 10 = 00000001 00 +31 02B 00000002 00000001 11 = 00000001 00 +31 02C 7FFFFFFF 00000001 00 = 00000001 00 +31 02D 7FFFFFFF 00000001 01 = 00000001 00 +31 02E 7FFFFFFF 00000001 10 = 00000001 00 +31 02F 7FFFFFFF 00000001 11 = 00000001 00 +31 030 80000000 00000001 00 = 00000001 00 +31 031 80000000 00000001 01 = 00000001 00 +31 032 80000000 00000001 10 = 00000001 00 +31 033 80000000 00000001 11 = 00000001 00 +31 034 80000001 00000001 00 = 00000001 00 +31 035 80000001 00000001 01 = 00000001 00 +31 036 80000001 00000001 10 = 00000001 00 +31 037 80000001 00000001 11 = 00000001 00 +31 038 FFFFFFFE 00000001 00 = 00000001 00 +31 039 FFFFFFFE 00000001 01 = 00000001 00 +31 03A FFFFFFFE 00000001 10 = 00000001 00 +31 03B FFFFFFFE 00000001 11 = 00000001 00 +31 03C FFFFFFFF 00000001 00 = 00000001 00 +31 03D FFFFFFFF 00000001 01 = 00000001 00 +31 03E FFFFFFFF 00000001 10 = 00000001 00 +31 03F FFFFFFFF 00000001 11 = 00000001 00 +31 040 00000000 00000002 00 = 00000002 00 +31 041 00000000 00000002 01 = 00000002 00 +31 042 00000000 00000002 10 = 00000002 00 +31 043 00000000 00000002 11 = 00000002 00 +31 044 00000001 00000002 00 = 00000002 00 +31 045 00000001 00000002 01 = 00000002 00 +31 046 00000001 00000002 10 = 00000002 00 +31 047 00000001 00000002 11 = 00000002 00 +31 048 00000002 00000002 00 = 00000002 00 +31 049 00000002 00000002 01 = 00000002 00 +31 04A 00000002 00000002 10 = 00000002 00 +31 04B 00000002 00000002 11 = 00000002 00 +31 04C 7FFFFFFF 00000002 00 = 00000002 00 +31 04D 7FFFFFFF 00000002 01 = 00000002 00 +31 04E 7FFFFFFF 00000002 10 = 00000002 00 +31 04F 7FFFFFFF 00000002 11 = 00000002 00 +31 050 80000000 00000002 00 = 00000002 00 +31 051 80000000 00000002 01 = 00000002 00 +31 052 80000000 00000002 10 = 00000002 00 +31 053 80000000 00000002 11 = 00000002 00 +31 054 80000001 00000002 00 = 00000002 00 +31 055 80000001 00000002 01 = 00000002 00 +31 056 80000001 00000002 10 = 00000002 00 +31 057 80000001 00000002 11 = 00000002 00 +31 058 FFFFFFFE 00000002 00 = 00000002 00 +31 059 FFFFFFFE 00000002 01 = 00000002 00 +31 05A FFFFFFFE 00000002 10 = 00000002 00 +31 05B FFFFFFFE 00000002 11 = 00000002 00 +31 05C FFFFFFFF 00000002 00 = 00000002 00 +31 05D FFFFFFFF 00000002 01 = 00000002 00 +31 05E FFFFFFFF 00000002 10 = 00000002 00 +31 05F FFFFFFFF 00000002 11 = 00000002 00 +31 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +31 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +31 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 +31 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 +31 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 +31 065 00000001 7FFFFFFF 01 = 7FFFFFFF 00 +31 066 00000001 7FFFFFFF 10 = 7FFFFFFF 00 +31 067 00000001 7FFFFFFF 11 = 7FFFFFFF 00 +31 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 +31 069 00000002 7FFFFFFF 01 = 7FFFFFFF 00 +31 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 00 +31 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 00 +31 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +31 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +31 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +31 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +31 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 +31 071 80000000 7FFFFFFF 01 = 7FFFFFFF 00 +31 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 +31 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 +31 074 80000001 7FFFFFFF 00 = 7FFFFFFF 00 +31 075 80000001 7FFFFFFF 01 = 7FFFFFFF 00 +31 076 80000001 7FFFFFFF 10 = 7FFFFFFF 00 +31 077 80000001 7FFFFFFF 11 = 7FFFFFFF 00 +31 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 +31 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 +31 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 00 +31 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 00 +31 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +31 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +31 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +31 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +31 080 00000000 80000000 00 = 80000000 10 +31 081 00000000 80000000 01 = 80000000 10 +31 082 00000000 80000000 10 = 80000000 10 +31 083 00000000 80000000 11 = 80000000 10 +31 084 00000001 80000000 00 = 80000000 10 +31 085 00000001 80000000 01 = 80000000 10 +31 086 00000001 80000000 10 = 80000000 10 +31 087 00000001 80000000 11 = 80000000 10 +31 088 00000002 80000000 00 = 80000000 10 +31 089 00000002 80000000 01 = 80000000 10 +31 08A 00000002 80000000 10 = 80000000 10 +31 08B 00000002 80000000 11 = 80000000 10 +31 08C 7FFFFFFF 80000000 00 = 80000000 10 +31 08D 7FFFFFFF 80000000 01 = 80000000 10 +31 08E 7FFFFFFF 80000000 10 = 80000000 10 +31 08F 7FFFFFFF 80000000 11 = 80000000 10 +31 090 80000000 80000000 00 = 80000000 10 +31 091 80000000 80000000 01 = 80000000 10 +31 092 80000000 80000000 10 = 80000000 10 +31 093 80000000 80000000 11 = 80000000 10 +31 094 80000001 80000000 00 = 80000000 10 +31 095 80000001 80000000 01 = 80000000 10 +31 096 80000001 80000000 10 = 80000000 10 +31 097 80000001 80000000 11 = 80000000 10 +31 098 FFFFFFFE 80000000 00 = 80000000 10 +31 099 FFFFFFFE 80000000 01 = 80000000 10 +31 09A FFFFFFFE 80000000 10 = 80000000 10 +31 09B FFFFFFFE 80000000 11 = 80000000 10 +31 09C FFFFFFFF 80000000 00 = 80000000 10 +31 09D FFFFFFFF 80000000 01 = 80000000 10 +31 09E FFFFFFFF 80000000 10 = 80000000 10 +31 09F FFFFFFFF 80000000 11 = 80000000 10 +31 0A0 00000000 80000001 00 = 7FFFFFFF 10 +31 0A1 00000000 80000001 01 = 7FFFFFFF 10 +31 0A2 00000000 80000001 10 = 7FFFFFFF 10 +31 0A3 00000000 80000001 11 = 7FFFFFFF 10 +31 0A4 00000001 80000001 00 = 7FFFFFFF 10 +31 0A5 00000001 80000001 01 = 7FFFFFFF 10 +31 0A6 00000001 80000001 10 = 7FFFFFFF 10 +31 0A7 00000001 80000001 11 = 7FFFFFFF 10 +31 0A8 00000002 80000001 00 = 7FFFFFFF 10 +31 0A9 00000002 80000001 01 = 7FFFFFFF 10 +31 0AA 00000002 80000001 10 = 7FFFFFFF 10 +31 0AB 00000002 80000001 11 = 7FFFFFFF 10 +31 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 +31 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 10 +31 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 +31 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 10 +31 0B0 80000000 80000001 00 = 7FFFFFFF 10 +31 0B1 80000000 80000001 01 = 7FFFFFFF 10 +31 0B2 80000000 80000001 10 = 7FFFFFFF 10 +31 0B3 80000000 80000001 11 = 7FFFFFFF 10 +31 0B4 80000001 80000001 00 = 7FFFFFFF 10 +31 0B5 80000001 80000001 01 = 7FFFFFFF 10 +31 0B6 80000001 80000001 10 = 7FFFFFFF 10 +31 0B7 80000001 80000001 11 = 7FFFFFFF 10 +31 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 +31 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 +31 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 +31 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 +31 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 10 +31 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 10 +31 0BE FFFFFFFF 80000001 10 = 7FFFFFFF 10 +31 0BF FFFFFFFF 80000001 11 = 7FFFFFFF 10 +31 0C0 00000000 FFFFFFFE 00 = 00000002 10 +31 0C1 00000000 FFFFFFFE 01 = 00000002 10 +31 0C2 00000000 FFFFFFFE 10 = 00000002 10 +31 0C3 00000000 FFFFFFFE 11 = 00000002 10 +31 0C4 00000001 FFFFFFFE 00 = 00000002 10 +31 0C5 00000001 FFFFFFFE 01 = 00000002 10 +31 0C6 00000001 FFFFFFFE 10 = 00000002 10 +31 0C7 00000001 FFFFFFFE 11 = 00000002 10 +31 0C8 00000002 FFFFFFFE 00 = 00000002 10 +31 0C9 00000002 FFFFFFFE 01 = 00000002 10 +31 0CA 00000002 FFFFFFFE 10 = 00000002 10 +31 0CB 00000002 FFFFFFFE 11 = 00000002 10 +31 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 10 +31 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 10 +31 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 10 +31 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 10 +31 0D0 80000000 FFFFFFFE 00 = 00000002 10 +31 0D1 80000000 FFFFFFFE 01 = 00000002 10 +31 0D2 80000000 FFFFFFFE 10 = 00000002 10 +31 0D3 80000000 FFFFFFFE 11 = 00000002 10 +31 0D4 80000001 FFFFFFFE 00 = 00000002 10 +31 0D5 80000001 FFFFFFFE 01 = 00000002 10 +31 0D6 80000001 FFFFFFFE 10 = 00000002 10 +31 0D7 80000001 FFFFFFFE 11 = 00000002 10 +31 0D8 FFFFFFFE FFFFFFFE 00 = 00000002 10 +31 0D9 FFFFFFFE FFFFFFFE 01 = 00000002 10 +31 0DA FFFFFFFE FFFFFFFE 10 = 00000002 10 +31 0DB FFFFFFFE FFFFFFFE 11 = 00000002 10 +31 0DC FFFFFFFF FFFFFFFE 00 = 00000002 10 +31 0DD FFFFFFFF FFFFFFFE 01 = 00000002 10 +31 0DE FFFFFFFF FFFFFFFE 10 = 00000002 10 +31 0DF FFFFFFFF FFFFFFFE 11 = 00000002 10 +31 0E0 00000000 FFFFFFFF 00 = 00000001 10 +31 0E1 00000000 FFFFFFFF 01 = 00000001 10 +31 0E2 00000000 FFFFFFFF 10 = 00000001 10 +31 0E3 00000000 FFFFFFFF 11 = 00000001 10 +31 0E4 00000001 FFFFFFFF 00 = 00000001 10 +31 0E5 00000001 FFFFFFFF 01 = 00000001 10 +31 0E6 00000001 FFFFFFFF 10 = 00000001 10 +31 0E7 00000001 FFFFFFFF 11 = 00000001 10 +31 0E8 00000002 FFFFFFFF 00 = 00000001 10 +31 0E9 00000002 FFFFFFFF 01 = 00000001 10 +31 0EA 00000002 FFFFFFFF 10 = 00000001 10 +31 0EB 00000002 FFFFFFFF 11 = 00000001 10 +31 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 10 +31 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 10 +31 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 10 +31 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 10 +31 0F0 80000000 FFFFFFFF 00 = 00000001 10 +31 0F1 80000000 FFFFFFFF 01 = 00000001 10 +31 0F2 80000000 FFFFFFFF 10 = 00000001 10 +31 0F3 80000000 FFFFFFFF 11 = 00000001 10 +31 0F4 80000001 FFFFFFFF 00 = 00000001 10 +31 0F5 80000001 FFFFFFFF 01 = 00000001 10 +31 0F6 80000001 FFFFFFFF 10 = 00000001 10 +31 0F7 80000001 FFFFFFFF 11 = 00000001 10 +31 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 10 +31 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 10 +31 0FA FFFFFFFE FFFFFFFF 10 = 00000001 10 +31 0FB FFFFFFFE FFFFFFFF 11 = 00000001 10 +31 0FC FFFFFFFF FFFFFFFF 00 = 00000001 10 +31 0FD FFFFFFFF FFFFFFFF 01 = 00000001 10 +31 0FE FFFFFFFF FFFFFFFF 10 = 00000001 10 +31 0FF FFFFFFFF FFFFFFFF 11 = 00000001 10 +neg ---D---- ---S---- CZ = ---Q---- CZ +32 000 00000000 00000000 00 = 00000000 01 +32 001 00000000 00000000 01 = 00000000 01 +32 002 00000000 00000000 10 = 00000000 01 +32 003 00000000 00000000 11 = 00000000 01 +32 004 00000001 00000000 00 = 00000000 01 +32 005 00000001 00000000 01 = 00000000 01 +32 006 00000001 00000000 10 = 00000000 01 +32 007 00000001 00000000 11 = 00000000 01 +32 008 00000002 00000000 00 = 00000000 01 +32 009 00000002 00000000 01 = 00000000 01 +32 00A 00000002 00000000 10 = 00000000 01 +32 00B 00000002 00000000 11 = 00000000 01 +32 00C 7FFFFFFF 00000000 00 = 00000000 01 +32 00D 7FFFFFFF 00000000 01 = 00000000 01 +32 00E 7FFFFFFF 00000000 10 = 00000000 01 +32 00F 7FFFFFFF 00000000 11 = 00000000 01 +32 010 80000000 00000000 00 = 00000000 01 +32 011 80000000 00000000 01 = 00000000 01 +32 012 80000000 00000000 10 = 00000000 01 +32 013 80000000 00000000 11 = 00000000 01 +32 014 80000001 00000000 00 = 00000000 01 +32 015 80000001 00000000 01 = 00000000 01 +32 016 80000001 00000000 10 = 00000000 01 +32 017 80000001 00000000 11 = 00000000 01 +32 018 FFFFFFFE 00000000 00 = 00000000 01 +32 019 FFFFFFFE 00000000 01 = 00000000 01 +32 01A FFFFFFFE 00000000 10 = 00000000 01 +32 01B FFFFFFFE 00000000 11 = 00000000 01 +32 01C FFFFFFFF 00000000 00 = 00000000 01 +32 01D FFFFFFFF 00000000 01 = 00000000 01 +32 01E FFFFFFFF 00000000 10 = 00000000 01 +32 01F FFFFFFFF 00000000 11 = 00000000 01 +32 020 00000000 00000001 00 = FFFFFFFF 10 +32 021 00000000 00000001 01 = FFFFFFFF 10 +32 022 00000000 00000001 10 = FFFFFFFF 10 +32 023 00000000 00000001 11 = FFFFFFFF 10 +32 024 00000001 00000001 00 = FFFFFFFF 10 +32 025 00000001 00000001 01 = FFFFFFFF 10 +32 026 00000001 00000001 10 = FFFFFFFF 10 +32 027 00000001 00000001 11 = FFFFFFFF 10 +32 028 00000002 00000001 00 = FFFFFFFF 10 +32 029 00000002 00000001 01 = FFFFFFFF 10 +32 02A 00000002 00000001 10 = FFFFFFFF 10 +32 02B 00000002 00000001 11 = FFFFFFFF 10 +32 02C 7FFFFFFF 00000001 00 = FFFFFFFF 10 +32 02D 7FFFFFFF 00000001 01 = FFFFFFFF 10 +32 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 +32 02F 7FFFFFFF 00000001 11 = FFFFFFFF 10 +32 030 80000000 00000001 00 = FFFFFFFF 10 +32 031 80000000 00000001 01 = FFFFFFFF 10 +32 032 80000000 00000001 10 = FFFFFFFF 10 +32 033 80000000 00000001 11 = FFFFFFFF 10 +32 034 80000001 00000001 00 = FFFFFFFF 10 +32 035 80000001 00000001 01 = FFFFFFFF 10 +32 036 80000001 00000001 10 = FFFFFFFF 10 +32 037 80000001 00000001 11 = FFFFFFFF 10 +32 038 FFFFFFFE 00000001 00 = FFFFFFFF 10 +32 039 FFFFFFFE 00000001 01 = FFFFFFFF 10 +32 03A FFFFFFFE 00000001 10 = FFFFFFFF 10 +32 03B FFFFFFFE 00000001 11 = FFFFFFFF 10 +32 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +32 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +32 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +32 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +32 040 00000000 00000002 00 = FFFFFFFE 10 +32 041 00000000 00000002 01 = FFFFFFFE 10 +32 042 00000000 00000002 10 = FFFFFFFE 10 +32 043 00000000 00000002 11 = FFFFFFFE 10 +32 044 00000001 00000002 00 = FFFFFFFE 10 +32 045 00000001 00000002 01 = FFFFFFFE 10 +32 046 00000001 00000002 10 = FFFFFFFE 10 +32 047 00000001 00000002 11 = FFFFFFFE 10 +32 048 00000002 00000002 00 = FFFFFFFE 10 +32 049 00000002 00000002 01 = FFFFFFFE 10 +32 04A 00000002 00000002 10 = FFFFFFFE 10 +32 04B 00000002 00000002 11 = FFFFFFFE 10 +32 04C 7FFFFFFF 00000002 00 = FFFFFFFE 10 +32 04D 7FFFFFFF 00000002 01 = FFFFFFFE 10 +32 04E 7FFFFFFF 00000002 10 = FFFFFFFE 10 +32 04F 7FFFFFFF 00000002 11 = FFFFFFFE 10 +32 050 80000000 00000002 00 = FFFFFFFE 10 +32 051 80000000 00000002 01 = FFFFFFFE 10 +32 052 80000000 00000002 10 = FFFFFFFE 10 +32 053 80000000 00000002 11 = FFFFFFFE 10 +32 054 80000001 00000002 00 = FFFFFFFE 10 +32 055 80000001 00000002 01 = FFFFFFFE 10 +32 056 80000001 00000002 10 = FFFFFFFE 10 +32 057 80000001 00000002 11 = FFFFFFFE 10 +32 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +32 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +32 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +32 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +32 05C FFFFFFFF 00000002 00 = FFFFFFFE 10 +32 05D FFFFFFFF 00000002 01 = FFFFFFFE 10 +32 05E FFFFFFFF 00000002 10 = FFFFFFFE 10 +32 05F FFFFFFFF 00000002 11 = FFFFFFFE 10 +32 060 00000000 7FFFFFFF 00 = 80000001 10 +32 061 00000000 7FFFFFFF 01 = 80000001 10 +32 062 00000000 7FFFFFFF 10 = 80000001 10 +32 063 00000000 7FFFFFFF 11 = 80000001 10 +32 064 00000001 7FFFFFFF 00 = 80000001 10 +32 065 00000001 7FFFFFFF 01 = 80000001 10 +32 066 00000001 7FFFFFFF 10 = 80000001 10 +32 067 00000001 7FFFFFFF 11 = 80000001 10 +32 068 00000002 7FFFFFFF 00 = 80000001 10 +32 069 00000002 7FFFFFFF 01 = 80000001 10 +32 06A 00000002 7FFFFFFF 10 = 80000001 10 +32 06B 00000002 7FFFFFFF 11 = 80000001 10 +32 06C 7FFFFFFF 7FFFFFFF 00 = 80000001 10 +32 06D 7FFFFFFF 7FFFFFFF 01 = 80000001 10 +32 06E 7FFFFFFF 7FFFFFFF 10 = 80000001 10 +32 06F 7FFFFFFF 7FFFFFFF 11 = 80000001 10 +32 070 80000000 7FFFFFFF 00 = 80000001 10 +32 071 80000000 7FFFFFFF 01 = 80000001 10 +32 072 80000000 7FFFFFFF 10 = 80000001 10 +32 073 80000000 7FFFFFFF 11 = 80000001 10 +32 074 80000001 7FFFFFFF 00 = 80000001 10 +32 075 80000001 7FFFFFFF 01 = 80000001 10 +32 076 80000001 7FFFFFFF 10 = 80000001 10 +32 077 80000001 7FFFFFFF 11 = 80000001 10 +32 078 FFFFFFFE 7FFFFFFF 00 = 80000001 10 +32 079 FFFFFFFE 7FFFFFFF 01 = 80000001 10 +32 07A FFFFFFFE 7FFFFFFF 10 = 80000001 10 +32 07B FFFFFFFE 7FFFFFFF 11 = 80000001 10 +32 07C FFFFFFFF 7FFFFFFF 00 = 80000001 10 +32 07D FFFFFFFF 7FFFFFFF 01 = 80000001 10 +32 07E FFFFFFFF 7FFFFFFF 10 = 80000001 10 +32 07F FFFFFFFF 7FFFFFFF 11 = 80000001 10 +32 080 00000000 80000000 00 = 80000000 10 +32 081 00000000 80000000 01 = 80000000 10 +32 082 00000000 80000000 10 = 80000000 10 +32 083 00000000 80000000 11 = 80000000 10 +32 084 00000001 80000000 00 = 80000000 10 +32 085 00000001 80000000 01 = 80000000 10 +32 086 00000001 80000000 10 = 80000000 10 +32 087 00000001 80000000 11 = 80000000 10 +32 088 00000002 80000000 00 = 80000000 10 +32 089 00000002 80000000 01 = 80000000 10 +32 08A 00000002 80000000 10 = 80000000 10 +32 08B 00000002 80000000 11 = 80000000 10 +32 08C 7FFFFFFF 80000000 00 = 80000000 10 +32 08D 7FFFFFFF 80000000 01 = 80000000 10 +32 08E 7FFFFFFF 80000000 10 = 80000000 10 +32 08F 7FFFFFFF 80000000 11 = 80000000 10 +32 090 80000000 80000000 00 = 80000000 10 +32 091 80000000 80000000 01 = 80000000 10 +32 092 80000000 80000000 10 = 80000000 10 +32 093 80000000 80000000 11 = 80000000 10 +32 094 80000001 80000000 00 = 80000000 10 +32 095 80000001 80000000 01 = 80000000 10 +32 096 80000001 80000000 10 = 80000000 10 +32 097 80000001 80000000 11 = 80000000 10 +32 098 FFFFFFFE 80000000 00 = 80000000 10 +32 099 FFFFFFFE 80000000 01 = 80000000 10 +32 09A FFFFFFFE 80000000 10 = 80000000 10 +32 09B FFFFFFFE 80000000 11 = 80000000 10 +32 09C FFFFFFFF 80000000 00 = 80000000 10 +32 09D FFFFFFFF 80000000 01 = 80000000 10 +32 09E FFFFFFFF 80000000 10 = 80000000 10 +32 09F FFFFFFFF 80000000 11 = 80000000 10 +32 0A0 00000000 80000001 00 = 7FFFFFFF 00 +32 0A1 00000000 80000001 01 = 7FFFFFFF 00 +32 0A2 00000000 80000001 10 = 7FFFFFFF 00 +32 0A3 00000000 80000001 11 = 7FFFFFFF 00 +32 0A4 00000001 80000001 00 = 7FFFFFFF 00 +32 0A5 00000001 80000001 01 = 7FFFFFFF 00 +32 0A6 00000001 80000001 10 = 7FFFFFFF 00 +32 0A7 00000001 80000001 11 = 7FFFFFFF 00 +32 0A8 00000002 80000001 00 = 7FFFFFFF 00 +32 0A9 00000002 80000001 01 = 7FFFFFFF 00 +32 0AA 00000002 80000001 10 = 7FFFFFFF 00 +32 0AB 00000002 80000001 11 = 7FFFFFFF 00 +32 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +32 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +32 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +32 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +32 0B0 80000000 80000001 00 = 7FFFFFFF 00 +32 0B1 80000000 80000001 01 = 7FFFFFFF 00 +32 0B2 80000000 80000001 10 = 7FFFFFFF 00 +32 0B3 80000000 80000001 11 = 7FFFFFFF 00 +32 0B4 80000001 80000001 00 = 7FFFFFFF 00 +32 0B5 80000001 80000001 01 = 7FFFFFFF 00 +32 0B6 80000001 80000001 10 = 7FFFFFFF 00 +32 0B7 80000001 80000001 11 = 7FFFFFFF 00 +32 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 +32 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 +32 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 00 +32 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 00 +32 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 00 +32 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 00 +32 0BE FFFFFFFF 80000001 10 = 7FFFFFFF 00 +32 0BF FFFFFFFF 80000001 11 = 7FFFFFFF 00 +32 0C0 00000000 FFFFFFFE 00 = 00000002 00 +32 0C1 00000000 FFFFFFFE 01 = 00000002 00 +32 0C2 00000000 FFFFFFFE 10 = 00000002 00 +32 0C3 00000000 FFFFFFFE 11 = 00000002 00 +32 0C4 00000001 FFFFFFFE 00 = 00000002 00 +32 0C5 00000001 FFFFFFFE 01 = 00000002 00 +32 0C6 00000001 FFFFFFFE 10 = 00000002 00 +32 0C7 00000001 FFFFFFFE 11 = 00000002 00 +32 0C8 00000002 FFFFFFFE 00 = 00000002 00 +32 0C9 00000002 FFFFFFFE 01 = 00000002 00 +32 0CA 00000002 FFFFFFFE 10 = 00000002 00 +32 0CB 00000002 FFFFFFFE 11 = 00000002 00 +32 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 00 +32 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 00 +32 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 00 +32 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 00 +32 0D0 80000000 FFFFFFFE 00 = 00000002 00 +32 0D1 80000000 FFFFFFFE 01 = 00000002 00 +32 0D2 80000000 FFFFFFFE 10 = 00000002 00 +32 0D3 80000000 FFFFFFFE 11 = 00000002 00 +32 0D4 80000001 FFFFFFFE 00 = 00000002 00 +32 0D5 80000001 FFFFFFFE 01 = 00000002 00 +32 0D6 80000001 FFFFFFFE 10 = 00000002 00 +32 0D7 80000001 FFFFFFFE 11 = 00000002 00 +32 0D8 FFFFFFFE FFFFFFFE 00 = 00000002 00 +32 0D9 FFFFFFFE FFFFFFFE 01 = 00000002 00 +32 0DA FFFFFFFE FFFFFFFE 10 = 00000002 00 +32 0DB FFFFFFFE FFFFFFFE 11 = 00000002 00 +32 0DC FFFFFFFF FFFFFFFE 00 = 00000002 00 +32 0DD FFFFFFFF FFFFFFFE 01 = 00000002 00 +32 0DE FFFFFFFF FFFFFFFE 10 = 00000002 00 +32 0DF FFFFFFFF FFFFFFFE 11 = 00000002 00 +32 0E0 00000000 FFFFFFFF 00 = 00000001 00 +32 0E1 00000000 FFFFFFFF 01 = 00000001 00 +32 0E2 00000000 FFFFFFFF 10 = 00000001 00 +32 0E3 00000000 FFFFFFFF 11 = 00000001 00 +32 0E4 00000001 FFFFFFFF 00 = 00000001 00 +32 0E5 00000001 FFFFFFFF 01 = 00000001 00 +32 0E6 00000001 FFFFFFFF 10 = 00000001 00 +32 0E7 00000001 FFFFFFFF 11 = 00000001 00 +32 0E8 00000002 FFFFFFFF 00 = 00000001 00 +32 0E9 00000002 FFFFFFFF 01 = 00000001 00 +32 0EA 00000002 FFFFFFFF 10 = 00000001 00 +32 0EB 00000002 FFFFFFFF 11 = 00000001 00 +32 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 00 +32 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 00 +32 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 00 +32 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 00 +32 0F0 80000000 FFFFFFFF 00 = 00000001 00 +32 0F1 80000000 FFFFFFFF 01 = 00000001 00 +32 0F2 80000000 FFFFFFFF 10 = 00000001 00 +32 0F3 80000000 FFFFFFFF 11 = 00000001 00 +32 0F4 80000001 FFFFFFFF 00 = 00000001 00 +32 0F5 80000001 FFFFFFFF 01 = 00000001 00 +32 0F6 80000001 FFFFFFFF 10 = 00000001 00 +32 0F7 80000001 FFFFFFFF 11 = 00000001 00 +32 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 00 +32 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 00 +32 0FA FFFFFFFE FFFFFFFF 10 = 00000001 00 +32 0FB FFFFFFFE FFFFFFFF 11 = 00000001 00 +32 0FC FFFFFFFF FFFFFFFF 00 = 00000001 00 +32 0FD FFFFFFFF FFFFFFFF 01 = 00000001 00 +32 0FE FFFFFFFF FFFFFFFF 10 = 00000001 00 +32 0FF FFFFFFFF FFFFFFFF 11 = 00000001 00 +negc ---D---- ---S---- CZ = ---Q---- CZ +33 000 00000000 00000000 00 = 00000000 01 +33 001 00000000 00000000 01 = 00000000 01 +33 002 00000000 00000000 10 = 00000000 01 +33 003 00000000 00000000 11 = 00000000 01 +33 004 00000001 00000000 00 = 00000000 01 +33 005 00000001 00000000 01 = 00000000 01 +33 006 00000001 00000000 10 = 00000000 01 +33 007 00000001 00000000 11 = 00000000 01 +33 008 00000002 00000000 00 = 00000000 01 +33 009 00000002 00000000 01 = 00000000 01 +33 00A 00000002 00000000 10 = 00000000 01 +33 00B 00000002 00000000 11 = 00000000 01 +33 00C 7FFFFFFF 00000000 00 = 00000000 01 +33 00D 7FFFFFFF 00000000 01 = 00000000 01 +33 00E 7FFFFFFF 00000000 10 = 00000000 01 +33 00F 7FFFFFFF 00000000 11 = 00000000 01 +33 010 80000000 00000000 00 = 00000000 01 +33 011 80000000 00000000 01 = 00000000 01 +33 012 80000000 00000000 10 = 00000000 01 +33 013 80000000 00000000 11 = 00000000 01 +33 014 80000001 00000000 00 = 00000000 01 +33 015 80000001 00000000 01 = 00000000 01 +33 016 80000001 00000000 10 = 00000000 01 +33 017 80000001 00000000 11 = 00000000 01 +33 018 FFFFFFFE 00000000 00 = 00000000 01 +33 019 FFFFFFFE 00000000 01 = 00000000 01 +33 01A FFFFFFFE 00000000 10 = 00000000 01 +33 01B FFFFFFFE 00000000 11 = 00000000 01 +33 01C FFFFFFFF 00000000 00 = 00000000 01 +33 01D FFFFFFFF 00000000 01 = 00000000 01 +33 01E FFFFFFFF 00000000 10 = 00000000 01 +33 01F FFFFFFFF 00000000 11 = 00000000 01 +33 020 00000000 00000001 00 = 00000001 00 +33 021 00000000 00000001 01 = 00000001 00 +33 022 00000000 00000001 10 = FFFFFFFF 10 +33 023 00000000 00000001 11 = FFFFFFFF 10 +33 024 00000001 00000001 00 = 00000001 00 +33 025 00000001 00000001 01 = 00000001 00 +33 026 00000001 00000001 10 = FFFFFFFF 10 +33 027 00000001 00000001 11 = FFFFFFFF 10 +33 028 00000002 00000001 00 = 00000001 00 +33 029 00000002 00000001 01 = 00000001 00 +33 02A 00000002 00000001 10 = FFFFFFFF 10 +33 02B 00000002 00000001 11 = FFFFFFFF 10 +33 02C 7FFFFFFF 00000001 00 = 00000001 00 +33 02D 7FFFFFFF 00000001 01 = 00000001 00 +33 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 +33 02F 7FFFFFFF 00000001 11 = FFFFFFFF 10 +33 030 80000000 00000001 00 = 00000001 00 +33 031 80000000 00000001 01 = 00000001 00 +33 032 80000000 00000001 10 = FFFFFFFF 10 +33 033 80000000 00000001 11 = FFFFFFFF 10 +33 034 80000001 00000001 00 = 00000001 00 +33 035 80000001 00000001 01 = 00000001 00 +33 036 80000001 00000001 10 = FFFFFFFF 10 +33 037 80000001 00000001 11 = FFFFFFFF 10 +33 038 FFFFFFFE 00000001 00 = 00000001 00 +33 039 FFFFFFFE 00000001 01 = 00000001 00 +33 03A FFFFFFFE 00000001 10 = FFFFFFFF 10 +33 03B FFFFFFFE 00000001 11 = FFFFFFFF 10 +33 03C FFFFFFFF 00000001 00 = 00000001 00 +33 03D FFFFFFFF 00000001 01 = 00000001 00 +33 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +33 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +33 040 00000000 00000002 00 = 00000002 00 +33 041 00000000 00000002 01 = 00000002 00 +33 042 00000000 00000002 10 = FFFFFFFE 10 +33 043 00000000 00000002 11 = FFFFFFFE 10 +33 044 00000001 00000002 00 = 00000002 00 +33 045 00000001 00000002 01 = 00000002 00 +33 046 00000001 00000002 10 = FFFFFFFE 10 +33 047 00000001 00000002 11 = FFFFFFFE 10 +33 048 00000002 00000002 00 = 00000002 00 +33 049 00000002 00000002 01 = 00000002 00 +33 04A 00000002 00000002 10 = FFFFFFFE 10 +33 04B 00000002 00000002 11 = FFFFFFFE 10 +33 04C 7FFFFFFF 00000002 00 = 00000002 00 +33 04D 7FFFFFFF 00000002 01 = 00000002 00 +33 04E 7FFFFFFF 00000002 10 = FFFFFFFE 10 +33 04F 7FFFFFFF 00000002 11 = FFFFFFFE 10 +33 050 80000000 00000002 00 = 00000002 00 +33 051 80000000 00000002 01 = 00000002 00 +33 052 80000000 00000002 10 = FFFFFFFE 10 +33 053 80000000 00000002 11 = FFFFFFFE 10 +33 054 80000001 00000002 00 = 00000002 00 +33 055 80000001 00000002 01 = 00000002 00 +33 056 80000001 00000002 10 = FFFFFFFE 10 +33 057 80000001 00000002 11 = FFFFFFFE 10 +33 058 FFFFFFFE 00000002 00 = 00000002 00 +33 059 FFFFFFFE 00000002 01 = 00000002 00 +33 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +33 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +33 05C FFFFFFFF 00000002 00 = 00000002 00 +33 05D FFFFFFFF 00000002 01 = 00000002 00 +33 05E FFFFFFFF 00000002 10 = FFFFFFFE 10 +33 05F FFFFFFFF 00000002 11 = FFFFFFFE 10 +33 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +33 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +33 062 00000000 7FFFFFFF 10 = 80000001 10 +33 063 00000000 7FFFFFFF 11 = 80000001 10 +33 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 +33 065 00000001 7FFFFFFF 01 = 7FFFFFFF 00 +33 066 00000001 7FFFFFFF 10 = 80000001 10 +33 067 00000001 7FFFFFFF 11 = 80000001 10 +33 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 +33 069 00000002 7FFFFFFF 01 = 7FFFFFFF 00 +33 06A 00000002 7FFFFFFF 10 = 80000001 10 +33 06B 00000002 7FFFFFFF 11 = 80000001 10 +33 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +33 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +33 06E 7FFFFFFF 7FFFFFFF 10 = 80000001 10 +33 06F 7FFFFFFF 7FFFFFFF 11 = 80000001 10 +33 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 +33 071 80000000 7FFFFFFF 01 = 7FFFFFFF 00 +33 072 80000000 7FFFFFFF 10 = 80000001 10 +33 073 80000000 7FFFFFFF 11 = 80000001 10 +33 074 80000001 7FFFFFFF 00 = 7FFFFFFF 00 +33 075 80000001 7FFFFFFF 01 = 7FFFFFFF 00 +33 076 80000001 7FFFFFFF 10 = 80000001 10 +33 077 80000001 7FFFFFFF 11 = 80000001 10 +33 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 +33 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 +33 07A FFFFFFFE 7FFFFFFF 10 = 80000001 10 +33 07B FFFFFFFE 7FFFFFFF 11 = 80000001 10 +33 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +33 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +33 07E FFFFFFFF 7FFFFFFF 10 = 80000001 10 +33 07F FFFFFFFF 7FFFFFFF 11 = 80000001 10 +33 080 00000000 80000000 00 = 80000000 10 +33 081 00000000 80000000 01 = 80000000 10 +33 082 00000000 80000000 10 = 80000000 10 +33 083 00000000 80000000 11 = 80000000 10 +33 084 00000001 80000000 00 = 80000000 10 +33 085 00000001 80000000 01 = 80000000 10 +33 086 00000001 80000000 10 = 80000000 10 +33 087 00000001 80000000 11 = 80000000 10 +33 088 00000002 80000000 00 = 80000000 10 +33 089 00000002 80000000 01 = 80000000 10 +33 08A 00000002 80000000 10 = 80000000 10 +33 08B 00000002 80000000 11 = 80000000 10 +33 08C 7FFFFFFF 80000000 00 = 80000000 10 +33 08D 7FFFFFFF 80000000 01 = 80000000 10 +33 08E 7FFFFFFF 80000000 10 = 80000000 10 +33 08F 7FFFFFFF 80000000 11 = 80000000 10 +33 090 80000000 80000000 00 = 80000000 10 +33 091 80000000 80000000 01 = 80000000 10 +33 092 80000000 80000000 10 = 80000000 10 +33 093 80000000 80000000 11 = 80000000 10 +33 094 80000001 80000000 00 = 80000000 10 +33 095 80000001 80000000 01 = 80000000 10 +33 096 80000001 80000000 10 = 80000000 10 +33 097 80000001 80000000 11 = 80000000 10 +33 098 FFFFFFFE 80000000 00 = 80000000 10 +33 099 FFFFFFFE 80000000 01 = 80000000 10 +33 09A FFFFFFFE 80000000 10 = 80000000 10 +33 09B FFFFFFFE 80000000 11 = 80000000 10 +33 09C FFFFFFFF 80000000 00 = 80000000 10 +33 09D FFFFFFFF 80000000 01 = 80000000 10 +33 09E FFFFFFFF 80000000 10 = 80000000 10 +33 09F FFFFFFFF 80000000 11 = 80000000 10 +33 0A0 00000000 80000001 00 = 80000001 10 +33 0A1 00000000 80000001 01 = 80000001 10 +33 0A2 00000000 80000001 10 = 7FFFFFFF 00 +33 0A3 00000000 80000001 11 = 7FFFFFFF 00 +33 0A4 00000001 80000001 00 = 80000001 10 +33 0A5 00000001 80000001 01 = 80000001 10 +33 0A6 00000001 80000001 10 = 7FFFFFFF 00 +33 0A7 00000001 80000001 11 = 7FFFFFFF 00 +33 0A8 00000002 80000001 00 = 80000001 10 +33 0A9 00000002 80000001 01 = 80000001 10 +33 0AA 00000002 80000001 10 = 7FFFFFFF 00 +33 0AB 00000002 80000001 11 = 7FFFFFFF 00 +33 0AC 7FFFFFFF 80000001 00 = 80000001 10 +33 0AD 7FFFFFFF 80000001 01 = 80000001 10 +33 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +33 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +33 0B0 80000000 80000001 00 = 80000001 10 +33 0B1 80000000 80000001 01 = 80000001 10 +33 0B2 80000000 80000001 10 = 7FFFFFFF 00 +33 0B3 80000000 80000001 11 = 7FFFFFFF 00 +33 0B4 80000001 80000001 00 = 80000001 10 +33 0B5 80000001 80000001 01 = 80000001 10 +33 0B6 80000001 80000001 10 = 7FFFFFFF 00 +33 0B7 80000001 80000001 11 = 7FFFFFFF 00 +33 0B8 FFFFFFFE 80000001 00 = 80000001 10 +33 0B9 FFFFFFFE 80000001 01 = 80000001 10 +33 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 00 +33 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 00 +33 0BC FFFFFFFF 80000001 00 = 80000001 10 +33 0BD FFFFFFFF 80000001 01 = 80000001 10 +33 0BE FFFFFFFF 80000001 10 = 7FFFFFFF 00 +33 0BF FFFFFFFF 80000001 11 = 7FFFFFFF 00 +33 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +33 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +33 0C2 00000000 FFFFFFFE 10 = 00000002 00 +33 0C3 00000000 FFFFFFFE 11 = 00000002 00 +33 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 10 +33 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 10 +33 0C6 00000001 FFFFFFFE 10 = 00000002 00 +33 0C7 00000001 FFFFFFFE 11 = 00000002 00 +33 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 +33 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 +33 0CA 00000002 FFFFFFFE 10 = 00000002 00 +33 0CB 00000002 FFFFFFFE 11 = 00000002 00 +33 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +33 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +33 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 00 +33 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 00 +33 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 +33 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 +33 0D2 80000000 FFFFFFFE 10 = 00000002 00 +33 0D3 80000000 FFFFFFFE 11 = 00000002 00 +33 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 10 +33 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 10 +33 0D6 80000001 FFFFFFFE 10 = 00000002 00 +33 0D7 80000001 FFFFFFFE 11 = 00000002 00 +33 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +33 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 +33 0DA FFFFFFFE FFFFFFFE 10 = 00000002 00 +33 0DB FFFFFFFE FFFFFFFE 11 = 00000002 00 +33 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +33 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +33 0DE FFFFFFFF FFFFFFFE 10 = 00000002 00 +33 0DF FFFFFFFF FFFFFFFE 11 = 00000002 00 +33 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 +33 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 +33 0E2 00000000 FFFFFFFF 10 = 00000001 00 +33 0E3 00000000 FFFFFFFF 11 = 00000001 00 +33 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 10 +33 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 10 +33 0E6 00000001 FFFFFFFF 10 = 00000001 00 +33 0E7 00000001 FFFFFFFF 11 = 00000001 00 +33 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 10 +33 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 10 +33 0EA 00000002 FFFFFFFF 10 = 00000001 00 +33 0EB 00000002 FFFFFFFF 11 = 00000001 00 +33 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +33 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +33 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 00 +33 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 00 +33 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 10 +33 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 10 +33 0F2 80000000 FFFFFFFF 10 = 00000001 00 +33 0F3 80000000 FFFFFFFF 11 = 00000001 00 +33 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 10 +33 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 10 +33 0F6 80000001 FFFFFFFF 10 = 00000001 00 +33 0F7 80000001 FFFFFFFF 11 = 00000001 00 +33 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +33 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +33 0FA FFFFFFFE FFFFFFFF 10 = 00000001 00 +33 0FB FFFFFFFE FFFFFFFF 11 = 00000001 00 +33 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +33 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +33 0FE FFFFFFFF FFFFFFFF 10 = 00000001 00 +33 0FF FFFFFFFF FFFFFFFF 11 = 00000001 00 +negnc ---D---- ---S---- CZ = ---Q---- CZ +34 000 00000000 00000000 00 = 00000000 01 +34 001 00000000 00000000 01 = 00000000 01 +34 002 00000000 00000000 10 = 00000000 01 +34 003 00000000 00000000 11 = 00000000 01 +34 004 00000001 00000000 00 = 00000000 01 +34 005 00000001 00000000 01 = 00000000 01 +34 006 00000001 00000000 10 = 00000000 01 +34 007 00000001 00000000 11 = 00000000 01 +34 008 00000002 00000000 00 = 00000000 01 +34 009 00000002 00000000 01 = 00000000 01 +34 00A 00000002 00000000 10 = 00000000 01 +34 00B 00000002 00000000 11 = 00000000 01 +34 00C 7FFFFFFF 00000000 00 = 00000000 01 +34 00D 7FFFFFFF 00000000 01 = 00000000 01 +34 00E 7FFFFFFF 00000000 10 = 00000000 01 +34 00F 7FFFFFFF 00000000 11 = 00000000 01 +34 010 80000000 00000000 00 = 00000000 01 +34 011 80000000 00000000 01 = 00000000 01 +34 012 80000000 00000000 10 = 00000000 01 +34 013 80000000 00000000 11 = 00000000 01 +34 014 80000001 00000000 00 = 00000000 01 +34 015 80000001 00000000 01 = 00000000 01 +34 016 80000001 00000000 10 = 00000000 01 +34 017 80000001 00000000 11 = 00000000 01 +34 018 FFFFFFFE 00000000 00 = 00000000 01 +34 019 FFFFFFFE 00000000 01 = 00000000 01 +34 01A FFFFFFFE 00000000 10 = 00000000 01 +34 01B FFFFFFFE 00000000 11 = 00000000 01 +34 01C FFFFFFFF 00000000 00 = 00000000 01 +34 01D FFFFFFFF 00000000 01 = 00000000 01 +34 01E FFFFFFFF 00000000 10 = 00000000 01 +34 01F FFFFFFFF 00000000 11 = 00000000 01 +34 020 00000000 00000001 00 = FFFFFFFF 10 +34 021 00000000 00000001 01 = FFFFFFFF 10 +34 022 00000000 00000001 10 = 00000001 00 +34 023 00000000 00000001 11 = 00000001 00 +34 024 00000001 00000001 00 = FFFFFFFF 10 +34 025 00000001 00000001 01 = FFFFFFFF 10 +34 026 00000001 00000001 10 = 00000001 00 +34 027 00000001 00000001 11 = 00000001 00 +34 028 00000002 00000001 00 = FFFFFFFF 10 +34 029 00000002 00000001 01 = FFFFFFFF 10 +34 02A 00000002 00000001 10 = 00000001 00 +34 02B 00000002 00000001 11 = 00000001 00 +34 02C 7FFFFFFF 00000001 00 = FFFFFFFF 10 +34 02D 7FFFFFFF 00000001 01 = FFFFFFFF 10 +34 02E 7FFFFFFF 00000001 10 = 00000001 00 +34 02F 7FFFFFFF 00000001 11 = 00000001 00 +34 030 80000000 00000001 00 = FFFFFFFF 10 +34 031 80000000 00000001 01 = FFFFFFFF 10 +34 032 80000000 00000001 10 = 00000001 00 +34 033 80000000 00000001 11 = 00000001 00 +34 034 80000001 00000001 00 = FFFFFFFF 10 +34 035 80000001 00000001 01 = FFFFFFFF 10 +34 036 80000001 00000001 10 = 00000001 00 +34 037 80000001 00000001 11 = 00000001 00 +34 038 FFFFFFFE 00000001 00 = FFFFFFFF 10 +34 039 FFFFFFFE 00000001 01 = FFFFFFFF 10 +34 03A FFFFFFFE 00000001 10 = 00000001 00 +34 03B FFFFFFFE 00000001 11 = 00000001 00 +34 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +34 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +34 03E FFFFFFFF 00000001 10 = 00000001 00 +34 03F FFFFFFFF 00000001 11 = 00000001 00 +34 040 00000000 00000002 00 = FFFFFFFE 10 +34 041 00000000 00000002 01 = FFFFFFFE 10 +34 042 00000000 00000002 10 = 00000002 00 +34 043 00000000 00000002 11 = 00000002 00 +34 044 00000001 00000002 00 = FFFFFFFE 10 +34 045 00000001 00000002 01 = FFFFFFFE 10 +34 046 00000001 00000002 10 = 00000002 00 +34 047 00000001 00000002 11 = 00000002 00 +34 048 00000002 00000002 00 = FFFFFFFE 10 +34 049 00000002 00000002 01 = FFFFFFFE 10 +34 04A 00000002 00000002 10 = 00000002 00 +34 04B 00000002 00000002 11 = 00000002 00 +34 04C 7FFFFFFF 00000002 00 = FFFFFFFE 10 +34 04D 7FFFFFFF 00000002 01 = FFFFFFFE 10 +34 04E 7FFFFFFF 00000002 10 = 00000002 00 +34 04F 7FFFFFFF 00000002 11 = 00000002 00 +34 050 80000000 00000002 00 = FFFFFFFE 10 +34 051 80000000 00000002 01 = FFFFFFFE 10 +34 052 80000000 00000002 10 = 00000002 00 +34 053 80000000 00000002 11 = 00000002 00 +34 054 80000001 00000002 00 = FFFFFFFE 10 +34 055 80000001 00000002 01 = FFFFFFFE 10 +34 056 80000001 00000002 10 = 00000002 00 +34 057 80000001 00000002 11 = 00000002 00 +34 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +34 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +34 05A FFFFFFFE 00000002 10 = 00000002 00 +34 05B FFFFFFFE 00000002 11 = 00000002 00 +34 05C FFFFFFFF 00000002 00 = FFFFFFFE 10 +34 05D FFFFFFFF 00000002 01 = FFFFFFFE 10 +34 05E FFFFFFFF 00000002 10 = 00000002 00 +34 05F FFFFFFFF 00000002 11 = 00000002 00 +34 060 00000000 7FFFFFFF 00 = 80000001 10 +34 061 00000000 7FFFFFFF 01 = 80000001 10 +34 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 +34 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 +34 064 00000001 7FFFFFFF 00 = 80000001 10 +34 065 00000001 7FFFFFFF 01 = 80000001 10 +34 066 00000001 7FFFFFFF 10 = 7FFFFFFF 00 +34 067 00000001 7FFFFFFF 11 = 7FFFFFFF 00 +34 068 00000002 7FFFFFFF 00 = 80000001 10 +34 069 00000002 7FFFFFFF 01 = 80000001 10 +34 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 00 +34 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 00 +34 06C 7FFFFFFF 7FFFFFFF 00 = 80000001 10 +34 06D 7FFFFFFF 7FFFFFFF 01 = 80000001 10 +34 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +34 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +34 070 80000000 7FFFFFFF 00 = 80000001 10 +34 071 80000000 7FFFFFFF 01 = 80000001 10 +34 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 +34 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 +34 074 80000001 7FFFFFFF 00 = 80000001 10 +34 075 80000001 7FFFFFFF 01 = 80000001 10 +34 076 80000001 7FFFFFFF 10 = 7FFFFFFF 00 +34 077 80000001 7FFFFFFF 11 = 7FFFFFFF 00 +34 078 FFFFFFFE 7FFFFFFF 00 = 80000001 10 +34 079 FFFFFFFE 7FFFFFFF 01 = 80000001 10 +34 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 00 +34 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 00 +34 07C FFFFFFFF 7FFFFFFF 00 = 80000001 10 +34 07D FFFFFFFF 7FFFFFFF 01 = 80000001 10 +34 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +34 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +34 080 00000000 80000000 00 = 80000000 10 +34 081 00000000 80000000 01 = 80000000 10 +34 082 00000000 80000000 10 = 80000000 10 +34 083 00000000 80000000 11 = 80000000 10 +34 084 00000001 80000000 00 = 80000000 10 +34 085 00000001 80000000 01 = 80000000 10 +34 086 00000001 80000000 10 = 80000000 10 +34 087 00000001 80000000 11 = 80000000 10 +34 088 00000002 80000000 00 = 80000000 10 +34 089 00000002 80000000 01 = 80000000 10 +34 08A 00000002 80000000 10 = 80000000 10 +34 08B 00000002 80000000 11 = 80000000 10 +34 08C 7FFFFFFF 80000000 00 = 80000000 10 +34 08D 7FFFFFFF 80000000 01 = 80000000 10 +34 08E 7FFFFFFF 80000000 10 = 80000000 10 +34 08F 7FFFFFFF 80000000 11 = 80000000 10 +34 090 80000000 80000000 00 = 80000000 10 +34 091 80000000 80000000 01 = 80000000 10 +34 092 80000000 80000000 10 = 80000000 10 +34 093 80000000 80000000 11 = 80000000 10 +34 094 80000001 80000000 00 = 80000000 10 +34 095 80000001 80000000 01 = 80000000 10 +34 096 80000001 80000000 10 = 80000000 10 +34 097 80000001 80000000 11 = 80000000 10 +34 098 FFFFFFFE 80000000 00 = 80000000 10 +34 099 FFFFFFFE 80000000 01 = 80000000 10 +34 09A FFFFFFFE 80000000 10 = 80000000 10 +34 09B FFFFFFFE 80000000 11 = 80000000 10 +34 09C FFFFFFFF 80000000 00 = 80000000 10 +34 09D FFFFFFFF 80000000 01 = 80000000 10 +34 09E FFFFFFFF 80000000 10 = 80000000 10 +34 09F FFFFFFFF 80000000 11 = 80000000 10 +34 0A0 00000000 80000001 00 = 7FFFFFFF 00 +34 0A1 00000000 80000001 01 = 7FFFFFFF 00 +34 0A2 00000000 80000001 10 = 80000001 10 +34 0A3 00000000 80000001 11 = 80000001 10 +34 0A4 00000001 80000001 00 = 7FFFFFFF 00 +34 0A5 00000001 80000001 01 = 7FFFFFFF 00 +34 0A6 00000001 80000001 10 = 80000001 10 +34 0A7 00000001 80000001 11 = 80000001 10 +34 0A8 00000002 80000001 00 = 7FFFFFFF 00 +34 0A9 00000002 80000001 01 = 7FFFFFFF 00 +34 0AA 00000002 80000001 10 = 80000001 10 +34 0AB 00000002 80000001 11 = 80000001 10 +34 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +34 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +34 0AE 7FFFFFFF 80000001 10 = 80000001 10 +34 0AF 7FFFFFFF 80000001 11 = 80000001 10 +34 0B0 80000000 80000001 00 = 7FFFFFFF 00 +34 0B1 80000000 80000001 01 = 7FFFFFFF 00 +34 0B2 80000000 80000001 10 = 80000001 10 +34 0B3 80000000 80000001 11 = 80000001 10 +34 0B4 80000001 80000001 00 = 7FFFFFFF 00 +34 0B5 80000001 80000001 01 = 7FFFFFFF 00 +34 0B6 80000001 80000001 10 = 80000001 10 +34 0B7 80000001 80000001 11 = 80000001 10 +34 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 +34 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 +34 0BA FFFFFFFE 80000001 10 = 80000001 10 +34 0BB FFFFFFFE 80000001 11 = 80000001 10 +34 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 00 +34 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 00 +34 0BE FFFFFFFF 80000001 10 = 80000001 10 +34 0BF FFFFFFFF 80000001 11 = 80000001 10 +34 0C0 00000000 FFFFFFFE 00 = 00000002 00 +34 0C1 00000000 FFFFFFFE 01 = 00000002 00 +34 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +34 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +34 0C4 00000001 FFFFFFFE 00 = 00000002 00 +34 0C5 00000001 FFFFFFFE 01 = 00000002 00 +34 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 +34 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 +34 0C8 00000002 FFFFFFFE 00 = 00000002 00 +34 0C9 00000002 FFFFFFFE 01 = 00000002 00 +34 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 +34 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 +34 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 00 +34 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 00 +34 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +34 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +34 0D0 80000000 FFFFFFFE 00 = 00000002 00 +34 0D1 80000000 FFFFFFFE 01 = 00000002 00 +34 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +34 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 +34 0D4 80000001 FFFFFFFE 00 = 00000002 00 +34 0D5 80000001 FFFFFFFE 01 = 00000002 00 +34 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 +34 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 +34 0D8 FFFFFFFE FFFFFFFE 00 = 00000002 00 +34 0D9 FFFFFFFE FFFFFFFE 01 = 00000002 00 +34 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +34 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +34 0DC FFFFFFFF FFFFFFFE 00 = 00000002 00 +34 0DD FFFFFFFF FFFFFFFE 01 = 00000002 00 +34 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +34 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +34 0E0 00000000 FFFFFFFF 00 = 00000001 00 +34 0E1 00000000 FFFFFFFF 01 = 00000001 00 +34 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +34 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 +34 0E4 00000001 FFFFFFFF 00 = 00000001 00 +34 0E5 00000001 FFFFFFFF 01 = 00000001 00 +34 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +34 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 +34 0E8 00000002 FFFFFFFF 00 = 00000001 00 +34 0E9 00000002 FFFFFFFF 01 = 00000001 00 +34 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 +34 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 10 +34 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 00 +34 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 00 +34 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +34 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +34 0F0 80000000 FFFFFFFF 00 = 00000001 00 +34 0F1 80000000 FFFFFFFF 01 = 00000001 00 +34 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 +34 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 10 +34 0F4 80000001 FFFFFFFF 00 = 00000001 00 +34 0F5 80000001 FFFFFFFF 01 = 00000001 00 +34 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +34 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 +34 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 00 +34 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 00 +34 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +34 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 +34 0FC FFFFFFFF FFFFFFFF 00 = 00000001 00 +34 0FD FFFFFFFF FFFFFFFF 01 = 00000001 00 +34 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +34 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +negz ---D---- ---S---- CZ = ---Q---- CZ +35 000 00000000 00000000 00 = 00000000 01 +35 001 00000000 00000000 01 = 00000000 01 +35 002 00000000 00000000 10 = 00000000 01 +35 003 00000000 00000000 11 = 00000000 01 +35 004 00000001 00000000 00 = 00000000 01 +35 005 00000001 00000000 01 = 00000000 01 +35 006 00000001 00000000 10 = 00000000 01 +35 007 00000001 00000000 11 = 00000000 01 +35 008 00000002 00000000 00 = 00000000 01 +35 009 00000002 00000000 01 = 00000000 01 +35 00A 00000002 00000000 10 = 00000000 01 +35 00B 00000002 00000000 11 = 00000000 01 +35 00C 7FFFFFFF 00000000 00 = 00000000 01 +35 00D 7FFFFFFF 00000000 01 = 00000000 01 +35 00E 7FFFFFFF 00000000 10 = 00000000 01 +35 00F 7FFFFFFF 00000000 11 = 00000000 01 +35 010 80000000 00000000 00 = 00000000 01 +35 011 80000000 00000000 01 = 00000000 01 +35 012 80000000 00000000 10 = 00000000 01 +35 013 80000000 00000000 11 = 00000000 01 +35 014 80000001 00000000 00 = 00000000 01 +35 015 80000001 00000000 01 = 00000000 01 +35 016 80000001 00000000 10 = 00000000 01 +35 017 80000001 00000000 11 = 00000000 01 +35 018 FFFFFFFE 00000000 00 = 00000000 01 +35 019 FFFFFFFE 00000000 01 = 00000000 01 +35 01A FFFFFFFE 00000000 10 = 00000000 01 +35 01B FFFFFFFE 00000000 11 = 00000000 01 +35 01C FFFFFFFF 00000000 00 = 00000000 01 +35 01D FFFFFFFF 00000000 01 = 00000000 01 +35 01E FFFFFFFF 00000000 10 = 00000000 01 +35 01F FFFFFFFF 00000000 11 = 00000000 01 +35 020 00000000 00000001 00 = 00000001 00 +35 021 00000000 00000001 01 = FFFFFFFF 10 +35 022 00000000 00000001 10 = 00000001 00 +35 023 00000000 00000001 11 = FFFFFFFF 10 +35 024 00000001 00000001 00 = 00000001 00 +35 025 00000001 00000001 01 = FFFFFFFF 10 +35 026 00000001 00000001 10 = 00000001 00 +35 027 00000001 00000001 11 = FFFFFFFF 10 +35 028 00000002 00000001 00 = 00000001 00 +35 029 00000002 00000001 01 = FFFFFFFF 10 +35 02A 00000002 00000001 10 = 00000001 00 +35 02B 00000002 00000001 11 = FFFFFFFF 10 +35 02C 7FFFFFFF 00000001 00 = 00000001 00 +35 02D 7FFFFFFF 00000001 01 = FFFFFFFF 10 +35 02E 7FFFFFFF 00000001 10 = 00000001 00 +35 02F 7FFFFFFF 00000001 11 = FFFFFFFF 10 +35 030 80000000 00000001 00 = 00000001 00 +35 031 80000000 00000001 01 = FFFFFFFF 10 +35 032 80000000 00000001 10 = 00000001 00 +35 033 80000000 00000001 11 = FFFFFFFF 10 +35 034 80000001 00000001 00 = 00000001 00 +35 035 80000001 00000001 01 = FFFFFFFF 10 +35 036 80000001 00000001 10 = 00000001 00 +35 037 80000001 00000001 11 = FFFFFFFF 10 +35 038 FFFFFFFE 00000001 00 = 00000001 00 +35 039 FFFFFFFE 00000001 01 = FFFFFFFF 10 +35 03A FFFFFFFE 00000001 10 = 00000001 00 +35 03B FFFFFFFE 00000001 11 = FFFFFFFF 10 +35 03C FFFFFFFF 00000001 00 = 00000001 00 +35 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +35 03E FFFFFFFF 00000001 10 = 00000001 00 +35 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +35 040 00000000 00000002 00 = 00000002 00 +35 041 00000000 00000002 01 = FFFFFFFE 10 +35 042 00000000 00000002 10 = 00000002 00 +35 043 00000000 00000002 11 = FFFFFFFE 10 +35 044 00000001 00000002 00 = 00000002 00 +35 045 00000001 00000002 01 = FFFFFFFE 10 +35 046 00000001 00000002 10 = 00000002 00 +35 047 00000001 00000002 11 = FFFFFFFE 10 +35 048 00000002 00000002 00 = 00000002 00 +35 049 00000002 00000002 01 = FFFFFFFE 10 +35 04A 00000002 00000002 10 = 00000002 00 +35 04B 00000002 00000002 11 = FFFFFFFE 10 +35 04C 7FFFFFFF 00000002 00 = 00000002 00 +35 04D 7FFFFFFF 00000002 01 = FFFFFFFE 10 +35 04E 7FFFFFFF 00000002 10 = 00000002 00 +35 04F 7FFFFFFF 00000002 11 = FFFFFFFE 10 +35 050 80000000 00000002 00 = 00000002 00 +35 051 80000000 00000002 01 = FFFFFFFE 10 +35 052 80000000 00000002 10 = 00000002 00 +35 053 80000000 00000002 11 = FFFFFFFE 10 +35 054 80000001 00000002 00 = 00000002 00 +35 055 80000001 00000002 01 = FFFFFFFE 10 +35 056 80000001 00000002 10 = 00000002 00 +35 057 80000001 00000002 11 = FFFFFFFE 10 +35 058 FFFFFFFE 00000002 00 = 00000002 00 +35 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +35 05A FFFFFFFE 00000002 10 = 00000002 00 +35 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +35 05C FFFFFFFF 00000002 00 = 00000002 00 +35 05D FFFFFFFF 00000002 01 = FFFFFFFE 10 +35 05E FFFFFFFF 00000002 10 = 00000002 00 +35 05F FFFFFFFF 00000002 11 = FFFFFFFE 10 +35 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +35 061 00000000 7FFFFFFF 01 = 80000001 10 +35 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 +35 063 00000000 7FFFFFFF 11 = 80000001 10 +35 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 +35 065 00000001 7FFFFFFF 01 = 80000001 10 +35 066 00000001 7FFFFFFF 10 = 7FFFFFFF 00 +35 067 00000001 7FFFFFFF 11 = 80000001 10 +35 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 +35 069 00000002 7FFFFFFF 01 = 80000001 10 +35 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 00 +35 06B 00000002 7FFFFFFF 11 = 80000001 10 +35 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +35 06D 7FFFFFFF 7FFFFFFF 01 = 80000001 10 +35 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +35 06F 7FFFFFFF 7FFFFFFF 11 = 80000001 10 +35 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 +35 071 80000000 7FFFFFFF 01 = 80000001 10 +35 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 +35 073 80000000 7FFFFFFF 11 = 80000001 10 +35 074 80000001 7FFFFFFF 00 = 7FFFFFFF 00 +35 075 80000001 7FFFFFFF 01 = 80000001 10 +35 076 80000001 7FFFFFFF 10 = 7FFFFFFF 00 +35 077 80000001 7FFFFFFF 11 = 80000001 10 +35 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 +35 079 FFFFFFFE 7FFFFFFF 01 = 80000001 10 +35 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 00 +35 07B FFFFFFFE 7FFFFFFF 11 = 80000001 10 +35 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +35 07D FFFFFFFF 7FFFFFFF 01 = 80000001 10 +35 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +35 07F FFFFFFFF 7FFFFFFF 11 = 80000001 10 +35 080 00000000 80000000 00 = 80000000 10 +35 081 00000000 80000000 01 = 80000000 10 +35 082 00000000 80000000 10 = 80000000 10 +35 083 00000000 80000000 11 = 80000000 10 +35 084 00000001 80000000 00 = 80000000 10 +35 085 00000001 80000000 01 = 80000000 10 +35 086 00000001 80000000 10 = 80000000 10 +35 087 00000001 80000000 11 = 80000000 10 +35 088 00000002 80000000 00 = 80000000 10 +35 089 00000002 80000000 01 = 80000000 10 +35 08A 00000002 80000000 10 = 80000000 10 +35 08B 00000002 80000000 11 = 80000000 10 +35 08C 7FFFFFFF 80000000 00 = 80000000 10 +35 08D 7FFFFFFF 80000000 01 = 80000000 10 +35 08E 7FFFFFFF 80000000 10 = 80000000 10 +35 08F 7FFFFFFF 80000000 11 = 80000000 10 +35 090 80000000 80000000 00 = 80000000 10 +35 091 80000000 80000000 01 = 80000000 10 +35 092 80000000 80000000 10 = 80000000 10 +35 093 80000000 80000000 11 = 80000000 10 +35 094 80000001 80000000 00 = 80000000 10 +35 095 80000001 80000000 01 = 80000000 10 +35 096 80000001 80000000 10 = 80000000 10 +35 097 80000001 80000000 11 = 80000000 10 +35 098 FFFFFFFE 80000000 00 = 80000000 10 +35 099 FFFFFFFE 80000000 01 = 80000000 10 +35 09A FFFFFFFE 80000000 10 = 80000000 10 +35 09B FFFFFFFE 80000000 11 = 80000000 10 +35 09C FFFFFFFF 80000000 00 = 80000000 10 +35 09D FFFFFFFF 80000000 01 = 80000000 10 +35 09E FFFFFFFF 80000000 10 = 80000000 10 +35 09F FFFFFFFF 80000000 11 = 80000000 10 +35 0A0 00000000 80000001 00 = 80000001 10 +35 0A1 00000000 80000001 01 = 7FFFFFFF 00 +35 0A2 00000000 80000001 10 = 80000001 10 +35 0A3 00000000 80000001 11 = 7FFFFFFF 00 +35 0A4 00000001 80000001 00 = 80000001 10 +35 0A5 00000001 80000001 01 = 7FFFFFFF 00 +35 0A6 00000001 80000001 10 = 80000001 10 +35 0A7 00000001 80000001 11 = 7FFFFFFF 00 +35 0A8 00000002 80000001 00 = 80000001 10 +35 0A9 00000002 80000001 01 = 7FFFFFFF 00 +35 0AA 00000002 80000001 10 = 80000001 10 +35 0AB 00000002 80000001 11 = 7FFFFFFF 00 +35 0AC 7FFFFFFF 80000001 00 = 80000001 10 +35 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +35 0AE 7FFFFFFF 80000001 10 = 80000001 10 +35 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +35 0B0 80000000 80000001 00 = 80000001 10 +35 0B1 80000000 80000001 01 = 7FFFFFFF 00 +35 0B2 80000000 80000001 10 = 80000001 10 +35 0B3 80000000 80000001 11 = 7FFFFFFF 00 +35 0B4 80000001 80000001 00 = 80000001 10 +35 0B5 80000001 80000001 01 = 7FFFFFFF 00 +35 0B6 80000001 80000001 10 = 80000001 10 +35 0B7 80000001 80000001 11 = 7FFFFFFF 00 +35 0B8 FFFFFFFE 80000001 00 = 80000001 10 +35 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 00 +35 0BA FFFFFFFE 80000001 10 = 80000001 10 +35 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 00 +35 0BC FFFFFFFF 80000001 00 = 80000001 10 +35 0BD FFFFFFFF 80000001 01 = 7FFFFFFF 00 +35 0BE FFFFFFFF 80000001 10 = 80000001 10 +35 0BF FFFFFFFF 80000001 11 = 7FFFFFFF 00 +35 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +35 0C1 00000000 FFFFFFFE 01 = 00000002 00 +35 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +35 0C3 00000000 FFFFFFFE 11 = 00000002 00 +35 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 10 +35 0C5 00000001 FFFFFFFE 01 = 00000002 00 +35 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 +35 0C7 00000001 FFFFFFFE 11 = 00000002 00 +35 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 10 +35 0C9 00000002 FFFFFFFE 01 = 00000002 00 +35 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 +35 0CB 00000002 FFFFFFFE 11 = 00000002 00 +35 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +35 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 00 +35 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +35 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 00 +35 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 10 +35 0D1 80000000 FFFFFFFE 01 = 00000002 00 +35 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +35 0D3 80000000 FFFFFFFE 11 = 00000002 00 +35 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 10 +35 0D5 80000001 FFFFFFFE 01 = 00000002 00 +35 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 +35 0D7 80000001 FFFFFFFE 11 = 00000002 00 +35 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +35 0D9 FFFFFFFE FFFFFFFE 01 = 00000002 00 +35 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +35 0DB FFFFFFFE FFFFFFFE 11 = 00000002 00 +35 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 10 +35 0DD FFFFFFFF FFFFFFFE 01 = 00000002 00 +35 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +35 0DF FFFFFFFF FFFFFFFE 11 = 00000002 00 +35 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 +35 0E1 00000000 FFFFFFFF 01 = 00000001 00 +35 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +35 0E3 00000000 FFFFFFFF 11 = 00000001 00 +35 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 10 +35 0E5 00000001 FFFFFFFF 01 = 00000001 00 +35 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +35 0E7 00000001 FFFFFFFF 11 = 00000001 00 +35 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 10 +35 0E9 00000002 FFFFFFFF 01 = 00000001 00 +35 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 +35 0EB 00000002 FFFFFFFF 11 = 00000001 00 +35 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +35 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 00 +35 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +35 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 00 +35 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 10 +35 0F1 80000000 FFFFFFFF 01 = 00000001 00 +35 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 +35 0F3 80000000 FFFFFFFF 11 = 00000001 00 +35 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 10 +35 0F5 80000001 FFFFFFFF 01 = 00000001 00 +35 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +35 0F7 80000001 FFFFFFFF 11 = 00000001 00 +35 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +35 0F9 FFFFFFFE FFFFFFFF 01 = 00000001 00 +35 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +35 0FB FFFFFFFE FFFFFFFF 11 = 00000001 00 +35 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +35 0FD FFFFFFFF FFFFFFFF 01 = 00000001 00 +35 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +35 0FF FFFFFFFF FFFFFFFF 11 = 00000001 00 +negnz ---D---- ---S---- CZ = ---Q---- CZ +36 000 00000000 00000000 00 = 00000000 01 +36 001 00000000 00000000 01 = 00000000 01 +36 002 00000000 00000000 10 = 00000000 01 +36 003 00000000 00000000 11 = 00000000 01 +36 004 00000001 00000000 00 = 00000000 01 +36 005 00000001 00000000 01 = 00000000 01 +36 006 00000001 00000000 10 = 00000000 01 +36 007 00000001 00000000 11 = 00000000 01 +36 008 00000002 00000000 00 = 00000000 01 +36 009 00000002 00000000 01 = 00000000 01 +36 00A 00000002 00000000 10 = 00000000 01 +36 00B 00000002 00000000 11 = 00000000 01 +36 00C 7FFFFFFF 00000000 00 = 00000000 01 +36 00D 7FFFFFFF 00000000 01 = 00000000 01 +36 00E 7FFFFFFF 00000000 10 = 00000000 01 +36 00F 7FFFFFFF 00000000 11 = 00000000 01 +36 010 80000000 00000000 00 = 00000000 01 +36 011 80000000 00000000 01 = 00000000 01 +36 012 80000000 00000000 10 = 00000000 01 +36 013 80000000 00000000 11 = 00000000 01 +36 014 80000001 00000000 00 = 00000000 01 +36 015 80000001 00000000 01 = 00000000 01 +36 016 80000001 00000000 10 = 00000000 01 +36 017 80000001 00000000 11 = 00000000 01 +36 018 FFFFFFFE 00000000 00 = 00000000 01 +36 019 FFFFFFFE 00000000 01 = 00000000 01 +36 01A FFFFFFFE 00000000 10 = 00000000 01 +36 01B FFFFFFFE 00000000 11 = 00000000 01 +36 01C FFFFFFFF 00000000 00 = 00000000 01 +36 01D FFFFFFFF 00000000 01 = 00000000 01 +36 01E FFFFFFFF 00000000 10 = 00000000 01 +36 01F FFFFFFFF 00000000 11 = 00000000 01 +36 020 00000000 00000001 00 = FFFFFFFF 10 +36 021 00000000 00000001 01 = 00000001 00 +36 022 00000000 00000001 10 = FFFFFFFF 10 +36 023 00000000 00000001 11 = 00000001 00 +36 024 00000001 00000001 00 = FFFFFFFF 10 +36 025 00000001 00000001 01 = 00000001 00 +36 026 00000001 00000001 10 = FFFFFFFF 10 +36 027 00000001 00000001 11 = 00000001 00 +36 028 00000002 00000001 00 = FFFFFFFF 10 +36 029 00000002 00000001 01 = 00000001 00 +36 02A 00000002 00000001 10 = FFFFFFFF 10 +36 02B 00000002 00000001 11 = 00000001 00 +36 02C 7FFFFFFF 00000001 00 = FFFFFFFF 10 +36 02D 7FFFFFFF 00000001 01 = 00000001 00 +36 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 +36 02F 7FFFFFFF 00000001 11 = 00000001 00 +36 030 80000000 00000001 00 = FFFFFFFF 10 +36 031 80000000 00000001 01 = 00000001 00 +36 032 80000000 00000001 10 = FFFFFFFF 10 +36 033 80000000 00000001 11 = 00000001 00 +36 034 80000001 00000001 00 = FFFFFFFF 10 +36 035 80000001 00000001 01 = 00000001 00 +36 036 80000001 00000001 10 = FFFFFFFF 10 +36 037 80000001 00000001 11 = 00000001 00 +36 038 FFFFFFFE 00000001 00 = FFFFFFFF 10 +36 039 FFFFFFFE 00000001 01 = 00000001 00 +36 03A FFFFFFFE 00000001 10 = FFFFFFFF 10 +36 03B FFFFFFFE 00000001 11 = 00000001 00 +36 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +36 03D FFFFFFFF 00000001 01 = 00000001 00 +36 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +36 03F FFFFFFFF 00000001 11 = 00000001 00 +36 040 00000000 00000002 00 = FFFFFFFE 10 +36 041 00000000 00000002 01 = 00000002 00 +36 042 00000000 00000002 10 = FFFFFFFE 10 +36 043 00000000 00000002 11 = 00000002 00 +36 044 00000001 00000002 00 = FFFFFFFE 10 +36 045 00000001 00000002 01 = 00000002 00 +36 046 00000001 00000002 10 = FFFFFFFE 10 +36 047 00000001 00000002 11 = 00000002 00 +36 048 00000002 00000002 00 = FFFFFFFE 10 +36 049 00000002 00000002 01 = 00000002 00 +36 04A 00000002 00000002 10 = FFFFFFFE 10 +36 04B 00000002 00000002 11 = 00000002 00 +36 04C 7FFFFFFF 00000002 00 = FFFFFFFE 10 +36 04D 7FFFFFFF 00000002 01 = 00000002 00 +36 04E 7FFFFFFF 00000002 10 = FFFFFFFE 10 +36 04F 7FFFFFFF 00000002 11 = 00000002 00 +36 050 80000000 00000002 00 = FFFFFFFE 10 +36 051 80000000 00000002 01 = 00000002 00 +36 052 80000000 00000002 10 = FFFFFFFE 10 +36 053 80000000 00000002 11 = 00000002 00 +36 054 80000001 00000002 00 = FFFFFFFE 10 +36 055 80000001 00000002 01 = 00000002 00 +36 056 80000001 00000002 10 = FFFFFFFE 10 +36 057 80000001 00000002 11 = 00000002 00 +36 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +36 059 FFFFFFFE 00000002 01 = 00000002 00 +36 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +36 05B FFFFFFFE 00000002 11 = 00000002 00 +36 05C FFFFFFFF 00000002 00 = FFFFFFFE 10 +36 05D FFFFFFFF 00000002 01 = 00000002 00 +36 05E FFFFFFFF 00000002 10 = FFFFFFFE 10 +36 05F FFFFFFFF 00000002 11 = 00000002 00 +36 060 00000000 7FFFFFFF 00 = 80000001 10 +36 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 +36 062 00000000 7FFFFFFF 10 = 80000001 10 +36 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 +36 064 00000001 7FFFFFFF 00 = 80000001 10 +36 065 00000001 7FFFFFFF 01 = 7FFFFFFF 00 +36 066 00000001 7FFFFFFF 10 = 80000001 10 +36 067 00000001 7FFFFFFF 11 = 7FFFFFFF 00 +36 068 00000002 7FFFFFFF 00 = 80000001 10 +36 069 00000002 7FFFFFFF 01 = 7FFFFFFF 00 +36 06A 00000002 7FFFFFFF 10 = 80000001 10 +36 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 00 +36 06C 7FFFFFFF 7FFFFFFF 00 = 80000001 10 +36 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +36 06E 7FFFFFFF 7FFFFFFF 10 = 80000001 10 +36 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +36 070 80000000 7FFFFFFF 00 = 80000001 10 +36 071 80000000 7FFFFFFF 01 = 7FFFFFFF 00 +36 072 80000000 7FFFFFFF 10 = 80000001 10 +36 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 +36 074 80000001 7FFFFFFF 00 = 80000001 10 +36 075 80000001 7FFFFFFF 01 = 7FFFFFFF 00 +36 076 80000001 7FFFFFFF 10 = 80000001 10 +36 077 80000001 7FFFFFFF 11 = 7FFFFFFF 00 +36 078 FFFFFFFE 7FFFFFFF 00 = 80000001 10 +36 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 00 +36 07A FFFFFFFE 7FFFFFFF 10 = 80000001 10 +36 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 00 +36 07C FFFFFFFF 7FFFFFFF 00 = 80000001 10 +36 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +36 07E FFFFFFFF 7FFFFFFF 10 = 80000001 10 +36 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +36 080 00000000 80000000 00 = 80000000 10 +36 081 00000000 80000000 01 = 80000000 10 +36 082 00000000 80000000 10 = 80000000 10 +36 083 00000000 80000000 11 = 80000000 10 +36 084 00000001 80000000 00 = 80000000 10 +36 085 00000001 80000000 01 = 80000000 10 +36 086 00000001 80000000 10 = 80000000 10 +36 087 00000001 80000000 11 = 80000000 10 +36 088 00000002 80000000 00 = 80000000 10 +36 089 00000002 80000000 01 = 80000000 10 +36 08A 00000002 80000000 10 = 80000000 10 +36 08B 00000002 80000000 11 = 80000000 10 +36 08C 7FFFFFFF 80000000 00 = 80000000 10 +36 08D 7FFFFFFF 80000000 01 = 80000000 10 +36 08E 7FFFFFFF 80000000 10 = 80000000 10 +36 08F 7FFFFFFF 80000000 11 = 80000000 10 +36 090 80000000 80000000 00 = 80000000 10 +36 091 80000000 80000000 01 = 80000000 10 +36 092 80000000 80000000 10 = 80000000 10 +36 093 80000000 80000000 11 = 80000000 10 +36 094 80000001 80000000 00 = 80000000 10 +36 095 80000001 80000000 01 = 80000000 10 +36 096 80000001 80000000 10 = 80000000 10 +36 097 80000001 80000000 11 = 80000000 10 +36 098 FFFFFFFE 80000000 00 = 80000000 10 +36 099 FFFFFFFE 80000000 01 = 80000000 10 +36 09A FFFFFFFE 80000000 10 = 80000000 10 +36 09B FFFFFFFE 80000000 11 = 80000000 10 +36 09C FFFFFFFF 80000000 00 = 80000000 10 +36 09D FFFFFFFF 80000000 01 = 80000000 10 +36 09E FFFFFFFF 80000000 10 = 80000000 10 +36 09F FFFFFFFF 80000000 11 = 80000000 10 +36 0A0 00000000 80000001 00 = 7FFFFFFF 00 +36 0A1 00000000 80000001 01 = 80000001 10 +36 0A2 00000000 80000001 10 = 7FFFFFFF 00 +36 0A3 00000000 80000001 11 = 80000001 10 +36 0A4 00000001 80000001 00 = 7FFFFFFF 00 +36 0A5 00000001 80000001 01 = 80000001 10 +36 0A6 00000001 80000001 10 = 7FFFFFFF 00 +36 0A7 00000001 80000001 11 = 80000001 10 +36 0A8 00000002 80000001 00 = 7FFFFFFF 00 +36 0A9 00000002 80000001 01 = 80000001 10 +36 0AA 00000002 80000001 10 = 7FFFFFFF 00 +36 0AB 00000002 80000001 11 = 80000001 10 +36 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +36 0AD 7FFFFFFF 80000001 01 = 80000001 10 +36 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +36 0AF 7FFFFFFF 80000001 11 = 80000001 10 +36 0B0 80000000 80000001 00 = 7FFFFFFF 00 +36 0B1 80000000 80000001 01 = 80000001 10 +36 0B2 80000000 80000001 10 = 7FFFFFFF 00 +36 0B3 80000000 80000001 11 = 80000001 10 +36 0B4 80000001 80000001 00 = 7FFFFFFF 00 +36 0B5 80000001 80000001 01 = 80000001 10 +36 0B6 80000001 80000001 10 = 7FFFFFFF 00 +36 0B7 80000001 80000001 11 = 80000001 10 +36 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 00 +36 0B9 FFFFFFFE 80000001 01 = 80000001 10 +36 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 00 +36 0BB FFFFFFFE 80000001 11 = 80000001 10 +36 0BC FFFFFFFF 80000001 00 = 7FFFFFFF 00 +36 0BD FFFFFFFF 80000001 01 = 80000001 10 +36 0BE FFFFFFFF 80000001 10 = 7FFFFFFF 00 +36 0BF FFFFFFFF 80000001 11 = 80000001 10 +36 0C0 00000000 FFFFFFFE 00 = 00000002 00 +36 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +36 0C2 00000000 FFFFFFFE 10 = 00000002 00 +36 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +36 0C4 00000001 FFFFFFFE 00 = 00000002 00 +36 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 10 +36 0C6 00000001 FFFFFFFE 10 = 00000002 00 +36 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 +36 0C8 00000002 FFFFFFFE 00 = 00000002 00 +36 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 10 +36 0CA 00000002 FFFFFFFE 10 = 00000002 00 +36 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 10 +36 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 00 +36 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +36 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 00 +36 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +36 0D0 80000000 FFFFFFFE 00 = 00000002 00 +36 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 10 +36 0D2 80000000 FFFFFFFE 10 = 00000002 00 +36 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 10 +36 0D4 80000001 FFFFFFFE 00 = 00000002 00 +36 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 10 +36 0D6 80000001 FFFFFFFE 10 = 00000002 00 +36 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 +36 0D8 FFFFFFFE FFFFFFFE 00 = 00000002 00 +36 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 +36 0DA FFFFFFFE FFFFFFFE 10 = 00000002 00 +36 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +36 0DC FFFFFFFF FFFFFFFE 00 = 00000002 00 +36 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 10 +36 0DE FFFFFFFF FFFFFFFE 10 = 00000002 00 +36 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +36 0E0 00000000 FFFFFFFF 00 = 00000001 00 +36 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 +36 0E2 00000000 FFFFFFFF 10 = 00000001 00 +36 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 +36 0E4 00000001 FFFFFFFF 00 = 00000001 00 +36 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 10 +36 0E6 00000001 FFFFFFFF 10 = 00000001 00 +36 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 +36 0E8 00000002 FFFFFFFF 00 = 00000001 00 +36 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 10 +36 0EA 00000002 FFFFFFFF 10 = 00000001 00 +36 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 10 +36 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 00 +36 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +36 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 00 +36 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +36 0F0 80000000 FFFFFFFF 00 = 00000001 00 +36 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 10 +36 0F2 80000000 FFFFFFFF 10 = 00000001 00 +36 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 10 +36 0F4 80000001 FFFFFFFF 00 = 00000001 00 +36 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 10 +36 0F6 80000001 FFFFFFFF 10 = 00000001 00 +36 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 +36 0F8 FFFFFFFE FFFFFFFF 00 = 00000001 00 +36 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +36 0FA FFFFFFFE FFFFFFFF 10 = 00000001 00 +36 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 +36 0FC FFFFFFFF FFFFFFFF 00 = 00000001 00 +36 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 +36 0FE FFFFFFFF FFFFFFFF 10 = 00000001 00 +36 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 +incmod ---D---- ---S---- CZ = ---Q---- CZ +37 000 00000000 00000000 00 = 00000000 11 +37 001 00000000 00000000 01 = 00000000 11 +37 002 00000000 00000000 10 = 00000000 11 +37 003 00000000 00000000 11 = 00000000 11 +37 004 00000001 00000000 00 = 00000002 00 +37 005 00000001 00000000 01 = 00000002 00 +37 006 00000001 00000000 10 = 00000002 00 +37 007 00000001 00000000 11 = 00000002 00 +37 008 00000002 00000000 00 = 00000003 00 +37 009 00000002 00000000 01 = 00000003 00 +37 00A 00000002 00000000 10 = 00000003 00 +37 00B 00000002 00000000 11 = 00000003 00 +37 00C 7FFFFFFF 00000000 00 = 80000000 00 +37 00D 7FFFFFFF 00000000 01 = 80000000 00 +37 00E 7FFFFFFF 00000000 10 = 80000000 00 +37 00F 7FFFFFFF 00000000 11 = 80000000 00 +37 010 80000000 00000000 00 = 80000001 00 +37 011 80000000 00000000 01 = 80000001 00 +37 012 80000000 00000000 10 = 80000001 00 +37 013 80000000 00000000 11 = 80000001 00 +37 014 80000001 00000000 00 = 80000002 00 +37 015 80000001 00000000 01 = 80000002 00 +37 016 80000001 00000000 10 = 80000002 00 +37 017 80000001 00000000 11 = 80000002 00 +37 018 FFFFFFFE 00000000 00 = FFFFFFFF 00 +37 019 FFFFFFFE 00000000 01 = FFFFFFFF 00 +37 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 +37 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 +37 01C FFFFFFFF 00000000 00 = 00000000 01 +37 01D FFFFFFFF 00000000 01 = 00000000 01 +37 01E FFFFFFFF 00000000 10 = 00000000 01 +37 01F FFFFFFFF 00000000 11 = 00000000 01 +37 020 00000000 00000001 00 = 00000001 00 +37 021 00000000 00000001 01 = 00000001 00 +37 022 00000000 00000001 10 = 00000001 00 +37 023 00000000 00000001 11 = 00000001 00 +37 024 00000001 00000001 00 = 00000000 11 +37 025 00000001 00000001 01 = 00000000 11 +37 026 00000001 00000001 10 = 00000000 11 +37 027 00000001 00000001 11 = 00000000 11 +37 028 00000002 00000001 00 = 00000003 00 +37 029 00000002 00000001 01 = 00000003 00 +37 02A 00000002 00000001 10 = 00000003 00 +37 02B 00000002 00000001 11 = 00000003 00 +37 02C 7FFFFFFF 00000001 00 = 80000000 00 +37 02D 7FFFFFFF 00000001 01 = 80000000 00 +37 02E 7FFFFFFF 00000001 10 = 80000000 00 +37 02F 7FFFFFFF 00000001 11 = 80000000 00 +37 030 80000000 00000001 00 = 80000001 00 +37 031 80000000 00000001 01 = 80000001 00 +37 032 80000000 00000001 10 = 80000001 00 +37 033 80000000 00000001 11 = 80000001 00 +37 034 80000001 00000001 00 = 80000002 00 +37 035 80000001 00000001 01 = 80000002 00 +37 036 80000001 00000001 10 = 80000002 00 +37 037 80000001 00000001 11 = 80000002 00 +37 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +37 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +37 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 +37 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +37 03C FFFFFFFF 00000001 00 = 00000000 01 +37 03D FFFFFFFF 00000001 01 = 00000000 01 +37 03E FFFFFFFF 00000001 10 = 00000000 01 +37 03F FFFFFFFF 00000001 11 = 00000000 01 +37 040 00000000 00000002 00 = 00000001 00 +37 041 00000000 00000002 01 = 00000001 00 +37 042 00000000 00000002 10 = 00000001 00 +37 043 00000000 00000002 11 = 00000001 00 +37 044 00000001 00000002 00 = 00000002 00 +37 045 00000001 00000002 01 = 00000002 00 +37 046 00000001 00000002 10 = 00000002 00 +37 047 00000001 00000002 11 = 00000002 00 +37 048 00000002 00000002 00 = 00000000 11 +37 049 00000002 00000002 01 = 00000000 11 +37 04A 00000002 00000002 10 = 00000000 11 +37 04B 00000002 00000002 11 = 00000000 11 +37 04C 7FFFFFFF 00000002 00 = 80000000 00 +37 04D 7FFFFFFF 00000002 01 = 80000000 00 +37 04E 7FFFFFFF 00000002 10 = 80000000 00 +37 04F 7FFFFFFF 00000002 11 = 80000000 00 +37 050 80000000 00000002 00 = 80000001 00 +37 051 80000000 00000002 01 = 80000001 00 +37 052 80000000 00000002 10 = 80000001 00 +37 053 80000000 00000002 11 = 80000001 00 +37 054 80000001 00000002 00 = 80000002 00 +37 055 80000001 00000002 01 = 80000002 00 +37 056 80000001 00000002 10 = 80000002 00 +37 057 80000001 00000002 11 = 80000002 00 +37 058 FFFFFFFE 00000002 00 = FFFFFFFF 00 +37 059 FFFFFFFE 00000002 01 = FFFFFFFF 00 +37 05A FFFFFFFE 00000002 10 = FFFFFFFF 00 +37 05B FFFFFFFE 00000002 11 = FFFFFFFF 00 +37 05C FFFFFFFF 00000002 00 = 00000000 01 +37 05D FFFFFFFF 00000002 01 = 00000000 01 +37 05E FFFFFFFF 00000002 10 = 00000000 01 +37 05F FFFFFFFF 00000002 11 = 00000000 01 +37 060 00000000 7FFFFFFF 00 = 00000001 00 +37 061 00000000 7FFFFFFF 01 = 00000001 00 +37 062 00000000 7FFFFFFF 10 = 00000001 00 +37 063 00000000 7FFFFFFF 11 = 00000001 00 +37 064 00000001 7FFFFFFF 00 = 00000002 00 +37 065 00000001 7FFFFFFF 01 = 00000002 00 +37 066 00000001 7FFFFFFF 10 = 00000002 00 +37 067 00000001 7FFFFFFF 11 = 00000002 00 +37 068 00000002 7FFFFFFF 00 = 00000003 00 +37 069 00000002 7FFFFFFF 01 = 00000003 00 +37 06A 00000002 7FFFFFFF 10 = 00000003 00 +37 06B 00000002 7FFFFFFF 11 = 00000003 00 +37 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 11 +37 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 11 +37 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 11 +37 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 11 +37 070 80000000 7FFFFFFF 00 = 80000001 00 +37 071 80000000 7FFFFFFF 01 = 80000001 00 +37 072 80000000 7FFFFFFF 10 = 80000001 00 +37 073 80000000 7FFFFFFF 11 = 80000001 00 +37 074 80000001 7FFFFFFF 00 = 80000002 00 +37 075 80000001 7FFFFFFF 01 = 80000002 00 +37 076 80000001 7FFFFFFF 10 = 80000002 00 +37 077 80000001 7FFFFFFF 11 = 80000002 00 +37 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +37 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 00 +37 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 00 +37 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 00 +37 07C FFFFFFFF 7FFFFFFF 00 = 00000000 01 +37 07D FFFFFFFF 7FFFFFFF 01 = 00000000 01 +37 07E FFFFFFFF 7FFFFFFF 10 = 00000000 01 +37 07F FFFFFFFF 7FFFFFFF 11 = 00000000 01 +37 080 00000000 80000000 00 = 00000001 00 +37 081 00000000 80000000 01 = 00000001 00 +37 082 00000000 80000000 10 = 00000001 00 +37 083 00000000 80000000 11 = 00000001 00 +37 084 00000001 80000000 00 = 00000002 00 +37 085 00000001 80000000 01 = 00000002 00 +37 086 00000001 80000000 10 = 00000002 00 +37 087 00000001 80000000 11 = 00000002 00 +37 088 00000002 80000000 00 = 00000003 00 +37 089 00000002 80000000 01 = 00000003 00 +37 08A 00000002 80000000 10 = 00000003 00 +37 08B 00000002 80000000 11 = 00000003 00 +37 08C 7FFFFFFF 80000000 00 = 80000000 00 +37 08D 7FFFFFFF 80000000 01 = 80000000 00 +37 08E 7FFFFFFF 80000000 10 = 80000000 00 +37 08F 7FFFFFFF 80000000 11 = 80000000 00 +37 090 80000000 80000000 00 = 00000000 11 +37 091 80000000 80000000 01 = 00000000 11 +37 092 80000000 80000000 10 = 00000000 11 +37 093 80000000 80000000 11 = 00000000 11 +37 094 80000001 80000000 00 = 80000002 00 +37 095 80000001 80000000 01 = 80000002 00 +37 096 80000001 80000000 10 = 80000002 00 +37 097 80000001 80000000 11 = 80000002 00 +37 098 FFFFFFFE 80000000 00 = FFFFFFFF 00 +37 099 FFFFFFFE 80000000 01 = FFFFFFFF 00 +37 09A FFFFFFFE 80000000 10 = FFFFFFFF 00 +37 09B FFFFFFFE 80000000 11 = FFFFFFFF 00 +37 09C FFFFFFFF 80000000 00 = 00000000 01 +37 09D FFFFFFFF 80000000 01 = 00000000 01 +37 09E FFFFFFFF 80000000 10 = 00000000 01 +37 09F FFFFFFFF 80000000 11 = 00000000 01 +37 0A0 00000000 80000001 00 = 00000001 00 +37 0A1 00000000 80000001 01 = 00000001 00 +37 0A2 00000000 80000001 10 = 00000001 00 +37 0A3 00000000 80000001 11 = 00000001 00 +37 0A4 00000001 80000001 00 = 00000002 00 +37 0A5 00000001 80000001 01 = 00000002 00 +37 0A6 00000001 80000001 10 = 00000002 00 +37 0A7 00000001 80000001 11 = 00000002 00 +37 0A8 00000002 80000001 00 = 00000003 00 +37 0A9 00000002 80000001 01 = 00000003 00 +37 0AA 00000002 80000001 10 = 00000003 00 +37 0AB 00000002 80000001 11 = 00000003 00 +37 0AC 7FFFFFFF 80000001 00 = 80000000 00 +37 0AD 7FFFFFFF 80000001 01 = 80000000 00 +37 0AE 7FFFFFFF 80000001 10 = 80000000 00 +37 0AF 7FFFFFFF 80000001 11 = 80000000 00 +37 0B0 80000000 80000001 00 = 80000001 00 +37 0B1 80000000 80000001 01 = 80000001 00 +37 0B2 80000000 80000001 10 = 80000001 00 +37 0B3 80000000 80000001 11 = 80000001 00 +37 0B4 80000001 80000001 00 = 00000000 11 +37 0B5 80000001 80000001 01 = 00000000 11 +37 0B6 80000001 80000001 10 = 00000000 11 +37 0B7 80000001 80000001 11 = 00000000 11 +37 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 +37 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 00 +37 0BA FFFFFFFE 80000001 10 = FFFFFFFF 00 +37 0BB FFFFFFFE 80000001 11 = FFFFFFFF 00 +37 0BC FFFFFFFF 80000001 00 = 00000000 01 +37 0BD FFFFFFFF 80000001 01 = 00000000 01 +37 0BE FFFFFFFF 80000001 10 = 00000000 01 +37 0BF FFFFFFFF 80000001 11 = 00000000 01 +37 0C0 00000000 FFFFFFFE 00 = 00000001 00 +37 0C1 00000000 FFFFFFFE 01 = 00000001 00 +37 0C2 00000000 FFFFFFFE 10 = 00000001 00 +37 0C3 00000000 FFFFFFFE 11 = 00000001 00 +37 0C4 00000001 FFFFFFFE 00 = 00000002 00 +37 0C5 00000001 FFFFFFFE 01 = 00000002 00 +37 0C6 00000001 FFFFFFFE 10 = 00000002 00 +37 0C7 00000001 FFFFFFFE 11 = 00000002 00 +37 0C8 00000002 FFFFFFFE 00 = 00000003 00 +37 0C9 00000002 FFFFFFFE 01 = 00000003 00 +37 0CA 00000002 FFFFFFFE 10 = 00000003 00 +37 0CB 00000002 FFFFFFFE 11 = 00000003 00 +37 0CC 7FFFFFFF FFFFFFFE 00 = 80000000 00 +37 0CD 7FFFFFFF FFFFFFFE 01 = 80000000 00 +37 0CE 7FFFFFFF FFFFFFFE 10 = 80000000 00 +37 0CF 7FFFFFFF FFFFFFFE 11 = 80000000 00 +37 0D0 80000000 FFFFFFFE 00 = 80000001 00 +37 0D1 80000000 FFFFFFFE 01 = 80000001 00 +37 0D2 80000000 FFFFFFFE 10 = 80000001 00 +37 0D3 80000000 FFFFFFFE 11 = 80000001 00 +37 0D4 80000001 FFFFFFFE 00 = 80000002 00 +37 0D5 80000001 FFFFFFFE 01 = 80000002 00 +37 0D6 80000001 FFFFFFFE 10 = 80000002 00 +37 0D7 80000001 FFFFFFFE 11 = 80000002 00 +37 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 11 +37 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 11 +37 0DA FFFFFFFE FFFFFFFE 10 = 00000000 11 +37 0DB FFFFFFFE FFFFFFFE 11 = 00000000 11 +37 0DC FFFFFFFF FFFFFFFE 00 = 00000000 01 +37 0DD FFFFFFFF FFFFFFFE 01 = 00000000 01 +37 0DE FFFFFFFF FFFFFFFE 10 = 00000000 01 +37 0DF FFFFFFFF FFFFFFFE 11 = 00000000 01 +37 0E0 00000000 FFFFFFFF 00 = 00000001 00 +37 0E1 00000000 FFFFFFFF 01 = 00000001 00 +37 0E2 00000000 FFFFFFFF 10 = 00000001 00 +37 0E3 00000000 FFFFFFFF 11 = 00000001 00 +37 0E4 00000001 FFFFFFFF 00 = 00000002 00 +37 0E5 00000001 FFFFFFFF 01 = 00000002 00 +37 0E6 00000001 FFFFFFFF 10 = 00000002 00 +37 0E7 00000001 FFFFFFFF 11 = 00000002 00 +37 0E8 00000002 FFFFFFFF 00 = 00000003 00 +37 0E9 00000002 FFFFFFFF 01 = 00000003 00 +37 0EA 00000002 FFFFFFFF 10 = 00000003 00 +37 0EB 00000002 FFFFFFFF 11 = 00000003 00 +37 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 00 +37 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 00 +37 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 00 +37 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 00 +37 0F0 80000000 FFFFFFFF 00 = 80000001 00 +37 0F1 80000000 FFFFFFFF 01 = 80000001 00 +37 0F2 80000000 FFFFFFFF 10 = 80000001 00 +37 0F3 80000000 FFFFFFFF 11 = 80000001 00 +37 0F4 80000001 FFFFFFFF 00 = 80000002 00 +37 0F5 80000001 FFFFFFFF 01 = 80000002 00 +37 0F6 80000001 FFFFFFFF 10 = 80000002 00 +37 0F7 80000001 FFFFFFFF 11 = 80000002 00 +37 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +37 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 +37 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 +37 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 +37 0FC FFFFFFFF FFFFFFFF 00 = 00000000 11 +37 0FD FFFFFFFF FFFFFFFF 01 = 00000000 11 +37 0FE FFFFFFFF FFFFFFFF 10 = 00000000 11 +37 0FF FFFFFFFF FFFFFFFF 11 = 00000000 11 +decmod ---D---- ---S---- CZ = ---Q---- CZ +38 000 00000000 00000000 00 = 00000000 11 +38 001 00000000 00000000 01 = 00000000 11 +38 002 00000000 00000000 10 = 00000000 11 +38 003 00000000 00000000 11 = 00000000 11 +38 004 00000001 00000000 00 = 00000000 01 +38 005 00000001 00000000 01 = 00000000 01 +38 006 00000001 00000000 10 = 00000000 01 +38 007 00000001 00000000 11 = 00000000 01 +38 008 00000002 00000000 00 = 00000001 00 +38 009 00000002 00000000 01 = 00000001 00 +38 00A 00000002 00000000 10 = 00000001 00 +38 00B 00000002 00000000 11 = 00000001 00 +38 00C 7FFFFFFF 00000000 00 = 7FFFFFFE 00 +38 00D 7FFFFFFF 00000000 01 = 7FFFFFFE 00 +38 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 00 +38 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 00 +38 010 80000000 00000000 00 = 7FFFFFFF 00 +38 011 80000000 00000000 01 = 7FFFFFFF 00 +38 012 80000000 00000000 10 = 7FFFFFFF 00 +38 013 80000000 00000000 11 = 7FFFFFFF 00 +38 014 80000001 00000000 00 = 80000000 00 +38 015 80000001 00000000 01 = 80000000 00 +38 016 80000001 00000000 10 = 80000000 00 +38 017 80000001 00000000 11 = 80000000 00 +38 018 FFFFFFFE 00000000 00 = FFFFFFFD 00 +38 019 FFFFFFFE 00000000 01 = FFFFFFFD 00 +38 01A FFFFFFFE 00000000 10 = FFFFFFFD 00 +38 01B FFFFFFFE 00000000 11 = FFFFFFFD 00 +38 01C FFFFFFFF 00000000 00 = FFFFFFFE 00 +38 01D FFFFFFFF 00000000 01 = FFFFFFFE 00 +38 01E FFFFFFFF 00000000 10 = FFFFFFFE 00 +38 01F FFFFFFFF 00000000 11 = FFFFFFFE 00 +38 020 00000000 00000001 00 = 00000001 10 +38 021 00000000 00000001 01 = 00000001 10 +38 022 00000000 00000001 10 = 00000001 10 +38 023 00000000 00000001 11 = 00000001 10 +38 024 00000001 00000001 00 = 00000000 01 +38 025 00000001 00000001 01 = 00000000 01 +38 026 00000001 00000001 10 = 00000000 01 +38 027 00000001 00000001 11 = 00000000 01 +38 028 00000002 00000001 00 = 00000001 00 +38 029 00000002 00000001 01 = 00000001 00 +38 02A 00000002 00000001 10 = 00000001 00 +38 02B 00000002 00000001 11 = 00000001 00 +38 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 +38 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 +38 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 +38 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 +38 030 80000000 00000001 00 = 7FFFFFFF 00 +38 031 80000000 00000001 01 = 7FFFFFFF 00 +38 032 80000000 00000001 10 = 7FFFFFFF 00 +38 033 80000000 00000001 11 = 7FFFFFFF 00 +38 034 80000001 00000001 00 = 80000000 00 +38 035 80000001 00000001 01 = 80000000 00 +38 036 80000001 00000001 10 = 80000000 00 +38 037 80000001 00000001 11 = 80000000 00 +38 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 +38 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 +38 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 +38 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 +38 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 +38 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 +38 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 +38 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 +38 040 00000000 00000002 00 = 00000002 10 +38 041 00000000 00000002 01 = 00000002 10 +38 042 00000000 00000002 10 = 00000002 10 +38 043 00000000 00000002 11 = 00000002 10 +38 044 00000001 00000002 00 = 00000000 01 +38 045 00000001 00000002 01 = 00000000 01 +38 046 00000001 00000002 10 = 00000000 01 +38 047 00000001 00000002 11 = 00000000 01 +38 048 00000002 00000002 00 = 00000001 00 +38 049 00000002 00000002 01 = 00000001 00 +38 04A 00000002 00000002 10 = 00000001 00 +38 04B 00000002 00000002 11 = 00000001 00 +38 04C 7FFFFFFF 00000002 00 = 7FFFFFFE 00 +38 04D 7FFFFFFF 00000002 01 = 7FFFFFFE 00 +38 04E 7FFFFFFF 00000002 10 = 7FFFFFFE 00 +38 04F 7FFFFFFF 00000002 11 = 7FFFFFFE 00 +38 050 80000000 00000002 00 = 7FFFFFFF 00 +38 051 80000000 00000002 01 = 7FFFFFFF 00 +38 052 80000000 00000002 10 = 7FFFFFFF 00 +38 053 80000000 00000002 11 = 7FFFFFFF 00 +38 054 80000001 00000002 00 = 80000000 00 +38 055 80000001 00000002 01 = 80000000 00 +38 056 80000001 00000002 10 = 80000000 00 +38 057 80000001 00000002 11 = 80000000 00 +38 058 FFFFFFFE 00000002 00 = FFFFFFFD 00 +38 059 FFFFFFFE 00000002 01 = FFFFFFFD 00 +38 05A FFFFFFFE 00000002 10 = FFFFFFFD 00 +38 05B FFFFFFFE 00000002 11 = FFFFFFFD 00 +38 05C FFFFFFFF 00000002 00 = FFFFFFFE 00 +38 05D FFFFFFFF 00000002 01 = FFFFFFFE 00 +38 05E FFFFFFFF 00000002 10 = FFFFFFFE 00 +38 05F FFFFFFFF 00000002 11 = FFFFFFFE 00 +38 060 00000000 7FFFFFFF 00 = 7FFFFFFF 10 +38 061 00000000 7FFFFFFF 01 = 7FFFFFFF 10 +38 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 +38 063 00000000 7FFFFFFF 11 = 7FFFFFFF 10 +38 064 00000001 7FFFFFFF 00 = 00000000 01 +38 065 00000001 7FFFFFFF 01 = 00000000 01 +38 066 00000001 7FFFFFFF 10 = 00000000 01 +38 067 00000001 7FFFFFFF 11 = 00000000 01 +38 068 00000002 7FFFFFFF 00 = 00000001 00 +38 069 00000002 7FFFFFFF 01 = 00000001 00 +38 06A 00000002 7FFFFFFF 10 = 00000001 00 +38 06B 00000002 7FFFFFFF 11 = 00000001 00 +38 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 +38 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 +38 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 +38 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 +38 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 +38 071 80000000 7FFFFFFF 01 = 7FFFFFFF 00 +38 072 80000000 7FFFFFFF 10 = 7FFFFFFF 00 +38 073 80000000 7FFFFFFF 11 = 7FFFFFFF 00 +38 074 80000001 7FFFFFFF 00 = 80000000 00 +38 075 80000001 7FFFFFFF 01 = 80000000 00 +38 076 80000001 7FFFFFFF 10 = 80000000 00 +38 077 80000001 7FFFFFFF 11 = 80000000 00 +38 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFD 00 +38 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFD 00 +38 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFD 00 +38 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFD 00 +38 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFE 00 +38 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFE 00 +38 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFE 00 +38 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFE 00 +38 080 00000000 80000000 00 = 80000000 10 +38 081 00000000 80000000 01 = 80000000 10 +38 082 00000000 80000000 10 = 80000000 10 +38 083 00000000 80000000 11 = 80000000 10 +38 084 00000001 80000000 00 = 00000000 01 +38 085 00000001 80000000 01 = 00000000 01 +38 086 00000001 80000000 10 = 00000000 01 +38 087 00000001 80000000 11 = 00000000 01 +38 088 00000002 80000000 00 = 00000001 00 +38 089 00000002 80000000 01 = 00000001 00 +38 08A 00000002 80000000 10 = 00000001 00 +38 08B 00000002 80000000 11 = 00000001 00 +38 08C 7FFFFFFF 80000000 00 = 7FFFFFFE 00 +38 08D 7FFFFFFF 80000000 01 = 7FFFFFFE 00 +38 08E 7FFFFFFF 80000000 10 = 7FFFFFFE 00 +38 08F 7FFFFFFF 80000000 11 = 7FFFFFFE 00 +38 090 80000000 80000000 00 = 7FFFFFFF 00 +38 091 80000000 80000000 01 = 7FFFFFFF 00 +38 092 80000000 80000000 10 = 7FFFFFFF 00 +38 093 80000000 80000000 11 = 7FFFFFFF 00 +38 094 80000001 80000000 00 = 80000000 00 +38 095 80000001 80000000 01 = 80000000 00 +38 096 80000001 80000000 10 = 80000000 00 +38 097 80000001 80000000 11 = 80000000 00 +38 098 FFFFFFFE 80000000 00 = FFFFFFFD 00 +38 099 FFFFFFFE 80000000 01 = FFFFFFFD 00 +38 09A FFFFFFFE 80000000 10 = FFFFFFFD 00 +38 09B FFFFFFFE 80000000 11 = FFFFFFFD 00 +38 09C FFFFFFFF 80000000 00 = FFFFFFFE 00 +38 09D FFFFFFFF 80000000 01 = FFFFFFFE 00 +38 09E FFFFFFFF 80000000 10 = FFFFFFFE 00 +38 09F FFFFFFFF 80000000 11 = FFFFFFFE 00 +38 0A0 00000000 80000001 00 = 80000001 10 +38 0A1 00000000 80000001 01 = 80000001 10 +38 0A2 00000000 80000001 10 = 80000001 10 +38 0A3 00000000 80000001 11 = 80000001 10 +38 0A4 00000001 80000001 00 = 00000000 01 +38 0A5 00000001 80000001 01 = 00000000 01 +38 0A6 00000001 80000001 10 = 00000000 01 +38 0A7 00000001 80000001 11 = 00000000 01 +38 0A8 00000002 80000001 00 = 00000001 00 +38 0A9 00000002 80000001 01 = 00000001 00 +38 0AA 00000002 80000001 10 = 00000001 00 +38 0AB 00000002 80000001 11 = 00000001 00 +38 0AC 7FFFFFFF 80000001 00 = 7FFFFFFE 00 +38 0AD 7FFFFFFF 80000001 01 = 7FFFFFFE 00 +38 0AE 7FFFFFFF 80000001 10 = 7FFFFFFE 00 +38 0AF 7FFFFFFF 80000001 11 = 7FFFFFFE 00 +38 0B0 80000000 80000001 00 = 7FFFFFFF 00 +38 0B1 80000000 80000001 01 = 7FFFFFFF 00 +38 0B2 80000000 80000001 10 = 7FFFFFFF 00 +38 0B3 80000000 80000001 11 = 7FFFFFFF 00 +38 0B4 80000001 80000001 00 = 80000000 00 +38 0B5 80000001 80000001 01 = 80000000 00 +38 0B6 80000001 80000001 10 = 80000000 00 +38 0B7 80000001 80000001 11 = 80000000 00 +38 0B8 FFFFFFFE 80000001 00 = FFFFFFFD 00 +38 0B9 FFFFFFFE 80000001 01 = FFFFFFFD 00 +38 0BA FFFFFFFE 80000001 10 = FFFFFFFD 00 +38 0BB FFFFFFFE 80000001 11 = FFFFFFFD 00 +38 0BC FFFFFFFF 80000001 00 = FFFFFFFE 00 +38 0BD FFFFFFFF 80000001 01 = FFFFFFFE 00 +38 0BE FFFFFFFF 80000001 10 = FFFFFFFE 00 +38 0BF FFFFFFFF 80000001 11 = FFFFFFFE 00 +38 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +38 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +38 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +38 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +38 0C4 00000001 FFFFFFFE 00 = 00000000 01 +38 0C5 00000001 FFFFFFFE 01 = 00000000 01 +38 0C6 00000001 FFFFFFFE 10 = 00000000 01 +38 0C7 00000001 FFFFFFFE 11 = 00000000 01 +38 0C8 00000002 FFFFFFFE 00 = 00000001 00 +38 0C9 00000002 FFFFFFFE 01 = 00000001 00 +38 0CA 00000002 FFFFFFFE 10 = 00000001 00 +38 0CB 00000002 FFFFFFFE 11 = 00000001 00 +38 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 +38 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 00 +38 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 00 +38 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 00 +38 0D0 80000000 FFFFFFFE 00 = 7FFFFFFF 00 +38 0D1 80000000 FFFFFFFE 01 = 7FFFFFFF 00 +38 0D2 80000000 FFFFFFFE 10 = 7FFFFFFF 00 +38 0D3 80000000 FFFFFFFE 11 = 7FFFFFFF 00 +38 0D4 80000001 FFFFFFFE 00 = 80000000 00 +38 0D5 80000001 FFFFFFFE 01 = 80000000 00 +38 0D6 80000001 FFFFFFFE 10 = 80000000 00 +38 0D7 80000001 FFFFFFFE 11 = 80000000 00 +38 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFD 00 +38 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFD 00 +38 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFD 00 +38 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFD 00 +38 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +38 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 00 +38 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 00 +38 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 00 +38 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 +38 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 +38 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +38 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 +38 0E4 00000001 FFFFFFFF 00 = 00000000 01 +38 0E5 00000001 FFFFFFFF 01 = 00000000 01 +38 0E6 00000001 FFFFFFFF 10 = 00000000 01 +38 0E7 00000001 FFFFFFFF 11 = 00000000 01 +38 0E8 00000002 FFFFFFFF 00 = 00000001 00 +38 0E9 00000002 FFFFFFFF 01 = 00000001 00 +38 0EA 00000002 FFFFFFFF 10 = 00000001 00 +38 0EB 00000002 FFFFFFFF 11 = 00000001 00 +38 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 +38 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 +38 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 00 +38 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 00 +38 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 00 +38 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 00 +38 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 00 +38 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 00 +38 0F4 80000001 FFFFFFFF 00 = 80000000 00 +38 0F5 80000001 FFFFFFFF 01 = 80000000 00 +38 0F6 80000001 FFFFFFFF 10 = 80000000 00 +38 0F7 80000001 FFFFFFFF 11 = 80000000 00 +38 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 +38 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 +38 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 +38 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 +38 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 +38 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 +38 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 +38 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 +encod ---D---- ---S---- CZ = ---Q---- CZ +39 000 00000000 00000000 00 = 00000000 11 +39 001 00000000 00000000 01 = 00000000 11 +39 002 00000000 00000000 10 = 00000000 11 +39 003 00000000 00000000 11 = 00000000 11 +39 004 00000001 00000000 00 = 00000000 11 +39 005 00000001 00000000 01 = 00000000 11 +39 006 00000001 00000000 10 = 00000000 11 +39 007 00000001 00000000 11 = 00000000 11 +39 008 00000002 00000000 00 = 00000000 11 +39 009 00000002 00000000 01 = 00000000 11 +39 00A 00000002 00000000 10 = 00000000 11 +39 00B 00000002 00000000 11 = 00000000 11 +39 00C 7FFFFFFF 00000000 00 = 00000000 11 +39 00D 7FFFFFFF 00000000 01 = 00000000 11 +39 00E 7FFFFFFF 00000000 10 = 00000000 11 +39 00F 7FFFFFFF 00000000 11 = 00000000 11 +39 010 80000000 00000000 00 = 00000000 11 +39 011 80000000 00000000 01 = 00000000 11 +39 012 80000000 00000000 10 = 00000000 11 +39 013 80000000 00000000 11 = 00000000 11 +39 014 80000001 00000000 00 = 00000000 11 +39 015 80000001 00000000 01 = 00000000 11 +39 016 80000001 00000000 10 = 00000000 11 +39 017 80000001 00000000 11 = 00000000 11 +39 018 FFFFFFFE 00000000 00 = 00000000 11 +39 019 FFFFFFFE 00000000 01 = 00000000 11 +39 01A FFFFFFFE 00000000 10 = 00000000 11 +39 01B FFFFFFFE 00000000 11 = 00000000 11 +39 01C FFFFFFFF 00000000 00 = 00000000 11 +39 01D FFFFFFFF 00000000 01 = 00000000 11 +39 01E FFFFFFFF 00000000 10 = 00000000 11 +39 01F FFFFFFFF 00000000 11 = 00000000 11 +39 020 00000000 00000001 00 = 00000000 01 +39 021 00000000 00000001 01 = 00000000 01 +39 022 00000000 00000001 10 = 00000000 01 +39 023 00000000 00000001 11 = 00000000 01 +39 024 00000001 00000001 00 = 00000000 01 +39 025 00000001 00000001 01 = 00000000 01 +39 026 00000001 00000001 10 = 00000000 01 +39 027 00000001 00000001 11 = 00000000 01 +39 028 00000002 00000001 00 = 00000000 01 +39 029 00000002 00000001 01 = 00000000 01 +39 02A 00000002 00000001 10 = 00000000 01 +39 02B 00000002 00000001 11 = 00000000 01 +39 02C 7FFFFFFF 00000001 00 = 00000000 01 +39 02D 7FFFFFFF 00000001 01 = 00000000 01 +39 02E 7FFFFFFF 00000001 10 = 00000000 01 +39 02F 7FFFFFFF 00000001 11 = 00000000 01 +39 030 80000000 00000001 00 = 00000000 01 +39 031 80000000 00000001 01 = 00000000 01 +39 032 80000000 00000001 10 = 00000000 01 +39 033 80000000 00000001 11 = 00000000 01 +39 034 80000001 00000001 00 = 00000000 01 +39 035 80000001 00000001 01 = 00000000 01 +39 036 80000001 00000001 10 = 00000000 01 +39 037 80000001 00000001 11 = 00000000 01 +39 038 FFFFFFFE 00000001 00 = 00000000 01 +39 039 FFFFFFFE 00000001 01 = 00000000 01 +39 03A FFFFFFFE 00000001 10 = 00000000 01 +39 03B FFFFFFFE 00000001 11 = 00000000 01 +39 03C FFFFFFFF 00000001 00 = 00000000 01 +39 03D FFFFFFFF 00000001 01 = 00000000 01 +39 03E FFFFFFFF 00000001 10 = 00000000 01 +39 03F FFFFFFFF 00000001 11 = 00000000 01 +39 040 00000000 00000002 00 = 00000001 00 +39 041 00000000 00000002 01 = 00000001 00 +39 042 00000000 00000002 10 = 00000001 00 +39 043 00000000 00000002 11 = 00000001 00 +39 044 00000001 00000002 00 = 00000001 00 +39 045 00000001 00000002 01 = 00000001 00 +39 046 00000001 00000002 10 = 00000001 00 +39 047 00000001 00000002 11 = 00000001 00 +39 048 00000002 00000002 00 = 00000001 00 +39 049 00000002 00000002 01 = 00000001 00 +39 04A 00000002 00000002 10 = 00000001 00 +39 04B 00000002 00000002 11 = 00000001 00 +39 04C 7FFFFFFF 00000002 00 = 00000001 00 +39 04D 7FFFFFFF 00000002 01 = 00000001 00 +39 04E 7FFFFFFF 00000002 10 = 00000001 00 +39 04F 7FFFFFFF 00000002 11 = 00000001 00 +39 050 80000000 00000002 00 = 00000001 00 +39 051 80000000 00000002 01 = 00000001 00 +39 052 80000000 00000002 10 = 00000001 00 +39 053 80000000 00000002 11 = 00000001 00 +39 054 80000001 00000002 00 = 00000001 00 +39 055 80000001 00000002 01 = 00000001 00 +39 056 80000001 00000002 10 = 00000001 00 +39 057 80000001 00000002 11 = 00000001 00 +39 058 FFFFFFFE 00000002 00 = 00000001 00 +39 059 FFFFFFFE 00000002 01 = 00000001 00 +39 05A FFFFFFFE 00000002 10 = 00000001 00 +39 05B FFFFFFFE 00000002 11 = 00000001 00 +39 05C FFFFFFFF 00000002 00 = 00000001 00 +39 05D FFFFFFFF 00000002 01 = 00000001 00 +39 05E FFFFFFFF 00000002 10 = 00000001 00 +39 05F FFFFFFFF 00000002 11 = 00000001 00 +39 060 00000000 7FFFFFFF 00 = 0000001E 00 +39 061 00000000 7FFFFFFF 01 = 0000001E 00 +39 062 00000000 7FFFFFFF 10 = 0000001E 00 +39 063 00000000 7FFFFFFF 11 = 0000001E 00 +39 064 00000001 7FFFFFFF 00 = 0000001E 00 +39 065 00000001 7FFFFFFF 01 = 0000001E 00 +39 066 00000001 7FFFFFFF 10 = 0000001E 00 +39 067 00000001 7FFFFFFF 11 = 0000001E 00 +39 068 00000002 7FFFFFFF 00 = 0000001E 00 +39 069 00000002 7FFFFFFF 01 = 0000001E 00 +39 06A 00000002 7FFFFFFF 10 = 0000001E 00 +39 06B 00000002 7FFFFFFF 11 = 0000001E 00 +39 06C 7FFFFFFF 7FFFFFFF 00 = 0000001E 00 +39 06D 7FFFFFFF 7FFFFFFF 01 = 0000001E 00 +39 06E 7FFFFFFF 7FFFFFFF 10 = 0000001E 00 +39 06F 7FFFFFFF 7FFFFFFF 11 = 0000001E 00 +39 070 80000000 7FFFFFFF 00 = 0000001E 00 +39 071 80000000 7FFFFFFF 01 = 0000001E 00 +39 072 80000000 7FFFFFFF 10 = 0000001E 00 +39 073 80000000 7FFFFFFF 11 = 0000001E 00 +39 074 80000001 7FFFFFFF 00 = 0000001E 00 +39 075 80000001 7FFFFFFF 01 = 0000001E 00 +39 076 80000001 7FFFFFFF 10 = 0000001E 00 +39 077 80000001 7FFFFFFF 11 = 0000001E 00 +39 078 FFFFFFFE 7FFFFFFF 00 = 0000001E 00 +39 079 FFFFFFFE 7FFFFFFF 01 = 0000001E 00 +39 07A FFFFFFFE 7FFFFFFF 10 = 0000001E 00 +39 07B FFFFFFFE 7FFFFFFF 11 = 0000001E 00 +39 07C FFFFFFFF 7FFFFFFF 00 = 0000001E 00 +39 07D FFFFFFFF 7FFFFFFF 01 = 0000001E 00 +39 07E FFFFFFFF 7FFFFFFF 10 = 0000001E 00 +39 07F FFFFFFFF 7FFFFFFF 11 = 0000001E 00 +39 080 00000000 80000000 00 = 0000001F 00 +39 081 00000000 80000000 01 = 0000001F 00 +39 082 00000000 80000000 10 = 0000001F 00 +39 083 00000000 80000000 11 = 0000001F 00 +39 084 00000001 80000000 00 = 0000001F 00 +39 085 00000001 80000000 01 = 0000001F 00 +39 086 00000001 80000000 10 = 0000001F 00 +39 087 00000001 80000000 11 = 0000001F 00 +39 088 00000002 80000000 00 = 0000001F 00 +39 089 00000002 80000000 01 = 0000001F 00 +39 08A 00000002 80000000 10 = 0000001F 00 +39 08B 00000002 80000000 11 = 0000001F 00 +39 08C 7FFFFFFF 80000000 00 = 0000001F 00 +39 08D 7FFFFFFF 80000000 01 = 0000001F 00 +39 08E 7FFFFFFF 80000000 10 = 0000001F 00 +39 08F 7FFFFFFF 80000000 11 = 0000001F 00 +39 090 80000000 80000000 00 = 0000001F 00 +39 091 80000000 80000000 01 = 0000001F 00 +39 092 80000000 80000000 10 = 0000001F 00 +39 093 80000000 80000000 11 = 0000001F 00 +39 094 80000001 80000000 00 = 0000001F 00 +39 095 80000001 80000000 01 = 0000001F 00 +39 096 80000001 80000000 10 = 0000001F 00 +39 097 80000001 80000000 11 = 0000001F 00 +39 098 FFFFFFFE 80000000 00 = 0000001F 00 +39 099 FFFFFFFE 80000000 01 = 0000001F 00 +39 09A FFFFFFFE 80000000 10 = 0000001F 00 +39 09B FFFFFFFE 80000000 11 = 0000001F 00 +39 09C FFFFFFFF 80000000 00 = 0000001F 00 +39 09D FFFFFFFF 80000000 01 = 0000001F 00 +39 09E FFFFFFFF 80000000 10 = 0000001F 00 +39 09F FFFFFFFF 80000000 11 = 0000001F 00 +39 0A0 00000000 80000001 00 = 0000001F 00 +39 0A1 00000000 80000001 01 = 0000001F 00 +39 0A2 00000000 80000001 10 = 0000001F 00 +39 0A3 00000000 80000001 11 = 0000001F 00 +39 0A4 00000001 80000001 00 = 0000001F 00 +39 0A5 00000001 80000001 01 = 0000001F 00 +39 0A6 00000001 80000001 10 = 0000001F 00 +39 0A7 00000001 80000001 11 = 0000001F 00 +39 0A8 00000002 80000001 00 = 0000001F 00 +39 0A9 00000002 80000001 01 = 0000001F 00 +39 0AA 00000002 80000001 10 = 0000001F 00 +39 0AB 00000002 80000001 11 = 0000001F 00 +39 0AC 7FFFFFFF 80000001 00 = 0000001F 00 +39 0AD 7FFFFFFF 80000001 01 = 0000001F 00 +39 0AE 7FFFFFFF 80000001 10 = 0000001F 00 +39 0AF 7FFFFFFF 80000001 11 = 0000001F 00 +39 0B0 80000000 80000001 00 = 0000001F 00 +39 0B1 80000000 80000001 01 = 0000001F 00 +39 0B2 80000000 80000001 10 = 0000001F 00 +39 0B3 80000000 80000001 11 = 0000001F 00 +39 0B4 80000001 80000001 00 = 0000001F 00 +39 0B5 80000001 80000001 01 = 0000001F 00 +39 0B6 80000001 80000001 10 = 0000001F 00 +39 0B7 80000001 80000001 11 = 0000001F 00 +39 0B8 FFFFFFFE 80000001 00 = 0000001F 00 +39 0B9 FFFFFFFE 80000001 01 = 0000001F 00 +39 0BA FFFFFFFE 80000001 10 = 0000001F 00 +39 0BB FFFFFFFE 80000001 11 = 0000001F 00 +39 0BC FFFFFFFF 80000001 00 = 0000001F 00 +39 0BD FFFFFFFF 80000001 01 = 0000001F 00 +39 0BE FFFFFFFF 80000001 10 = 0000001F 00 +39 0BF FFFFFFFF 80000001 11 = 0000001F 00 +39 0C0 00000000 FFFFFFFE 00 = 0000001F 00 +39 0C1 00000000 FFFFFFFE 01 = 0000001F 00 +39 0C2 00000000 FFFFFFFE 10 = 0000001F 00 +39 0C3 00000000 FFFFFFFE 11 = 0000001F 00 +39 0C4 00000001 FFFFFFFE 00 = 0000001F 00 +39 0C5 00000001 FFFFFFFE 01 = 0000001F 00 +39 0C6 00000001 FFFFFFFE 10 = 0000001F 00 +39 0C7 00000001 FFFFFFFE 11 = 0000001F 00 +39 0C8 00000002 FFFFFFFE 00 = 0000001F 00 +39 0C9 00000002 FFFFFFFE 01 = 0000001F 00 +39 0CA 00000002 FFFFFFFE 10 = 0000001F 00 +39 0CB 00000002 FFFFFFFE 11 = 0000001F 00 +39 0CC 7FFFFFFF FFFFFFFE 00 = 0000001F 00 +39 0CD 7FFFFFFF FFFFFFFE 01 = 0000001F 00 +39 0CE 7FFFFFFF FFFFFFFE 10 = 0000001F 00 +39 0CF 7FFFFFFF FFFFFFFE 11 = 0000001F 00 +39 0D0 80000000 FFFFFFFE 00 = 0000001F 00 +39 0D1 80000000 FFFFFFFE 01 = 0000001F 00 +39 0D2 80000000 FFFFFFFE 10 = 0000001F 00 +39 0D3 80000000 FFFFFFFE 11 = 0000001F 00 +39 0D4 80000001 FFFFFFFE 00 = 0000001F 00 +39 0D5 80000001 FFFFFFFE 01 = 0000001F 00 +39 0D6 80000001 FFFFFFFE 10 = 0000001F 00 +39 0D7 80000001 FFFFFFFE 11 = 0000001F 00 +39 0D8 FFFFFFFE FFFFFFFE 00 = 0000001F 00 +39 0D9 FFFFFFFE FFFFFFFE 01 = 0000001F 00 +39 0DA FFFFFFFE FFFFFFFE 10 = 0000001F 00 +39 0DB FFFFFFFE FFFFFFFE 11 = 0000001F 00 +39 0DC FFFFFFFF FFFFFFFE 00 = 0000001F 00 +39 0DD FFFFFFFF FFFFFFFE 01 = 0000001F 00 +39 0DE FFFFFFFF FFFFFFFE 10 = 0000001F 00 +39 0DF FFFFFFFF FFFFFFFE 11 = 0000001F 00 +39 0E0 00000000 FFFFFFFF 00 = 0000001F 00 +39 0E1 00000000 FFFFFFFF 01 = 0000001F 00 +39 0E2 00000000 FFFFFFFF 10 = 0000001F 00 +39 0E3 00000000 FFFFFFFF 11 = 0000001F 00 +39 0E4 00000001 FFFFFFFF 00 = 0000001F 00 +39 0E5 00000001 FFFFFFFF 01 = 0000001F 00 +39 0E6 00000001 FFFFFFFF 10 = 0000001F 00 +39 0E7 00000001 FFFFFFFF 11 = 0000001F 00 +39 0E8 00000002 FFFFFFFF 00 = 0000001F 00 +39 0E9 00000002 FFFFFFFF 01 = 0000001F 00 +39 0EA 00000002 FFFFFFFF 10 = 0000001F 00 +39 0EB 00000002 FFFFFFFF 11 = 0000001F 00 +39 0EC 7FFFFFFF FFFFFFFF 00 = 0000001F 00 +39 0ED 7FFFFFFF FFFFFFFF 01 = 0000001F 00 +39 0EE 7FFFFFFF FFFFFFFF 10 = 0000001F 00 +39 0EF 7FFFFFFF FFFFFFFF 11 = 0000001F 00 +39 0F0 80000000 FFFFFFFF 00 = 0000001F 00 +39 0F1 80000000 FFFFFFFF 01 = 0000001F 00 +39 0F2 80000000 FFFFFFFF 10 = 0000001F 00 +39 0F3 80000000 FFFFFFFF 11 = 0000001F 00 +39 0F4 80000001 FFFFFFFF 00 = 0000001F 00 +39 0F5 80000001 FFFFFFFF 01 = 0000001F 00 +39 0F6 80000001 FFFFFFFF 10 = 0000001F 00 +39 0F7 80000001 FFFFFFFF 11 = 0000001F 00 +39 0F8 FFFFFFFE FFFFFFFF 00 = 0000001F 00 +39 0F9 FFFFFFFE FFFFFFFF 01 = 0000001F 00 +39 0FA FFFFFFFE FFFFFFFF 10 = 0000001F 00 +39 0FB FFFFFFFE FFFFFFFF 11 = 0000001F 00 +39 0FC FFFFFFFF FFFFFFFF 00 = 0000001F 00 +39 0FD FFFFFFFF FFFFFFFF 01 = 0000001F 00 +39 0FE FFFFFFFF FFFFFFFF 10 = 0000001F 00 +39 0FF FFFFFFFF FFFFFFFF 11 = 0000001F 00 +testn ---D---- ---S---- CZ = ---Q---- CZ +3A 000 00000000 00000000 00 = 00000000 01 +3A 001 00000000 00000000 01 = 00000000 01 +3A 002 00000000 00000000 10 = 00000000 01 +3A 003 00000000 00000000 11 = 00000000 01 +3A 004 00000001 00000000 00 = 00000001 10 +3A 005 00000001 00000000 01 = 00000001 10 +3A 006 00000001 00000000 10 = 00000001 10 +3A 007 00000001 00000000 11 = 00000001 10 +3A 008 00000002 00000000 00 = 00000002 10 +3A 009 00000002 00000000 01 = 00000002 10 +3A 00A 00000002 00000000 10 = 00000002 10 +3A 00B 00000002 00000000 11 = 00000002 10 +3A 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +3A 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +3A 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +3A 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +3A 010 80000000 00000000 00 = 80000000 10 +3A 011 80000000 00000000 01 = 80000000 10 +3A 012 80000000 00000000 10 = 80000000 10 +3A 013 80000000 00000000 11 = 80000000 10 +3A 014 80000001 00000000 00 = 80000001 00 +3A 015 80000001 00000000 01 = 80000001 00 +3A 016 80000001 00000000 10 = 80000001 00 +3A 017 80000001 00000000 11 = 80000001 00 +3A 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +3A 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +3A 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +3A 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +3A 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +3A 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +3A 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +3A 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +3A 020 00000000 00000001 00 = 00000000 01 +3A 021 00000000 00000001 01 = 00000000 01 +3A 022 00000000 00000001 10 = 00000000 01 +3A 023 00000000 00000001 11 = 00000000 01 +3A 024 00000001 00000001 00 = 00000001 01 +3A 025 00000001 00000001 01 = 00000001 01 +3A 026 00000001 00000001 10 = 00000001 01 +3A 027 00000001 00000001 11 = 00000001 01 +3A 028 00000002 00000001 00 = 00000002 10 +3A 029 00000002 00000001 01 = 00000002 10 +3A 02A 00000002 00000001 10 = 00000002 10 +3A 02B 00000002 00000001 11 = 00000002 10 +3A 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +3A 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 00 +3A 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +3A 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 00 +3A 030 80000000 00000001 00 = 80000000 10 +3A 031 80000000 00000001 01 = 80000000 10 +3A 032 80000000 00000001 10 = 80000000 10 +3A 033 80000000 00000001 11 = 80000000 10 +3A 034 80000001 00000001 00 = 80000001 10 +3A 035 80000001 00000001 01 = 80000001 10 +3A 036 80000001 00000001 10 = 80000001 10 +3A 037 80000001 00000001 11 = 80000001 10 +3A 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +3A 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 +3A 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +3A 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +3A 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +3A 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +3A 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +3A 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +3A 040 00000000 00000002 00 = 00000000 01 +3A 041 00000000 00000002 01 = 00000000 01 +3A 042 00000000 00000002 10 = 00000000 01 +3A 043 00000000 00000002 11 = 00000000 01 +3A 044 00000001 00000002 00 = 00000001 10 +3A 045 00000001 00000002 01 = 00000001 10 +3A 046 00000001 00000002 10 = 00000001 10 +3A 047 00000001 00000002 11 = 00000001 10 +3A 048 00000002 00000002 00 = 00000002 01 +3A 049 00000002 00000002 01 = 00000002 01 +3A 04A 00000002 00000002 10 = 00000002 01 +3A 04B 00000002 00000002 11 = 00000002 01 +3A 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +3A 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 00 +3A 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +3A 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 00 +3A 050 80000000 00000002 00 = 80000000 10 +3A 051 80000000 00000002 01 = 80000000 10 +3A 052 80000000 00000002 10 = 80000000 10 +3A 053 80000000 00000002 11 = 80000000 10 +3A 054 80000001 00000002 00 = 80000001 00 +3A 055 80000001 00000002 01 = 80000001 00 +3A 056 80000001 00000002 10 = 80000001 00 +3A 057 80000001 00000002 11 = 80000001 00 +3A 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +3A 059 FFFFFFFE 00000002 01 = FFFFFFFE 00 +3A 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 +3A 05B FFFFFFFE 00000002 11 = FFFFFFFE 00 +3A 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +3A 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 +3A 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +3A 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +3A 060 00000000 7FFFFFFF 00 = 00000000 01 +3A 061 00000000 7FFFFFFF 01 = 00000000 01 +3A 062 00000000 7FFFFFFF 10 = 00000000 01 +3A 063 00000000 7FFFFFFF 11 = 00000000 01 +3A 064 00000001 7FFFFFFF 00 = 00000001 01 +3A 065 00000001 7FFFFFFF 01 = 00000001 01 +3A 066 00000001 7FFFFFFF 10 = 00000001 01 +3A 067 00000001 7FFFFFFF 11 = 00000001 01 +3A 068 00000002 7FFFFFFF 00 = 00000002 01 +3A 069 00000002 7FFFFFFF 01 = 00000002 01 +3A 06A 00000002 7FFFFFFF 10 = 00000002 01 +3A 06B 00000002 7FFFFFFF 11 = 00000002 01 +3A 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 01 +3A 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +3A 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 01 +3A 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 +3A 070 80000000 7FFFFFFF 00 = 80000000 10 +3A 071 80000000 7FFFFFFF 01 = 80000000 10 +3A 072 80000000 7FFFFFFF 10 = 80000000 10 +3A 073 80000000 7FFFFFFF 11 = 80000000 10 +3A 074 80000001 7FFFFFFF 00 = 80000001 10 +3A 075 80000001 7FFFFFFF 01 = 80000001 10 +3A 076 80000001 7FFFFFFF 10 = 80000001 10 +3A 077 80000001 7FFFFFFF 11 = 80000001 10 +3A 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 +3A 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 10 +3A 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +3A 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 10 +3A 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +3A 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +3A 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +3A 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +3A 080 00000000 80000000 00 = 00000000 01 +3A 081 00000000 80000000 01 = 00000000 01 +3A 082 00000000 80000000 10 = 00000000 01 +3A 083 00000000 80000000 11 = 00000000 01 +3A 084 00000001 80000000 00 = 00000001 10 +3A 085 00000001 80000000 01 = 00000001 10 +3A 086 00000001 80000000 10 = 00000001 10 +3A 087 00000001 80000000 11 = 00000001 10 +3A 088 00000002 80000000 00 = 00000002 10 +3A 089 00000002 80000000 01 = 00000002 10 +3A 08A 00000002 80000000 10 = 00000002 10 +3A 08B 00000002 80000000 11 = 00000002 10 +3A 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +3A 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 10 +3A 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +3A 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 10 +3A 090 80000000 80000000 00 = 80000000 01 +3A 091 80000000 80000000 01 = 80000000 01 +3A 092 80000000 80000000 10 = 80000000 01 +3A 093 80000000 80000000 11 = 80000000 01 +3A 094 80000001 80000000 00 = 80000001 10 +3A 095 80000001 80000000 01 = 80000001 10 +3A 096 80000001 80000000 10 = 80000001 10 +3A 097 80000001 80000000 11 = 80000001 10 +3A 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +3A 099 FFFFFFFE 80000000 01 = FFFFFFFE 00 +3A 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +3A 09B FFFFFFFE 80000000 11 = FFFFFFFE 00 +3A 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +3A 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +3A 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +3A 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +3A 0A0 00000000 80000001 00 = 00000000 01 +3A 0A1 00000000 80000001 01 = 00000000 01 +3A 0A2 00000000 80000001 10 = 00000000 01 +3A 0A3 00000000 80000001 11 = 00000000 01 +3A 0A4 00000001 80000001 00 = 00000001 01 +3A 0A5 00000001 80000001 01 = 00000001 01 +3A 0A6 00000001 80000001 10 = 00000001 01 +3A 0A7 00000001 80000001 11 = 00000001 01 +3A 0A8 00000002 80000001 00 = 00000002 10 +3A 0A9 00000002 80000001 01 = 00000002 10 +3A 0AA 00000002 80000001 10 = 00000002 10 +3A 0AB 00000002 80000001 11 = 00000002 10 +3A 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +3A 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +3A 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +3A 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +3A 0B0 80000000 80000001 00 = 80000000 01 +3A 0B1 80000000 80000001 01 = 80000000 01 +3A 0B2 80000000 80000001 10 = 80000000 01 +3A 0B3 80000000 80000001 11 = 80000000 01 +3A 0B4 80000001 80000001 00 = 80000001 01 +3A 0B5 80000001 80000001 01 = 80000001 01 +3A 0B6 80000001 80000001 10 = 80000001 01 +3A 0B7 80000001 80000001 11 = 80000001 01 +3A 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +3A 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +3A 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +3A 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 +3A 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +3A 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +3A 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +3A 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +3A 0C0 00000000 FFFFFFFE 00 = 00000000 01 +3A 0C1 00000000 FFFFFFFE 01 = 00000000 01 +3A 0C2 00000000 FFFFFFFE 10 = 00000000 01 +3A 0C3 00000000 FFFFFFFE 11 = 00000000 01 +3A 0C4 00000001 FFFFFFFE 00 = 00000001 10 +3A 0C5 00000001 FFFFFFFE 01 = 00000001 10 +3A 0C6 00000001 FFFFFFFE 10 = 00000001 10 +3A 0C7 00000001 FFFFFFFE 11 = 00000001 10 +3A 0C8 00000002 FFFFFFFE 00 = 00000002 01 +3A 0C9 00000002 FFFFFFFE 01 = 00000002 01 +3A 0CA 00000002 FFFFFFFE 10 = 00000002 01 +3A 0CB 00000002 FFFFFFFE 11 = 00000002 01 +3A 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 +3A 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 10 +3A 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +3A 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 10 +3A 0D0 80000000 FFFFFFFE 00 = 80000000 01 +3A 0D1 80000000 FFFFFFFE 01 = 80000000 01 +3A 0D2 80000000 FFFFFFFE 10 = 80000000 01 +3A 0D3 80000000 FFFFFFFE 11 = 80000000 01 +3A 0D4 80000001 FFFFFFFE 00 = 80000001 10 +3A 0D5 80000001 FFFFFFFE 01 = 80000001 10 +3A 0D6 80000001 FFFFFFFE 10 = 80000001 10 +3A 0D7 80000001 FFFFFFFE 11 = 80000001 10 +3A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 01 +3A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +3A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 01 +3A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 +3A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +3A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 +3A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +3A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +3A 0E0 00000000 FFFFFFFF 00 = 00000000 01 +3A 0E1 00000000 FFFFFFFF 01 = 00000000 01 +3A 0E2 00000000 FFFFFFFF 10 = 00000000 01 +3A 0E3 00000000 FFFFFFFF 11 = 00000000 01 +3A 0E4 00000001 FFFFFFFF 00 = 00000001 01 +3A 0E5 00000001 FFFFFFFF 01 = 00000001 01 +3A 0E6 00000001 FFFFFFFF 10 = 00000001 01 +3A 0E7 00000001 FFFFFFFF 11 = 00000001 01 +3A 0E8 00000002 FFFFFFFF 00 = 00000002 01 +3A 0E9 00000002 FFFFFFFF 01 = 00000002 01 +3A 0EA 00000002 FFFFFFFF 10 = 00000002 01 +3A 0EB 00000002 FFFFFFFF 11 = 00000002 01 +3A 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 01 +3A 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +3A 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 01 +3A 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 01 +3A 0F0 80000000 FFFFFFFF 00 = 80000000 01 +3A 0F1 80000000 FFFFFFFF 01 = 80000000 01 +3A 0F2 80000000 FFFFFFFF 10 = 80000000 01 +3A 0F3 80000000 FFFFFFFF 11 = 80000000 01 +3A 0F4 80000001 FFFFFFFF 00 = 80000001 01 +3A 0F5 80000001 FFFFFFFF 01 = 80000001 01 +3A 0F6 80000001 FFFFFFFF 10 = 80000001 01 +3A 0F7 80000001 FFFFFFFF 11 = 80000001 01 +3A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 01 +3A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +3A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 01 +3A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 01 +3A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 01 +3A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +3A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 01 +3A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 +test ---D---- ---S---- CZ = ---Q---- CZ +3B 000 00000000 00000000 00 = 00000000 01 +3B 001 00000000 00000000 01 = 00000000 01 +3B 002 00000000 00000000 10 = 00000000 01 +3B 003 00000000 00000000 11 = 00000000 01 +3B 004 00000001 00000000 00 = 00000001 01 +3B 005 00000001 00000000 01 = 00000001 01 +3B 006 00000001 00000000 10 = 00000001 01 +3B 007 00000001 00000000 11 = 00000001 01 +3B 008 00000002 00000000 00 = 00000002 01 +3B 009 00000002 00000000 01 = 00000002 01 +3B 00A 00000002 00000000 10 = 00000002 01 +3B 00B 00000002 00000000 11 = 00000002 01 +3B 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 01 +3B 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +3B 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 01 +3B 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 01 +3B 010 80000000 00000000 00 = 80000000 01 +3B 011 80000000 00000000 01 = 80000000 01 +3B 012 80000000 00000000 10 = 80000000 01 +3B 013 80000000 00000000 11 = 80000000 01 +3B 014 80000001 00000000 00 = 80000001 01 +3B 015 80000001 00000000 01 = 80000001 01 +3B 016 80000001 00000000 10 = 80000001 01 +3B 017 80000001 00000000 11 = 80000001 01 +3B 018 FFFFFFFE 00000000 00 = FFFFFFFE 01 +3B 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +3B 01A FFFFFFFE 00000000 10 = FFFFFFFE 01 +3B 01B FFFFFFFE 00000000 11 = FFFFFFFE 01 +3B 01C FFFFFFFF 00000000 00 = FFFFFFFF 01 +3B 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +3B 01E FFFFFFFF 00000000 10 = FFFFFFFF 01 +3B 01F FFFFFFFF 00000000 11 = FFFFFFFF 01 +3B 020 00000000 00000001 00 = 00000000 01 +3B 021 00000000 00000001 01 = 00000000 01 +3B 022 00000000 00000001 10 = 00000000 01 +3B 023 00000000 00000001 11 = 00000000 01 +3B 024 00000001 00000001 00 = 00000001 10 +3B 025 00000001 00000001 01 = 00000001 10 +3B 026 00000001 00000001 10 = 00000001 10 +3B 027 00000001 00000001 11 = 00000001 10 +3B 028 00000002 00000001 00 = 00000002 01 +3B 029 00000002 00000001 01 = 00000002 01 +3B 02A 00000002 00000001 10 = 00000002 01 +3B 02B 00000002 00000001 11 = 00000002 01 +3B 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 +3B 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 +3B 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +3B 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 +3B 030 80000000 00000001 00 = 80000000 01 +3B 031 80000000 00000001 01 = 80000000 01 +3B 032 80000000 00000001 10 = 80000000 01 +3B 033 80000000 00000001 11 = 80000000 01 +3B 034 80000001 00000001 00 = 80000001 10 +3B 035 80000001 00000001 01 = 80000001 10 +3B 036 80000001 00000001 10 = 80000001 10 +3B 037 80000001 00000001 11 = 80000001 10 +3B 038 FFFFFFFE 00000001 00 = FFFFFFFE 01 +3B 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 +3B 03A FFFFFFFE 00000001 10 = FFFFFFFE 01 +3B 03B FFFFFFFE 00000001 11 = FFFFFFFE 01 +3B 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +3B 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 +3B 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +3B 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +3B 040 00000000 00000002 00 = 00000000 01 +3B 041 00000000 00000002 01 = 00000000 01 +3B 042 00000000 00000002 10 = 00000000 01 +3B 043 00000000 00000002 11 = 00000000 01 +3B 044 00000001 00000002 00 = 00000001 01 +3B 045 00000001 00000002 01 = 00000001 01 +3B 046 00000001 00000002 10 = 00000001 01 +3B 047 00000001 00000002 11 = 00000001 01 +3B 048 00000002 00000002 00 = 00000002 10 +3B 049 00000002 00000002 01 = 00000002 10 +3B 04A 00000002 00000002 10 = 00000002 10 +3B 04B 00000002 00000002 11 = 00000002 10 +3B 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 +3B 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 +3B 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +3B 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 +3B 050 80000000 00000002 00 = 80000000 01 +3B 051 80000000 00000002 01 = 80000000 01 +3B 052 80000000 00000002 10 = 80000000 01 +3B 053 80000000 00000002 11 = 80000000 01 +3B 054 80000001 00000002 00 = 80000001 01 +3B 055 80000001 00000002 01 = 80000001 01 +3B 056 80000001 00000002 10 = 80000001 01 +3B 057 80000001 00000002 11 = 80000001 01 +3B 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +3B 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +3B 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +3B 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +3B 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +3B 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 +3B 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +3B 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +3B 060 00000000 7FFFFFFF 00 = 00000000 01 +3B 061 00000000 7FFFFFFF 01 = 00000000 01 +3B 062 00000000 7FFFFFFF 10 = 00000000 01 +3B 063 00000000 7FFFFFFF 11 = 00000000 01 +3B 064 00000001 7FFFFFFF 00 = 00000001 10 +3B 065 00000001 7FFFFFFF 01 = 00000001 10 +3B 066 00000001 7FFFFFFF 10 = 00000001 10 +3B 067 00000001 7FFFFFFF 11 = 00000001 10 +3B 068 00000002 7FFFFFFF 00 = 00000002 10 +3B 069 00000002 7FFFFFFF 01 = 00000002 10 +3B 06A 00000002 7FFFFFFF 10 = 00000002 10 +3B 06B 00000002 7FFFFFFF 11 = 00000002 10 +3B 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +3B 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 +3B 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +3B 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +3B 070 80000000 7FFFFFFF 00 = 80000000 01 +3B 071 80000000 7FFFFFFF 01 = 80000000 01 +3B 072 80000000 7FFFFFFF 10 = 80000000 01 +3B 073 80000000 7FFFFFFF 11 = 80000000 01 +3B 074 80000001 7FFFFFFF 00 = 80000001 10 +3B 075 80000001 7FFFFFFF 01 = 80000001 10 +3B 076 80000001 7FFFFFFF 10 = 80000001 10 +3B 077 80000001 7FFFFFFF 11 = 80000001 10 +3B 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +3B 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 +3B 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 +3B 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 +3B 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +3B 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 +3B 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +3B 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +3B 080 00000000 80000000 00 = 00000000 01 +3B 081 00000000 80000000 01 = 00000000 01 +3B 082 00000000 80000000 10 = 00000000 01 +3B 083 00000000 80000000 11 = 00000000 01 +3B 084 00000001 80000000 00 = 00000001 01 +3B 085 00000001 80000000 01 = 00000001 01 +3B 086 00000001 80000000 10 = 00000001 01 +3B 087 00000001 80000000 11 = 00000001 01 +3B 088 00000002 80000000 00 = 00000002 01 +3B 089 00000002 80000000 01 = 00000002 01 +3B 08A 00000002 80000000 10 = 00000002 01 +3B 08B 00000002 80000000 11 = 00000002 01 +3B 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 01 +3B 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 01 +3B 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 01 +3B 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 01 +3B 090 80000000 80000000 00 = 80000000 10 +3B 091 80000000 80000000 01 = 80000000 10 +3B 092 80000000 80000000 10 = 80000000 10 +3B 093 80000000 80000000 11 = 80000000 10 +3B 094 80000001 80000000 00 = 80000001 10 +3B 095 80000001 80000000 01 = 80000001 10 +3B 096 80000001 80000000 10 = 80000001 10 +3B 097 80000001 80000000 11 = 80000001 10 +3B 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +3B 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 +3B 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +3B 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 +3B 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +3B 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 +3B 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +3B 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +3B 0A0 00000000 80000001 00 = 00000000 01 +3B 0A1 00000000 80000001 01 = 00000000 01 +3B 0A2 00000000 80000001 10 = 00000000 01 +3B 0A3 00000000 80000001 11 = 00000000 01 +3B 0A4 00000001 80000001 00 = 00000001 10 +3B 0A5 00000001 80000001 01 = 00000001 10 +3B 0A6 00000001 80000001 10 = 00000001 10 +3B 0A7 00000001 80000001 11 = 00000001 10 +3B 0A8 00000002 80000001 00 = 00000002 01 +3B 0A9 00000002 80000001 01 = 00000002 01 +3B 0AA 00000002 80000001 10 = 00000002 01 +3B 0AB 00000002 80000001 11 = 00000002 01 +3B 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 +3B 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 10 +3B 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 +3B 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 10 +3B 0B0 80000000 80000001 00 = 80000000 10 +3B 0B1 80000000 80000001 01 = 80000000 10 +3B 0B2 80000000 80000001 10 = 80000000 10 +3B 0B3 80000000 80000001 11 = 80000000 10 +3B 0B4 80000001 80000001 00 = 80000001 00 +3B 0B5 80000001 80000001 01 = 80000001 00 +3B 0B6 80000001 80000001 10 = 80000001 00 +3B 0B7 80000001 80000001 11 = 80000001 00 +3B 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 10 +3B 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 10 +3B 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +3B 0BB FFFFFFFE 80000001 11 = FFFFFFFE 10 +3B 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +3B 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +3B 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +3B 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +3B 0C0 00000000 FFFFFFFE 00 = 00000000 01 +3B 0C1 00000000 FFFFFFFE 01 = 00000000 01 +3B 0C2 00000000 FFFFFFFE 10 = 00000000 01 +3B 0C3 00000000 FFFFFFFE 11 = 00000000 01 +3B 0C4 00000001 FFFFFFFE 00 = 00000001 01 +3B 0C5 00000001 FFFFFFFE 01 = 00000001 01 +3B 0C6 00000001 FFFFFFFE 10 = 00000001 01 +3B 0C7 00000001 FFFFFFFE 11 = 00000001 01 +3B 0C8 00000002 FFFFFFFE 00 = 00000002 10 +3B 0C9 00000002 FFFFFFFE 01 = 00000002 10 +3B 0CA 00000002 FFFFFFFE 10 = 00000002 10 +3B 0CB 00000002 FFFFFFFE 11 = 00000002 10 +3B 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +3B 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 +3B 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +3B 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 +3B 0D0 80000000 FFFFFFFE 00 = 80000000 10 +3B 0D1 80000000 FFFFFFFE 01 = 80000000 10 +3B 0D2 80000000 FFFFFFFE 10 = 80000000 10 +3B 0D3 80000000 FFFFFFFE 11 = 80000000 10 +3B 0D4 80000001 FFFFFFFE 00 = 80000001 10 +3B 0D5 80000001 FFFFFFFE 01 = 80000001 10 +3B 0D6 80000001 FFFFFFFE 10 = 80000001 10 +3B 0D7 80000001 FFFFFFFE 11 = 80000001 10 +3B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +3B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 +3B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +3B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +3B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +3B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 +3B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +3B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +3B 0E0 00000000 FFFFFFFF 00 = 00000000 01 +3B 0E1 00000000 FFFFFFFF 01 = 00000000 01 +3B 0E2 00000000 FFFFFFFF 10 = 00000000 01 +3B 0E3 00000000 FFFFFFFF 11 = 00000000 01 +3B 0E4 00000001 FFFFFFFF 00 = 00000001 10 +3B 0E5 00000001 FFFFFFFF 01 = 00000001 10 +3B 0E6 00000001 FFFFFFFF 10 = 00000001 10 +3B 0E7 00000001 FFFFFFFF 11 = 00000001 10 +3B 0E8 00000002 FFFFFFFF 00 = 00000002 10 +3B 0E9 00000002 FFFFFFFF 01 = 00000002 10 +3B 0EA 00000002 FFFFFFFF 10 = 00000002 10 +3B 0EB 00000002 FFFFFFFF 11 = 00000002 10 +3B 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 +3B 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 10 +3B 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +3B 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 10 +3B 0F0 80000000 FFFFFFFF 00 = 80000000 10 +3B 0F1 80000000 FFFFFFFF 01 = 80000000 10 +3B 0F2 80000000 FFFFFFFF 10 = 80000000 10 +3B 0F3 80000000 FFFFFFFF 11 = 80000000 10 +3B 0F4 80000001 FFFFFFFF 00 = 80000001 00 +3B 0F5 80000001 FFFFFFFF 01 = 80000001 00 +3B 0F6 80000001 FFFFFFFF 10 = 80000001 00 +3B 0F7 80000001 FFFFFFFF 11 = 80000001 00 +3B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +3B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 +3B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +3B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +3B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +3B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +3B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +anyb ---D---- ---S---- CZ = ---Q---- CZ +3C 000 00000000 00000000 00 = 00000000 01 +3C 001 00000000 00000000 01 = 00000000 01 +3C 002 00000000 00000000 10 = 00000000 01 +3C 003 00000000 00000000 11 = 00000000 01 +3C 004 00000001 00000000 00 = 00000001 10 +3C 005 00000001 00000000 01 = 00000001 10 +3C 006 00000001 00000000 10 = 00000001 10 +3C 007 00000001 00000000 11 = 00000001 10 +3C 008 00000002 00000000 00 = 00000002 10 +3C 009 00000002 00000000 01 = 00000002 10 +3C 00A 00000002 00000000 10 = 00000002 10 +3C 00B 00000002 00000000 11 = 00000002 10 +3C 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +3C 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 +3C 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +3C 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 +3C 010 80000000 00000000 00 = 80000000 10 +3C 011 80000000 00000000 01 = 80000000 10 +3C 012 80000000 00000000 10 = 80000000 10 +3C 013 80000000 00000000 11 = 80000000 10 +3C 014 80000001 00000000 00 = 80000001 00 +3C 015 80000001 00000000 01 = 80000001 00 +3C 016 80000001 00000000 10 = 80000001 00 +3C 017 80000001 00000000 11 = 80000001 00 +3C 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +3C 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +3C 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +3C 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +3C 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +3C 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +3C 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +3C 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +3C 020 00000000 00000001 00 = 00000000 10 +3C 021 00000000 00000001 01 = 00000000 10 +3C 022 00000000 00000001 10 = 00000000 10 +3C 023 00000000 00000001 11 = 00000000 10 +3C 024 00000001 00000001 00 = 00000001 10 +3C 025 00000001 00000001 01 = 00000001 10 +3C 026 00000001 00000001 10 = 00000001 10 +3C 027 00000001 00000001 11 = 00000001 10 +3C 028 00000002 00000001 00 = 00000002 00 +3C 029 00000002 00000001 01 = 00000002 00 +3C 02A 00000002 00000001 10 = 00000002 00 +3C 02B 00000002 00000001 11 = 00000002 00 +3C 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 +3C 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 +3C 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +3C 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 +3C 030 80000000 00000001 00 = 80000000 00 +3C 031 80000000 00000001 01 = 80000000 00 +3C 032 80000000 00000001 10 = 80000000 00 +3C 033 80000000 00000001 11 = 80000000 00 +3C 034 80000001 00000001 00 = 80000001 00 +3C 035 80000001 00000001 01 = 80000001 00 +3C 036 80000001 00000001 10 = 80000001 00 +3C 037 80000001 00000001 11 = 80000001 00 +3C 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +3C 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 +3C 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 +3C 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 +3C 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +3C 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 +3C 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +3C 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 +3C 040 00000000 00000002 00 = 00000000 10 +3C 041 00000000 00000002 01 = 00000000 10 +3C 042 00000000 00000002 10 = 00000000 10 +3C 043 00000000 00000002 11 = 00000000 10 +3C 044 00000001 00000002 00 = 00000001 00 +3C 045 00000001 00000002 01 = 00000001 00 +3C 046 00000001 00000002 10 = 00000001 00 +3C 047 00000001 00000002 11 = 00000001 00 +3C 048 00000002 00000002 00 = 00000002 10 +3C 049 00000002 00000002 01 = 00000002 10 +3C 04A 00000002 00000002 10 = 00000002 10 +3C 04B 00000002 00000002 11 = 00000002 10 +3C 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 +3C 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 +3C 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +3C 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 +3C 050 80000000 00000002 00 = 80000000 00 +3C 051 80000000 00000002 01 = 80000000 00 +3C 052 80000000 00000002 10 = 80000000 00 +3C 053 80000000 00000002 11 = 80000000 00 +3C 054 80000001 00000002 00 = 80000001 10 +3C 055 80000001 00000002 01 = 80000001 10 +3C 056 80000001 00000002 10 = 80000001 10 +3C 057 80000001 00000002 11 = 80000001 10 +3C 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +3C 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 +3C 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +3C 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +3C 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +3C 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 +3C 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +3C 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 +3C 060 00000000 7FFFFFFF 00 = 00000000 10 +3C 061 00000000 7FFFFFFF 01 = 00000000 10 +3C 062 00000000 7FFFFFFF 10 = 00000000 10 +3C 063 00000000 7FFFFFFF 11 = 00000000 10 +3C 064 00000001 7FFFFFFF 00 = 00000001 10 +3C 065 00000001 7FFFFFFF 01 = 00000001 10 +3C 066 00000001 7FFFFFFF 10 = 00000001 10 +3C 067 00000001 7FFFFFFF 11 = 00000001 10 +3C 068 00000002 7FFFFFFF 00 = 00000002 10 +3C 069 00000002 7FFFFFFF 01 = 00000002 10 +3C 06A 00000002 7FFFFFFF 10 = 00000002 10 +3C 06B 00000002 7FFFFFFF 11 = 00000002 10 +3C 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +3C 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 +3C 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +3C 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 +3C 070 80000000 7FFFFFFF 00 = 80000000 00 +3C 071 80000000 7FFFFFFF 01 = 80000000 00 +3C 072 80000000 7FFFFFFF 10 = 80000000 00 +3C 073 80000000 7FFFFFFF 11 = 80000000 00 +3C 074 80000001 7FFFFFFF 00 = 80000001 00 +3C 075 80000001 7FFFFFFF 01 = 80000001 00 +3C 076 80000001 7FFFFFFF 10 = 80000001 00 +3C 077 80000001 7FFFFFFF 11 = 80000001 00 +3C 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +3C 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 +3C 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 +3C 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 +3C 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +3C 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 +3C 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +3C 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +3C 080 00000000 80000000 00 = 00000000 10 +3C 081 00000000 80000000 01 = 00000000 10 +3C 082 00000000 80000000 10 = 00000000 10 +3C 083 00000000 80000000 11 = 00000000 10 +3C 084 00000001 80000000 00 = 00000001 00 +3C 085 00000001 80000000 01 = 00000001 00 +3C 086 00000001 80000000 10 = 00000001 00 +3C 087 00000001 80000000 11 = 00000001 00 +3C 088 00000002 80000000 00 = 00000002 00 +3C 089 00000002 80000000 01 = 00000002 00 +3C 08A 00000002 80000000 10 = 00000002 00 +3C 08B 00000002 80000000 11 = 00000002 00 +3C 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +3C 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 +3C 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +3C 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 +3C 090 80000000 80000000 00 = 80000000 10 +3C 091 80000000 80000000 01 = 80000000 10 +3C 092 80000000 80000000 10 = 80000000 10 +3C 093 80000000 80000000 11 = 80000000 10 +3C 094 80000001 80000000 00 = 80000001 00 +3C 095 80000001 80000000 01 = 80000001 00 +3C 096 80000001 80000000 10 = 80000001 00 +3C 097 80000001 80000000 11 = 80000001 00 +3C 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +3C 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 +3C 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +3C 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 +3C 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +3C 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 +3C 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +3C 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 +3C 0A0 00000000 80000001 00 = 00000000 00 +3C 0A1 00000000 80000001 01 = 00000000 00 +3C 0A2 00000000 80000001 10 = 00000000 00 +3C 0A3 00000000 80000001 11 = 00000000 00 +3C 0A4 00000001 80000001 00 = 00000001 00 +3C 0A5 00000001 80000001 01 = 00000001 00 +3C 0A6 00000001 80000001 10 = 00000001 00 +3C 0A7 00000001 80000001 11 = 00000001 00 +3C 0A8 00000002 80000001 00 = 00000002 10 +3C 0A9 00000002 80000001 01 = 00000002 10 +3C 0AA 00000002 80000001 10 = 00000002 10 +3C 0AB 00000002 80000001 11 = 00000002 10 +3C 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +3C 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 +3C 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +3C 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 +3C 0B0 80000000 80000001 00 = 80000000 00 +3C 0B1 80000000 80000001 01 = 80000000 00 +3C 0B2 80000000 80000001 10 = 80000000 00 +3C 0B3 80000000 80000001 11 = 80000000 00 +3C 0B4 80000001 80000001 00 = 80000001 00 +3C 0B5 80000001 80000001 01 = 80000001 00 +3C 0B6 80000001 80000001 10 = 80000001 00 +3C 0B7 80000001 80000001 11 = 80000001 00 +3C 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +3C 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +3C 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +3C 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 +3C 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +3C 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +3C 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +3C 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 +3C 0C0 00000000 FFFFFFFE 00 = 00000000 10 +3C 0C1 00000000 FFFFFFFE 01 = 00000000 10 +3C 0C2 00000000 FFFFFFFE 10 = 00000000 10 +3C 0C3 00000000 FFFFFFFE 11 = 00000000 10 +3C 0C4 00000001 FFFFFFFE 00 = 00000001 00 +3C 0C5 00000001 FFFFFFFE 01 = 00000001 00 +3C 0C6 00000001 FFFFFFFE 10 = 00000001 00 +3C 0C7 00000001 FFFFFFFE 11 = 00000001 00 +3C 0C8 00000002 FFFFFFFE 00 = 00000002 10 +3C 0C9 00000002 FFFFFFFE 01 = 00000002 10 +3C 0CA 00000002 FFFFFFFE 10 = 00000002 10 +3C 0CB 00000002 FFFFFFFE 11 = 00000002 10 +3C 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +3C 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 +3C 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +3C 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 +3C 0D0 80000000 FFFFFFFE 00 = 80000000 10 +3C 0D1 80000000 FFFFFFFE 01 = 80000000 10 +3C 0D2 80000000 FFFFFFFE 10 = 80000000 10 +3C 0D3 80000000 FFFFFFFE 11 = 80000000 10 +3C 0D4 80000001 FFFFFFFE 00 = 80000001 00 +3C 0D5 80000001 FFFFFFFE 01 = 80000001 00 +3C 0D6 80000001 FFFFFFFE 10 = 80000001 00 +3C 0D7 80000001 FFFFFFFE 11 = 80000001 00 +3C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +3C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 +3C 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +3C 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +3C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +3C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 +3C 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +3C 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 +3C 0E0 00000000 FFFFFFFF 00 = 00000000 00 +3C 0E1 00000000 FFFFFFFF 01 = 00000000 00 +3C 0E2 00000000 FFFFFFFF 10 = 00000000 00 +3C 0E3 00000000 FFFFFFFF 11 = 00000000 00 +3C 0E4 00000001 FFFFFFFF 00 = 00000001 00 +3C 0E5 00000001 FFFFFFFF 01 = 00000001 00 +3C 0E6 00000001 FFFFFFFF 10 = 00000001 00 +3C 0E7 00000001 FFFFFFFF 11 = 00000001 00 +3C 0E8 00000002 FFFFFFFF 00 = 00000002 00 +3C 0E9 00000002 FFFFFFFF 01 = 00000002 00 +3C 0EA 00000002 FFFFFFFF 10 = 00000002 00 +3C 0EB 00000002 FFFFFFFF 11 = 00000002 00 +3C 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +3C 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +3C 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +3C 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +3C 0F0 80000000 FFFFFFFF 00 = 80000000 00 +3C 0F1 80000000 FFFFFFFF 01 = 80000000 00 +3C 0F2 80000000 FFFFFFFF 10 = 80000000 00 +3C 0F3 80000000 FFFFFFFF 11 = 80000000 00 +3C 0F4 80000001 FFFFFFFF 00 = 80000001 00 +3C 0F5 80000001 FFFFFFFF 01 = 80000001 00 +3C 0F6 80000001 FFFFFFFF 10 = 80000001 00 +3C 0F7 80000001 FFFFFFFF 11 = 80000001 00 +3C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +3C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 +3C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 +3C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 +3C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 +3C 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +3C 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +setnib ---D---- ---S---- CZ = ---Q---- CZ +3D 000 00000000 00000000 00 = 00000000 00 +3D 001 00000000 00000000 01 = 00000000 01 +3D 002 00000000 00000000 10 = 00000000 10 +3D 003 00000000 00000000 11 = 00000000 11 +3D 004 00000001 00000000 00 = 00000001 00 +3D 005 00000001 00000000 01 = 00000001 01 +3D 006 00000001 00000000 10 = 00000001 10 +3D 007 00000001 00000000 11 = 00000001 11 +3D 008 00000002 00000000 00 = 00000002 00 +3D 009 00000002 00000000 01 = 00000002 01 +3D 00A 00000002 00000000 10 = 00000002 10 +3D 00B 00000002 00000000 11 = 00000002 11 +3D 00C 7FFFFFFF 00000000 00 = 7FFF0FFF 00 +3D 00D 7FFFFFFF 00000000 01 = 7FFF0FFF 01 +3D 00E 7FFFFFFF 00000000 10 = 7FFF0FFF 10 +3D 00F 7FFFFFFF 00000000 11 = 7FFF0FFF 11 +3D 010 80000000 00000000 00 = 80000000 00 +3D 011 80000000 00000000 01 = 80000000 01 +3D 012 80000000 00000000 10 = 80000000 10 +3D 013 80000000 00000000 11 = 80000000 11 +3D 014 80000001 00000000 00 = 80000001 00 +3D 015 80000001 00000000 01 = 80000001 01 +3D 016 80000001 00000000 10 = 80000001 10 +3D 017 80000001 00000000 11 = 80000001 11 +3D 018 FFFFFFFE 00000000 00 = FFFF0FFE 00 +3D 019 FFFFFFFE 00000000 01 = FFFF0FFE 01 +3D 01A FFFFFFFE 00000000 10 = FFFF0FFE 10 +3D 01B FFFFFFFE 00000000 11 = FFFF0FFE 11 +3D 01C FFFFFFFF 00000000 00 = FFFF0FFF 00 +3D 01D FFFFFFFF 00000000 01 = FFFF0FFF 01 +3D 01E FFFFFFFF 00000000 10 = FFFF0FFF 10 +3D 01F FFFFFFFF 00000000 11 = FFFF0FFF 11 +3D 020 00000000 00000001 00 = 00001000 00 +3D 021 00000000 00000001 01 = 00001000 01 +3D 022 00000000 00000001 10 = 00001000 10 +3D 023 00000000 00000001 11 = 00001000 11 +3D 024 00000001 00000001 00 = 00001001 00 +3D 025 00000001 00000001 01 = 00001001 01 +3D 026 00000001 00000001 10 = 00001001 10 +3D 027 00000001 00000001 11 = 00001001 11 +3D 028 00000002 00000001 00 = 00001002 00 +3D 029 00000002 00000001 01 = 00001002 01 +3D 02A 00000002 00000001 10 = 00001002 10 +3D 02B 00000002 00000001 11 = 00001002 11 +3D 02C 7FFFFFFF 00000001 00 = 7FFF1FFF 00 +3D 02D 7FFFFFFF 00000001 01 = 7FFF1FFF 01 +3D 02E 7FFFFFFF 00000001 10 = 7FFF1FFF 10 +3D 02F 7FFFFFFF 00000001 11 = 7FFF1FFF 11 +3D 030 80000000 00000001 00 = 80001000 00 +3D 031 80000000 00000001 01 = 80001000 01 +3D 032 80000000 00000001 10 = 80001000 10 +3D 033 80000000 00000001 11 = 80001000 11 +3D 034 80000001 00000001 00 = 80001001 00 +3D 035 80000001 00000001 01 = 80001001 01 +3D 036 80000001 00000001 10 = 80001001 10 +3D 037 80000001 00000001 11 = 80001001 11 +3D 038 FFFFFFFE 00000001 00 = FFFF1FFE 00 +3D 039 FFFFFFFE 00000001 01 = FFFF1FFE 01 +3D 03A FFFFFFFE 00000001 10 = FFFF1FFE 10 +3D 03B FFFFFFFE 00000001 11 = FFFF1FFE 11 +3D 03C FFFFFFFF 00000001 00 = FFFF1FFF 00 +3D 03D FFFFFFFF 00000001 01 = FFFF1FFF 01 +3D 03E FFFFFFFF 00000001 10 = FFFF1FFF 10 +3D 03F FFFFFFFF 00000001 11 = FFFF1FFF 11 +3D 040 00000000 00000002 00 = 00002000 00 +3D 041 00000000 00000002 01 = 00002000 01 +3D 042 00000000 00000002 10 = 00002000 10 +3D 043 00000000 00000002 11 = 00002000 11 +3D 044 00000001 00000002 00 = 00002001 00 +3D 045 00000001 00000002 01 = 00002001 01 +3D 046 00000001 00000002 10 = 00002001 10 +3D 047 00000001 00000002 11 = 00002001 11 +3D 048 00000002 00000002 00 = 00002002 00 +3D 049 00000002 00000002 01 = 00002002 01 +3D 04A 00000002 00000002 10 = 00002002 10 +3D 04B 00000002 00000002 11 = 00002002 11 +3D 04C 7FFFFFFF 00000002 00 = 7FFF2FFF 00 +3D 04D 7FFFFFFF 00000002 01 = 7FFF2FFF 01 +3D 04E 7FFFFFFF 00000002 10 = 7FFF2FFF 10 +3D 04F 7FFFFFFF 00000002 11 = 7FFF2FFF 11 +3D 050 80000000 00000002 00 = 80002000 00 +3D 051 80000000 00000002 01 = 80002000 01 +3D 052 80000000 00000002 10 = 80002000 10 +3D 053 80000000 00000002 11 = 80002000 11 +3D 054 80000001 00000002 00 = 80002001 00 +3D 055 80000001 00000002 01 = 80002001 01 +3D 056 80000001 00000002 10 = 80002001 10 +3D 057 80000001 00000002 11 = 80002001 11 +3D 058 FFFFFFFE 00000002 00 = FFFF2FFE 00 +3D 059 FFFFFFFE 00000002 01 = FFFF2FFE 01 +3D 05A FFFFFFFE 00000002 10 = FFFF2FFE 10 +3D 05B FFFFFFFE 00000002 11 = FFFF2FFE 11 +3D 05C FFFFFFFF 00000002 00 = FFFF2FFF 00 +3D 05D FFFFFFFF 00000002 01 = FFFF2FFF 01 +3D 05E FFFFFFFF 00000002 10 = FFFF2FFF 10 +3D 05F FFFFFFFF 00000002 11 = FFFF2FFF 11 +3D 060 00000000 7FFFFFFF 00 = 0000F000 00 +3D 061 00000000 7FFFFFFF 01 = 0000F000 01 +3D 062 00000000 7FFFFFFF 10 = 0000F000 10 +3D 063 00000000 7FFFFFFF 11 = 0000F000 11 +3D 064 00000001 7FFFFFFF 00 = 0000F001 00 +3D 065 00000001 7FFFFFFF 01 = 0000F001 01 +3D 066 00000001 7FFFFFFF 10 = 0000F001 10 +3D 067 00000001 7FFFFFFF 11 = 0000F001 11 +3D 068 00000002 7FFFFFFF 00 = 0000F002 00 +3D 069 00000002 7FFFFFFF 01 = 0000F002 01 +3D 06A 00000002 7FFFFFFF 10 = 0000F002 10 +3D 06B 00000002 7FFFFFFF 11 = 0000F002 11 +3D 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +3D 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +3D 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +3D 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +3D 070 80000000 7FFFFFFF 00 = 8000F000 00 +3D 071 80000000 7FFFFFFF 01 = 8000F000 01 +3D 072 80000000 7FFFFFFF 10 = 8000F000 10 +3D 073 80000000 7FFFFFFF 11 = 8000F000 11 +3D 074 80000001 7FFFFFFF 00 = 8000F001 00 +3D 075 80000001 7FFFFFFF 01 = 8000F001 01 +3D 076 80000001 7FFFFFFF 10 = 8000F001 10 +3D 077 80000001 7FFFFFFF 11 = 8000F001 11 +3D 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +3D 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +3D 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +3D 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +3D 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +3D 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +3D 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +3D 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +3D 080 00000000 80000000 00 = 00000000 00 +3D 081 00000000 80000000 01 = 00000000 01 +3D 082 00000000 80000000 10 = 00000000 10 +3D 083 00000000 80000000 11 = 00000000 11 +3D 084 00000001 80000000 00 = 00000001 00 +3D 085 00000001 80000000 01 = 00000001 01 +3D 086 00000001 80000000 10 = 00000001 10 +3D 087 00000001 80000000 11 = 00000001 11 +3D 088 00000002 80000000 00 = 00000002 00 +3D 089 00000002 80000000 01 = 00000002 01 +3D 08A 00000002 80000000 10 = 00000002 10 +3D 08B 00000002 80000000 11 = 00000002 11 +3D 08C 7FFFFFFF 80000000 00 = 7FFF0FFF 00 +3D 08D 7FFFFFFF 80000000 01 = 7FFF0FFF 01 +3D 08E 7FFFFFFF 80000000 10 = 7FFF0FFF 10 +3D 08F 7FFFFFFF 80000000 11 = 7FFF0FFF 11 +3D 090 80000000 80000000 00 = 80000000 00 +3D 091 80000000 80000000 01 = 80000000 01 +3D 092 80000000 80000000 10 = 80000000 10 +3D 093 80000000 80000000 11 = 80000000 11 +3D 094 80000001 80000000 00 = 80000001 00 +3D 095 80000001 80000000 01 = 80000001 01 +3D 096 80000001 80000000 10 = 80000001 10 +3D 097 80000001 80000000 11 = 80000001 11 +3D 098 FFFFFFFE 80000000 00 = FFFF0FFE 00 +3D 099 FFFFFFFE 80000000 01 = FFFF0FFE 01 +3D 09A FFFFFFFE 80000000 10 = FFFF0FFE 10 +3D 09B FFFFFFFE 80000000 11 = FFFF0FFE 11 +3D 09C FFFFFFFF 80000000 00 = FFFF0FFF 00 +3D 09D FFFFFFFF 80000000 01 = FFFF0FFF 01 +3D 09E FFFFFFFF 80000000 10 = FFFF0FFF 10 +3D 09F FFFFFFFF 80000000 11 = FFFF0FFF 11 +3D 0A0 00000000 80000001 00 = 00001000 00 +3D 0A1 00000000 80000001 01 = 00001000 01 +3D 0A2 00000000 80000001 10 = 00001000 10 +3D 0A3 00000000 80000001 11 = 00001000 11 +3D 0A4 00000001 80000001 00 = 00001001 00 +3D 0A5 00000001 80000001 01 = 00001001 01 +3D 0A6 00000001 80000001 10 = 00001001 10 +3D 0A7 00000001 80000001 11 = 00001001 11 +3D 0A8 00000002 80000001 00 = 00001002 00 +3D 0A9 00000002 80000001 01 = 00001002 01 +3D 0AA 00000002 80000001 10 = 00001002 10 +3D 0AB 00000002 80000001 11 = 00001002 11 +3D 0AC 7FFFFFFF 80000001 00 = 7FFF1FFF 00 +3D 0AD 7FFFFFFF 80000001 01 = 7FFF1FFF 01 +3D 0AE 7FFFFFFF 80000001 10 = 7FFF1FFF 10 +3D 0AF 7FFFFFFF 80000001 11 = 7FFF1FFF 11 +3D 0B0 80000000 80000001 00 = 80001000 00 +3D 0B1 80000000 80000001 01 = 80001000 01 +3D 0B2 80000000 80000001 10 = 80001000 10 +3D 0B3 80000000 80000001 11 = 80001000 11 +3D 0B4 80000001 80000001 00 = 80001001 00 +3D 0B5 80000001 80000001 01 = 80001001 01 +3D 0B6 80000001 80000001 10 = 80001001 10 +3D 0B7 80000001 80000001 11 = 80001001 11 +3D 0B8 FFFFFFFE 80000001 00 = FFFF1FFE 00 +3D 0B9 FFFFFFFE 80000001 01 = FFFF1FFE 01 +3D 0BA FFFFFFFE 80000001 10 = FFFF1FFE 10 +3D 0BB FFFFFFFE 80000001 11 = FFFF1FFE 11 +3D 0BC FFFFFFFF 80000001 00 = FFFF1FFF 00 +3D 0BD FFFFFFFF 80000001 01 = FFFF1FFF 01 +3D 0BE FFFFFFFF 80000001 10 = FFFF1FFF 10 +3D 0BF FFFFFFFF 80000001 11 = FFFF1FFF 11 +3D 0C0 00000000 FFFFFFFE 00 = 0000E000 00 +3D 0C1 00000000 FFFFFFFE 01 = 0000E000 01 +3D 0C2 00000000 FFFFFFFE 10 = 0000E000 10 +3D 0C3 00000000 FFFFFFFE 11 = 0000E000 11 +3D 0C4 00000001 FFFFFFFE 00 = 0000E001 00 +3D 0C5 00000001 FFFFFFFE 01 = 0000E001 01 +3D 0C6 00000001 FFFFFFFE 10 = 0000E001 10 +3D 0C7 00000001 FFFFFFFE 11 = 0000E001 11 +3D 0C8 00000002 FFFFFFFE 00 = 0000E002 00 +3D 0C9 00000002 FFFFFFFE 01 = 0000E002 01 +3D 0CA 00000002 FFFFFFFE 10 = 0000E002 10 +3D 0CB 00000002 FFFFFFFE 11 = 0000E002 11 +3D 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFEFFF 00 +3D 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFEFFF 01 +3D 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFEFFF 10 +3D 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFEFFF 11 +3D 0D0 80000000 FFFFFFFE 00 = 8000E000 00 +3D 0D1 80000000 FFFFFFFE 01 = 8000E000 01 +3D 0D2 80000000 FFFFFFFE 10 = 8000E000 10 +3D 0D3 80000000 FFFFFFFE 11 = 8000E000 11 +3D 0D4 80000001 FFFFFFFE 00 = 8000E001 00 +3D 0D5 80000001 FFFFFFFE 01 = 8000E001 01 +3D 0D6 80000001 FFFFFFFE 10 = 8000E001 10 +3D 0D7 80000001 FFFFFFFE 11 = 8000E001 11 +3D 0D8 FFFFFFFE FFFFFFFE 00 = FFFFEFFE 00 +3D 0D9 FFFFFFFE FFFFFFFE 01 = FFFFEFFE 01 +3D 0DA FFFFFFFE FFFFFFFE 10 = FFFFEFFE 10 +3D 0DB FFFFFFFE FFFFFFFE 11 = FFFFEFFE 11 +3D 0DC FFFFFFFF FFFFFFFE 00 = FFFFEFFF 00 +3D 0DD FFFFFFFF FFFFFFFE 01 = FFFFEFFF 01 +3D 0DE FFFFFFFF FFFFFFFE 10 = FFFFEFFF 10 +3D 0DF FFFFFFFF FFFFFFFE 11 = FFFFEFFF 11 +3D 0E0 00000000 FFFFFFFF 00 = 0000F000 00 +3D 0E1 00000000 FFFFFFFF 01 = 0000F000 01 +3D 0E2 00000000 FFFFFFFF 10 = 0000F000 10 +3D 0E3 00000000 FFFFFFFF 11 = 0000F000 11 +3D 0E4 00000001 FFFFFFFF 00 = 0000F001 00 +3D 0E5 00000001 FFFFFFFF 01 = 0000F001 01 +3D 0E6 00000001 FFFFFFFF 10 = 0000F001 10 +3D 0E7 00000001 FFFFFFFF 11 = 0000F001 11 +3D 0E8 00000002 FFFFFFFF 00 = 0000F002 00 +3D 0E9 00000002 FFFFFFFF 01 = 0000F002 01 +3D 0EA 00000002 FFFFFFFF 10 = 0000F002 10 +3D 0EB 00000002 FFFFFFFF 11 = 0000F002 11 +3D 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +3D 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +3D 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +3D 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +3D 0F0 80000000 FFFFFFFF 00 = 8000F000 00 +3D 0F1 80000000 FFFFFFFF 01 = 8000F000 01 +3D 0F2 80000000 FFFFFFFF 10 = 8000F000 10 +3D 0F3 80000000 FFFFFFFF 11 = 8000F000 11 +3D 0F4 80000001 FFFFFFFF 00 = 8000F001 00 +3D 0F5 80000001 FFFFFFFF 01 = 8000F001 01 +3D 0F6 80000001 FFFFFFFF 10 = 8000F001 10 +3D 0F7 80000001 FFFFFFFF 11 = 8000F001 11 +3D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +3D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +3D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +3D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +3D 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3D 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +3D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +3D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +getnib ---D---- ---S---- CZ = ---Q---- CZ +3E 000 00000000 00000000 00 = 00000000 00 +3E 001 00000000 00000000 01 = 00000000 01 +3E 002 00000000 00000000 10 = 00000000 10 +3E 003 00000000 00000000 11 = 00000000 11 +3E 004 00000001 00000000 00 = 00000000 00 +3E 005 00000001 00000000 01 = 00000000 01 +3E 006 00000001 00000000 10 = 00000000 10 +3E 007 00000001 00000000 11 = 00000000 11 +3E 008 00000002 00000000 00 = 00000000 00 +3E 009 00000002 00000000 01 = 00000000 01 +3E 00A 00000002 00000000 10 = 00000000 10 +3E 00B 00000002 00000000 11 = 00000000 11 +3E 00C 7FFFFFFF 00000000 00 = 00000000 00 +3E 00D 7FFFFFFF 00000000 01 = 00000000 01 +3E 00E 7FFFFFFF 00000000 10 = 00000000 10 +3E 00F 7FFFFFFF 00000000 11 = 00000000 11 +3E 010 80000000 00000000 00 = 00000000 00 +3E 011 80000000 00000000 01 = 00000000 01 +3E 012 80000000 00000000 10 = 00000000 10 +3E 013 80000000 00000000 11 = 00000000 11 +3E 014 80000001 00000000 00 = 00000000 00 +3E 015 80000001 00000000 01 = 00000000 01 +3E 016 80000001 00000000 10 = 00000000 10 +3E 017 80000001 00000000 11 = 00000000 11 +3E 018 FFFFFFFE 00000000 00 = 00000000 00 +3E 019 FFFFFFFE 00000000 01 = 00000000 01 +3E 01A FFFFFFFE 00000000 10 = 00000000 10 +3E 01B FFFFFFFE 00000000 11 = 00000000 11 +3E 01C FFFFFFFF 00000000 00 = 00000000 00 +3E 01D FFFFFFFF 00000000 01 = 00000000 01 +3E 01E FFFFFFFF 00000000 10 = 00000000 10 +3E 01F FFFFFFFF 00000000 11 = 00000000 11 +3E 020 00000000 00000001 00 = 00000000 00 +3E 021 00000000 00000001 01 = 00000000 01 +3E 022 00000000 00000001 10 = 00000000 10 +3E 023 00000000 00000001 11 = 00000000 11 +3E 024 00000001 00000001 00 = 00000000 00 +3E 025 00000001 00000001 01 = 00000000 01 +3E 026 00000001 00000001 10 = 00000000 10 +3E 027 00000001 00000001 11 = 00000000 11 +3E 028 00000002 00000001 00 = 00000000 00 +3E 029 00000002 00000001 01 = 00000000 01 +3E 02A 00000002 00000001 10 = 00000000 10 +3E 02B 00000002 00000001 11 = 00000000 11 +3E 02C 7FFFFFFF 00000001 00 = 00000000 00 +3E 02D 7FFFFFFF 00000001 01 = 00000000 01 +3E 02E 7FFFFFFF 00000001 10 = 00000000 10 +3E 02F 7FFFFFFF 00000001 11 = 00000000 11 +3E 030 80000000 00000001 00 = 00000000 00 +3E 031 80000000 00000001 01 = 00000000 01 +3E 032 80000000 00000001 10 = 00000000 10 +3E 033 80000000 00000001 11 = 00000000 11 +3E 034 80000001 00000001 00 = 00000000 00 +3E 035 80000001 00000001 01 = 00000000 01 +3E 036 80000001 00000001 10 = 00000000 10 +3E 037 80000001 00000001 11 = 00000000 11 +3E 038 FFFFFFFE 00000001 00 = 00000000 00 +3E 039 FFFFFFFE 00000001 01 = 00000000 01 +3E 03A FFFFFFFE 00000001 10 = 00000000 10 +3E 03B FFFFFFFE 00000001 11 = 00000000 11 +3E 03C FFFFFFFF 00000001 00 = 00000000 00 +3E 03D FFFFFFFF 00000001 01 = 00000000 01 +3E 03E FFFFFFFF 00000001 10 = 00000000 10 +3E 03F FFFFFFFF 00000001 11 = 00000000 11 +3E 040 00000000 00000002 00 = 00000000 00 +3E 041 00000000 00000002 01 = 00000000 01 +3E 042 00000000 00000002 10 = 00000000 10 +3E 043 00000000 00000002 11 = 00000000 11 +3E 044 00000001 00000002 00 = 00000000 00 +3E 045 00000001 00000002 01 = 00000000 01 +3E 046 00000001 00000002 10 = 00000000 10 +3E 047 00000001 00000002 11 = 00000000 11 +3E 048 00000002 00000002 00 = 00000000 00 +3E 049 00000002 00000002 01 = 00000000 01 +3E 04A 00000002 00000002 10 = 00000000 10 +3E 04B 00000002 00000002 11 = 00000000 11 +3E 04C 7FFFFFFF 00000002 00 = 00000000 00 +3E 04D 7FFFFFFF 00000002 01 = 00000000 01 +3E 04E 7FFFFFFF 00000002 10 = 00000000 10 +3E 04F 7FFFFFFF 00000002 11 = 00000000 11 +3E 050 80000000 00000002 00 = 00000000 00 +3E 051 80000000 00000002 01 = 00000000 01 +3E 052 80000000 00000002 10 = 00000000 10 +3E 053 80000000 00000002 11 = 00000000 11 +3E 054 80000001 00000002 00 = 00000000 00 +3E 055 80000001 00000002 01 = 00000000 01 +3E 056 80000001 00000002 10 = 00000000 10 +3E 057 80000001 00000002 11 = 00000000 11 +3E 058 FFFFFFFE 00000002 00 = 00000000 00 +3E 059 FFFFFFFE 00000002 01 = 00000000 01 +3E 05A FFFFFFFE 00000002 10 = 00000000 10 +3E 05B FFFFFFFE 00000002 11 = 00000000 11 +3E 05C FFFFFFFF 00000002 00 = 00000000 00 +3E 05D FFFFFFFF 00000002 01 = 00000000 01 +3E 05E FFFFFFFF 00000002 10 = 00000000 10 +3E 05F FFFFFFFF 00000002 11 = 00000000 11 +3E 060 00000000 7FFFFFFF 00 = 0000000F 00 +3E 061 00000000 7FFFFFFF 01 = 0000000F 01 +3E 062 00000000 7FFFFFFF 10 = 0000000F 10 +3E 063 00000000 7FFFFFFF 11 = 0000000F 11 +3E 064 00000001 7FFFFFFF 00 = 0000000F 00 +3E 065 00000001 7FFFFFFF 01 = 0000000F 01 +3E 066 00000001 7FFFFFFF 10 = 0000000F 10 +3E 067 00000001 7FFFFFFF 11 = 0000000F 11 +3E 068 00000002 7FFFFFFF 00 = 0000000F 00 +3E 069 00000002 7FFFFFFF 01 = 0000000F 01 +3E 06A 00000002 7FFFFFFF 10 = 0000000F 10 +3E 06B 00000002 7FFFFFFF 11 = 0000000F 11 +3E 06C 7FFFFFFF 7FFFFFFF 00 = 0000000F 00 +3E 06D 7FFFFFFF 7FFFFFFF 01 = 0000000F 01 +3E 06E 7FFFFFFF 7FFFFFFF 10 = 0000000F 10 +3E 06F 7FFFFFFF 7FFFFFFF 11 = 0000000F 11 +3E 070 80000000 7FFFFFFF 00 = 0000000F 00 +3E 071 80000000 7FFFFFFF 01 = 0000000F 01 +3E 072 80000000 7FFFFFFF 10 = 0000000F 10 +3E 073 80000000 7FFFFFFF 11 = 0000000F 11 +3E 074 80000001 7FFFFFFF 00 = 0000000F 00 +3E 075 80000001 7FFFFFFF 01 = 0000000F 01 +3E 076 80000001 7FFFFFFF 10 = 0000000F 10 +3E 077 80000001 7FFFFFFF 11 = 0000000F 11 +3E 078 FFFFFFFE 7FFFFFFF 00 = 0000000F 00 +3E 079 FFFFFFFE 7FFFFFFF 01 = 0000000F 01 +3E 07A FFFFFFFE 7FFFFFFF 10 = 0000000F 10 +3E 07B FFFFFFFE 7FFFFFFF 11 = 0000000F 11 +3E 07C FFFFFFFF 7FFFFFFF 00 = 0000000F 00 +3E 07D FFFFFFFF 7FFFFFFF 01 = 0000000F 01 +3E 07E FFFFFFFF 7FFFFFFF 10 = 0000000F 10 +3E 07F FFFFFFFF 7FFFFFFF 11 = 0000000F 11 +3E 080 00000000 80000000 00 = 00000000 00 +3E 081 00000000 80000000 01 = 00000000 01 +3E 082 00000000 80000000 10 = 00000000 10 +3E 083 00000000 80000000 11 = 00000000 11 +3E 084 00000001 80000000 00 = 00000000 00 +3E 085 00000001 80000000 01 = 00000000 01 +3E 086 00000001 80000000 10 = 00000000 10 +3E 087 00000001 80000000 11 = 00000000 11 +3E 088 00000002 80000000 00 = 00000000 00 +3E 089 00000002 80000000 01 = 00000000 01 +3E 08A 00000002 80000000 10 = 00000000 10 +3E 08B 00000002 80000000 11 = 00000000 11 +3E 08C 7FFFFFFF 80000000 00 = 00000000 00 +3E 08D 7FFFFFFF 80000000 01 = 00000000 01 +3E 08E 7FFFFFFF 80000000 10 = 00000000 10 +3E 08F 7FFFFFFF 80000000 11 = 00000000 11 +3E 090 80000000 80000000 00 = 00000000 00 +3E 091 80000000 80000000 01 = 00000000 01 +3E 092 80000000 80000000 10 = 00000000 10 +3E 093 80000000 80000000 11 = 00000000 11 +3E 094 80000001 80000000 00 = 00000000 00 +3E 095 80000001 80000000 01 = 00000000 01 +3E 096 80000001 80000000 10 = 00000000 10 +3E 097 80000001 80000000 11 = 00000000 11 +3E 098 FFFFFFFE 80000000 00 = 00000000 00 +3E 099 FFFFFFFE 80000000 01 = 00000000 01 +3E 09A FFFFFFFE 80000000 10 = 00000000 10 +3E 09B FFFFFFFE 80000000 11 = 00000000 11 +3E 09C FFFFFFFF 80000000 00 = 00000000 00 +3E 09D FFFFFFFF 80000000 01 = 00000000 01 +3E 09E FFFFFFFF 80000000 10 = 00000000 10 +3E 09F FFFFFFFF 80000000 11 = 00000000 11 +3E 0A0 00000000 80000001 00 = 00000000 00 +3E 0A1 00000000 80000001 01 = 00000000 01 +3E 0A2 00000000 80000001 10 = 00000000 10 +3E 0A3 00000000 80000001 11 = 00000000 11 +3E 0A4 00000001 80000001 00 = 00000000 00 +3E 0A5 00000001 80000001 01 = 00000000 01 +3E 0A6 00000001 80000001 10 = 00000000 10 +3E 0A7 00000001 80000001 11 = 00000000 11 +3E 0A8 00000002 80000001 00 = 00000000 00 +3E 0A9 00000002 80000001 01 = 00000000 01 +3E 0AA 00000002 80000001 10 = 00000000 10 +3E 0AB 00000002 80000001 11 = 00000000 11 +3E 0AC 7FFFFFFF 80000001 00 = 00000000 00 +3E 0AD 7FFFFFFF 80000001 01 = 00000000 01 +3E 0AE 7FFFFFFF 80000001 10 = 00000000 10 +3E 0AF 7FFFFFFF 80000001 11 = 00000000 11 +3E 0B0 80000000 80000001 00 = 00000000 00 +3E 0B1 80000000 80000001 01 = 00000000 01 +3E 0B2 80000000 80000001 10 = 00000000 10 +3E 0B3 80000000 80000001 11 = 00000000 11 +3E 0B4 80000001 80000001 00 = 00000000 00 +3E 0B5 80000001 80000001 01 = 00000000 01 +3E 0B6 80000001 80000001 10 = 00000000 10 +3E 0B7 80000001 80000001 11 = 00000000 11 +3E 0B8 FFFFFFFE 80000001 00 = 00000000 00 +3E 0B9 FFFFFFFE 80000001 01 = 00000000 01 +3E 0BA FFFFFFFE 80000001 10 = 00000000 10 +3E 0BB FFFFFFFE 80000001 11 = 00000000 11 +3E 0BC FFFFFFFF 80000001 00 = 00000000 00 +3E 0BD FFFFFFFF 80000001 01 = 00000000 01 +3E 0BE FFFFFFFF 80000001 10 = 00000000 10 +3E 0BF FFFFFFFF 80000001 11 = 00000000 11 +3E 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +3E 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +3E 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +3E 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +3E 0C4 00000001 FFFFFFFE 00 = 0000000F 00 +3E 0C5 00000001 FFFFFFFE 01 = 0000000F 01 +3E 0C6 00000001 FFFFFFFE 10 = 0000000F 10 +3E 0C7 00000001 FFFFFFFE 11 = 0000000F 11 +3E 0C8 00000002 FFFFFFFE 00 = 0000000F 00 +3E 0C9 00000002 FFFFFFFE 01 = 0000000F 01 +3E 0CA 00000002 FFFFFFFE 10 = 0000000F 10 +3E 0CB 00000002 FFFFFFFE 11 = 0000000F 11 +3E 0CC 7FFFFFFF FFFFFFFE 00 = 0000000F 00 +3E 0CD 7FFFFFFF FFFFFFFE 01 = 0000000F 01 +3E 0CE 7FFFFFFF FFFFFFFE 10 = 0000000F 10 +3E 0CF 7FFFFFFF FFFFFFFE 11 = 0000000F 11 +3E 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +3E 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +3E 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +3E 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +3E 0D4 80000001 FFFFFFFE 00 = 0000000F 00 +3E 0D5 80000001 FFFFFFFE 01 = 0000000F 01 +3E 0D6 80000001 FFFFFFFE 10 = 0000000F 10 +3E 0D7 80000001 FFFFFFFE 11 = 0000000F 11 +3E 0D8 FFFFFFFE FFFFFFFE 00 = 0000000F 00 +3E 0D9 FFFFFFFE FFFFFFFE 01 = 0000000F 01 +3E 0DA FFFFFFFE FFFFFFFE 10 = 0000000F 10 +3E 0DB FFFFFFFE FFFFFFFE 11 = 0000000F 11 +3E 0DC FFFFFFFF FFFFFFFE 00 = 0000000F 00 +3E 0DD FFFFFFFF FFFFFFFE 01 = 0000000F 01 +3E 0DE FFFFFFFF FFFFFFFE 10 = 0000000F 10 +3E 0DF FFFFFFFF FFFFFFFE 11 = 0000000F 11 +3E 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +3E 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +3E 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +3E 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +3E 0E4 00000001 FFFFFFFF 00 = 0000000F 00 +3E 0E5 00000001 FFFFFFFF 01 = 0000000F 01 +3E 0E6 00000001 FFFFFFFF 10 = 0000000F 10 +3E 0E7 00000001 FFFFFFFF 11 = 0000000F 11 +3E 0E8 00000002 FFFFFFFF 00 = 0000000F 00 +3E 0E9 00000002 FFFFFFFF 01 = 0000000F 01 +3E 0EA 00000002 FFFFFFFF 10 = 0000000F 10 +3E 0EB 00000002 FFFFFFFF 11 = 0000000F 11 +3E 0EC 7FFFFFFF FFFFFFFF 00 = 0000000F 00 +3E 0ED 7FFFFFFF FFFFFFFF 01 = 0000000F 01 +3E 0EE 7FFFFFFF FFFFFFFF 10 = 0000000F 10 +3E 0EF 7FFFFFFF FFFFFFFF 11 = 0000000F 11 +3E 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +3E 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +3E 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +3E 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +3E 0F4 80000001 FFFFFFFF 00 = 0000000F 00 +3E 0F5 80000001 FFFFFFFF 01 = 0000000F 01 +3E 0F6 80000001 FFFFFFFF 10 = 0000000F 10 +3E 0F7 80000001 FFFFFFFF 11 = 0000000F 11 +3E 0F8 FFFFFFFE FFFFFFFF 00 = 0000000F 00 +3E 0F9 FFFFFFFE FFFFFFFF 01 = 0000000F 01 +3E 0FA FFFFFFFE FFFFFFFF 10 = 0000000F 10 +3E 0FB FFFFFFFE FFFFFFFF 11 = 0000000F 11 +3E 0FC FFFFFFFF FFFFFFFF 00 = 0000000F 00 +3E 0FD FFFFFFFF FFFFFFFF 01 = 0000000F 01 +3E 0FE FFFFFFFF FFFFFFFF 10 = 0000000F 10 +3E 0FF FFFFFFFF FFFFFFFF 11 = 0000000F 11 +rolnib ---D---- ---S---- CZ = ---Q---- CZ +3F 000 00000000 00000000 00 = 00000000 00 +3F 001 00000000 00000000 01 = 00000000 01 +3F 002 00000000 00000000 10 = 00000000 10 +3F 003 00000000 00000000 11 = 00000000 11 +3F 004 00000001 00000000 00 = 00000010 00 +3F 005 00000001 00000000 01 = 00000010 01 +3F 006 00000001 00000000 10 = 00000010 10 +3F 007 00000001 00000000 11 = 00000010 11 +3F 008 00000002 00000000 00 = 00000020 00 +3F 009 00000002 00000000 01 = 00000020 01 +3F 00A 00000002 00000000 10 = 00000020 10 +3F 00B 00000002 00000000 11 = 00000020 11 +3F 00C 7FFFFFFF 00000000 00 = FFFFFFF0 00 +3F 00D 7FFFFFFF 00000000 01 = FFFFFFF0 01 +3F 00E 7FFFFFFF 00000000 10 = FFFFFFF0 10 +3F 00F 7FFFFFFF 00000000 11 = FFFFFFF0 11 +3F 010 80000000 00000000 00 = 00000000 00 +3F 011 80000000 00000000 01 = 00000000 01 +3F 012 80000000 00000000 10 = 00000000 10 +3F 013 80000000 00000000 11 = 00000000 11 +3F 014 80000001 00000000 00 = 00000010 00 +3F 015 80000001 00000000 01 = 00000010 01 +3F 016 80000001 00000000 10 = 00000010 10 +3F 017 80000001 00000000 11 = 00000010 11 +3F 018 FFFFFFFE 00000000 00 = FFFFFFE0 00 +3F 019 FFFFFFFE 00000000 01 = FFFFFFE0 01 +3F 01A FFFFFFFE 00000000 10 = FFFFFFE0 10 +3F 01B FFFFFFFE 00000000 11 = FFFFFFE0 11 +3F 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 +3F 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 +3F 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 +3F 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 +3F 020 00000000 00000001 00 = 00000000 00 +3F 021 00000000 00000001 01 = 00000000 01 +3F 022 00000000 00000001 10 = 00000000 10 +3F 023 00000000 00000001 11 = 00000000 11 +3F 024 00000001 00000001 00 = 00000010 00 +3F 025 00000001 00000001 01 = 00000010 01 +3F 026 00000001 00000001 10 = 00000010 10 +3F 027 00000001 00000001 11 = 00000010 11 +3F 028 00000002 00000001 00 = 00000020 00 +3F 029 00000002 00000001 01 = 00000020 01 +3F 02A 00000002 00000001 10 = 00000020 10 +3F 02B 00000002 00000001 11 = 00000020 11 +3F 02C 7FFFFFFF 00000001 00 = FFFFFFF0 00 +3F 02D 7FFFFFFF 00000001 01 = FFFFFFF0 01 +3F 02E 7FFFFFFF 00000001 10 = FFFFFFF0 10 +3F 02F 7FFFFFFF 00000001 11 = FFFFFFF0 11 +3F 030 80000000 00000001 00 = 00000000 00 +3F 031 80000000 00000001 01 = 00000000 01 +3F 032 80000000 00000001 10 = 00000000 10 +3F 033 80000000 00000001 11 = 00000000 11 +3F 034 80000001 00000001 00 = 00000010 00 +3F 035 80000001 00000001 01 = 00000010 01 +3F 036 80000001 00000001 10 = 00000010 10 +3F 037 80000001 00000001 11 = 00000010 11 +3F 038 FFFFFFFE 00000001 00 = FFFFFFE0 00 +3F 039 FFFFFFFE 00000001 01 = FFFFFFE0 01 +3F 03A FFFFFFFE 00000001 10 = FFFFFFE0 10 +3F 03B FFFFFFFE 00000001 11 = FFFFFFE0 11 +3F 03C FFFFFFFF 00000001 00 = FFFFFFF0 00 +3F 03D FFFFFFFF 00000001 01 = FFFFFFF0 01 +3F 03E FFFFFFFF 00000001 10 = FFFFFFF0 10 +3F 03F FFFFFFFF 00000001 11 = FFFFFFF0 11 +3F 040 00000000 00000002 00 = 00000000 00 +3F 041 00000000 00000002 01 = 00000000 01 +3F 042 00000000 00000002 10 = 00000000 10 +3F 043 00000000 00000002 11 = 00000000 11 +3F 044 00000001 00000002 00 = 00000010 00 +3F 045 00000001 00000002 01 = 00000010 01 +3F 046 00000001 00000002 10 = 00000010 10 +3F 047 00000001 00000002 11 = 00000010 11 +3F 048 00000002 00000002 00 = 00000020 00 +3F 049 00000002 00000002 01 = 00000020 01 +3F 04A 00000002 00000002 10 = 00000020 10 +3F 04B 00000002 00000002 11 = 00000020 11 +3F 04C 7FFFFFFF 00000002 00 = FFFFFFF0 00 +3F 04D 7FFFFFFF 00000002 01 = FFFFFFF0 01 +3F 04E 7FFFFFFF 00000002 10 = FFFFFFF0 10 +3F 04F 7FFFFFFF 00000002 11 = FFFFFFF0 11 +3F 050 80000000 00000002 00 = 00000000 00 +3F 051 80000000 00000002 01 = 00000000 01 +3F 052 80000000 00000002 10 = 00000000 10 +3F 053 80000000 00000002 11 = 00000000 11 +3F 054 80000001 00000002 00 = 00000010 00 +3F 055 80000001 00000002 01 = 00000010 01 +3F 056 80000001 00000002 10 = 00000010 10 +3F 057 80000001 00000002 11 = 00000010 11 +3F 058 FFFFFFFE 00000002 00 = FFFFFFE0 00 +3F 059 FFFFFFFE 00000002 01 = FFFFFFE0 01 +3F 05A FFFFFFFE 00000002 10 = FFFFFFE0 10 +3F 05B FFFFFFFE 00000002 11 = FFFFFFE0 11 +3F 05C FFFFFFFF 00000002 00 = FFFFFFF0 00 +3F 05D FFFFFFFF 00000002 01 = FFFFFFF0 01 +3F 05E FFFFFFFF 00000002 10 = FFFFFFF0 10 +3F 05F FFFFFFFF 00000002 11 = FFFFFFF0 11 +3F 060 00000000 7FFFFFFF 00 = 0000000F 00 +3F 061 00000000 7FFFFFFF 01 = 0000000F 01 +3F 062 00000000 7FFFFFFF 10 = 0000000F 10 +3F 063 00000000 7FFFFFFF 11 = 0000000F 11 +3F 064 00000001 7FFFFFFF 00 = 0000001F 00 +3F 065 00000001 7FFFFFFF 01 = 0000001F 01 +3F 066 00000001 7FFFFFFF 10 = 0000001F 10 +3F 067 00000001 7FFFFFFF 11 = 0000001F 11 +3F 068 00000002 7FFFFFFF 00 = 0000002F 00 +3F 069 00000002 7FFFFFFF 01 = 0000002F 01 +3F 06A 00000002 7FFFFFFF 10 = 0000002F 10 +3F 06B 00000002 7FFFFFFF 11 = 0000002F 11 +3F 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +3F 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +3F 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +3F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +3F 070 80000000 7FFFFFFF 00 = 0000000F 00 +3F 071 80000000 7FFFFFFF 01 = 0000000F 01 +3F 072 80000000 7FFFFFFF 10 = 0000000F 10 +3F 073 80000000 7FFFFFFF 11 = 0000000F 11 +3F 074 80000001 7FFFFFFF 00 = 0000001F 00 +3F 075 80000001 7FFFFFFF 01 = 0000001F 01 +3F 076 80000001 7FFFFFFF 10 = 0000001F 10 +3F 077 80000001 7FFFFFFF 11 = 0000001F 11 +3F 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFEF 00 +3F 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFEF 01 +3F 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFEF 10 +3F 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFEF 11 +3F 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +3F 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +3F 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +3F 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +3F 080 00000000 80000000 00 = 00000000 00 +3F 081 00000000 80000000 01 = 00000000 01 +3F 082 00000000 80000000 10 = 00000000 10 +3F 083 00000000 80000000 11 = 00000000 11 +3F 084 00000001 80000000 00 = 00000010 00 +3F 085 00000001 80000000 01 = 00000010 01 +3F 086 00000001 80000000 10 = 00000010 10 +3F 087 00000001 80000000 11 = 00000010 11 +3F 088 00000002 80000000 00 = 00000020 00 +3F 089 00000002 80000000 01 = 00000020 01 +3F 08A 00000002 80000000 10 = 00000020 10 +3F 08B 00000002 80000000 11 = 00000020 11 +3F 08C 7FFFFFFF 80000000 00 = FFFFFFF0 00 +3F 08D 7FFFFFFF 80000000 01 = FFFFFFF0 01 +3F 08E 7FFFFFFF 80000000 10 = FFFFFFF0 10 +3F 08F 7FFFFFFF 80000000 11 = FFFFFFF0 11 +3F 090 80000000 80000000 00 = 00000000 00 +3F 091 80000000 80000000 01 = 00000000 01 +3F 092 80000000 80000000 10 = 00000000 10 +3F 093 80000000 80000000 11 = 00000000 11 +3F 094 80000001 80000000 00 = 00000010 00 +3F 095 80000001 80000000 01 = 00000010 01 +3F 096 80000001 80000000 10 = 00000010 10 +3F 097 80000001 80000000 11 = 00000010 11 +3F 098 FFFFFFFE 80000000 00 = FFFFFFE0 00 +3F 099 FFFFFFFE 80000000 01 = FFFFFFE0 01 +3F 09A FFFFFFFE 80000000 10 = FFFFFFE0 10 +3F 09B FFFFFFFE 80000000 11 = FFFFFFE0 11 +3F 09C FFFFFFFF 80000000 00 = FFFFFFF0 00 +3F 09D FFFFFFFF 80000000 01 = FFFFFFF0 01 +3F 09E FFFFFFFF 80000000 10 = FFFFFFF0 10 +3F 09F FFFFFFFF 80000000 11 = FFFFFFF0 11 +3F 0A0 00000000 80000001 00 = 00000000 00 +3F 0A1 00000000 80000001 01 = 00000000 01 +3F 0A2 00000000 80000001 10 = 00000000 10 +3F 0A3 00000000 80000001 11 = 00000000 11 +3F 0A4 00000001 80000001 00 = 00000010 00 +3F 0A5 00000001 80000001 01 = 00000010 01 +3F 0A6 00000001 80000001 10 = 00000010 10 +3F 0A7 00000001 80000001 11 = 00000010 11 +3F 0A8 00000002 80000001 00 = 00000020 00 +3F 0A9 00000002 80000001 01 = 00000020 01 +3F 0AA 00000002 80000001 10 = 00000020 10 +3F 0AB 00000002 80000001 11 = 00000020 11 +3F 0AC 7FFFFFFF 80000001 00 = FFFFFFF0 00 +3F 0AD 7FFFFFFF 80000001 01 = FFFFFFF0 01 +3F 0AE 7FFFFFFF 80000001 10 = FFFFFFF0 10 +3F 0AF 7FFFFFFF 80000001 11 = FFFFFFF0 11 +3F 0B0 80000000 80000001 00 = 00000000 00 +3F 0B1 80000000 80000001 01 = 00000000 01 +3F 0B2 80000000 80000001 10 = 00000000 10 +3F 0B3 80000000 80000001 11 = 00000000 11 +3F 0B4 80000001 80000001 00 = 00000010 00 +3F 0B5 80000001 80000001 01 = 00000010 01 +3F 0B6 80000001 80000001 10 = 00000010 10 +3F 0B7 80000001 80000001 11 = 00000010 11 +3F 0B8 FFFFFFFE 80000001 00 = FFFFFFE0 00 +3F 0B9 FFFFFFFE 80000001 01 = FFFFFFE0 01 +3F 0BA FFFFFFFE 80000001 10 = FFFFFFE0 10 +3F 0BB FFFFFFFE 80000001 11 = FFFFFFE0 11 +3F 0BC FFFFFFFF 80000001 00 = FFFFFFF0 00 +3F 0BD FFFFFFFF 80000001 01 = FFFFFFF0 01 +3F 0BE FFFFFFFF 80000001 10 = FFFFFFF0 10 +3F 0BF FFFFFFFF 80000001 11 = FFFFFFF0 11 +3F 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +3F 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +3F 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +3F 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +3F 0C4 00000001 FFFFFFFE 00 = 0000001F 00 +3F 0C5 00000001 FFFFFFFE 01 = 0000001F 01 +3F 0C6 00000001 FFFFFFFE 10 = 0000001F 10 +3F 0C7 00000001 FFFFFFFE 11 = 0000001F 11 +3F 0C8 00000002 FFFFFFFE 00 = 0000002F 00 +3F 0C9 00000002 FFFFFFFE 01 = 0000002F 01 +3F 0CA 00000002 FFFFFFFE 10 = 0000002F 10 +3F 0CB 00000002 FFFFFFFE 11 = 0000002F 11 +3F 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +3F 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +3F 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +3F 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +3F 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +3F 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +3F 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +3F 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +3F 0D4 80000001 FFFFFFFE 00 = 0000001F 00 +3F 0D5 80000001 FFFFFFFE 01 = 0000001F 01 +3F 0D6 80000001 FFFFFFFE 10 = 0000001F 10 +3F 0D7 80000001 FFFFFFFE 11 = 0000001F 11 +3F 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEF 00 +3F 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEF 01 +3F 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEF 10 +3F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEF 11 +3F 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +3F 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +3F 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +3F 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +3F 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +3F 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +3F 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +3F 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +3F 0E4 00000001 FFFFFFFF 00 = 0000001F 00 +3F 0E5 00000001 FFFFFFFF 01 = 0000001F 01 +3F 0E6 00000001 FFFFFFFF 10 = 0000001F 10 +3F 0E7 00000001 FFFFFFFF 11 = 0000001F 11 +3F 0E8 00000002 FFFFFFFF 00 = 0000002F 00 +3F 0E9 00000002 FFFFFFFF 01 = 0000002F 01 +3F 0EA 00000002 FFFFFFFF 10 = 0000002F 10 +3F 0EB 00000002 FFFFFFFF 11 = 0000002F 11 +3F 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3F 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +3F 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +3F 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +3F 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +3F 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +3F 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +3F 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +3F 0F4 80000001 FFFFFFFF 00 = 0000001F 00 +3F 0F5 80000001 FFFFFFFF 01 = 0000001F 01 +3F 0F6 80000001 FFFFFFFF 10 = 0000001F 10 +3F 0F7 80000001 FFFFFFFF 11 = 0000001F 11 +3F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFEF 00 +3F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFEF 01 +3F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFEF 10 +3F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFEF 11 +3F 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +3F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +3F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setbyte ---D---- ---S---- CZ = ---Q---- CZ +40 000 00000000 00000000 00 = 00000000 00 +40 001 00000000 00000000 01 = 00000000 01 +40 002 00000000 00000000 10 = 00000000 10 +40 003 00000000 00000000 11 = 00000000 11 +40 004 00000001 00000000 00 = 00000001 00 +40 005 00000001 00000000 01 = 00000001 01 +40 006 00000001 00000000 10 = 00000001 10 +40 007 00000001 00000000 11 = 00000001 11 +40 008 00000002 00000000 00 = 00000002 00 +40 009 00000002 00000000 01 = 00000002 01 +40 00A 00000002 00000000 10 = 00000002 10 +40 00B 00000002 00000000 11 = 00000002 11 +40 00C 7FFFFFFF 00000000 00 = 00FFFFFF 00 +40 00D 7FFFFFFF 00000000 01 = 00FFFFFF 01 +40 00E 7FFFFFFF 00000000 10 = 00FFFFFF 10 +40 00F 7FFFFFFF 00000000 11 = 00FFFFFF 11 +40 010 80000000 00000000 00 = 00000000 00 +40 011 80000000 00000000 01 = 00000000 01 +40 012 80000000 00000000 10 = 00000000 10 +40 013 80000000 00000000 11 = 00000000 11 +40 014 80000001 00000000 00 = 00000001 00 +40 015 80000001 00000000 01 = 00000001 01 +40 016 80000001 00000000 10 = 00000001 10 +40 017 80000001 00000000 11 = 00000001 11 +40 018 FFFFFFFE 00000000 00 = 00FFFFFE 00 +40 019 FFFFFFFE 00000000 01 = 00FFFFFE 01 +40 01A FFFFFFFE 00000000 10 = 00FFFFFE 10 +40 01B FFFFFFFE 00000000 11 = 00FFFFFE 11 +40 01C FFFFFFFF 00000000 00 = 00FFFFFF 00 +40 01D FFFFFFFF 00000000 01 = 00FFFFFF 01 +40 01E FFFFFFFF 00000000 10 = 00FFFFFF 10 +40 01F FFFFFFFF 00000000 11 = 00FFFFFF 11 +40 020 00000000 00000001 00 = 01000000 00 +40 021 00000000 00000001 01 = 01000000 01 +40 022 00000000 00000001 10 = 01000000 10 +40 023 00000000 00000001 11 = 01000000 11 +40 024 00000001 00000001 00 = 01000001 00 +40 025 00000001 00000001 01 = 01000001 01 +40 026 00000001 00000001 10 = 01000001 10 +40 027 00000001 00000001 11 = 01000001 11 +40 028 00000002 00000001 00 = 01000002 00 +40 029 00000002 00000001 01 = 01000002 01 +40 02A 00000002 00000001 10 = 01000002 10 +40 02B 00000002 00000001 11 = 01000002 11 +40 02C 7FFFFFFF 00000001 00 = 01FFFFFF 00 +40 02D 7FFFFFFF 00000001 01 = 01FFFFFF 01 +40 02E 7FFFFFFF 00000001 10 = 01FFFFFF 10 +40 02F 7FFFFFFF 00000001 11 = 01FFFFFF 11 +40 030 80000000 00000001 00 = 01000000 00 +40 031 80000000 00000001 01 = 01000000 01 +40 032 80000000 00000001 10 = 01000000 10 +40 033 80000000 00000001 11 = 01000000 11 +40 034 80000001 00000001 00 = 01000001 00 +40 035 80000001 00000001 01 = 01000001 01 +40 036 80000001 00000001 10 = 01000001 10 +40 037 80000001 00000001 11 = 01000001 11 +40 038 FFFFFFFE 00000001 00 = 01FFFFFE 00 +40 039 FFFFFFFE 00000001 01 = 01FFFFFE 01 +40 03A FFFFFFFE 00000001 10 = 01FFFFFE 10 +40 03B FFFFFFFE 00000001 11 = 01FFFFFE 11 +40 03C FFFFFFFF 00000001 00 = 01FFFFFF 00 +40 03D FFFFFFFF 00000001 01 = 01FFFFFF 01 +40 03E FFFFFFFF 00000001 10 = 01FFFFFF 10 +40 03F FFFFFFFF 00000001 11 = 01FFFFFF 11 +40 040 00000000 00000002 00 = 02000000 00 +40 041 00000000 00000002 01 = 02000000 01 +40 042 00000000 00000002 10 = 02000000 10 +40 043 00000000 00000002 11 = 02000000 11 +40 044 00000001 00000002 00 = 02000001 00 +40 045 00000001 00000002 01 = 02000001 01 +40 046 00000001 00000002 10 = 02000001 10 +40 047 00000001 00000002 11 = 02000001 11 +40 048 00000002 00000002 00 = 02000002 00 +40 049 00000002 00000002 01 = 02000002 01 +40 04A 00000002 00000002 10 = 02000002 10 +40 04B 00000002 00000002 11 = 02000002 11 +40 04C 7FFFFFFF 00000002 00 = 02FFFFFF 00 +40 04D 7FFFFFFF 00000002 01 = 02FFFFFF 01 +40 04E 7FFFFFFF 00000002 10 = 02FFFFFF 10 +40 04F 7FFFFFFF 00000002 11 = 02FFFFFF 11 +40 050 80000000 00000002 00 = 02000000 00 +40 051 80000000 00000002 01 = 02000000 01 +40 052 80000000 00000002 10 = 02000000 10 +40 053 80000000 00000002 11 = 02000000 11 +40 054 80000001 00000002 00 = 02000001 00 +40 055 80000001 00000002 01 = 02000001 01 +40 056 80000001 00000002 10 = 02000001 10 +40 057 80000001 00000002 11 = 02000001 11 +40 058 FFFFFFFE 00000002 00 = 02FFFFFE 00 +40 059 FFFFFFFE 00000002 01 = 02FFFFFE 01 +40 05A FFFFFFFE 00000002 10 = 02FFFFFE 10 +40 05B FFFFFFFE 00000002 11 = 02FFFFFE 11 +40 05C FFFFFFFF 00000002 00 = 02FFFFFF 00 +40 05D FFFFFFFF 00000002 01 = 02FFFFFF 01 +40 05E FFFFFFFF 00000002 10 = 02FFFFFF 10 +40 05F FFFFFFFF 00000002 11 = 02FFFFFF 11 +40 060 00000000 7FFFFFFF 00 = FF000000 00 +40 061 00000000 7FFFFFFF 01 = FF000000 01 +40 062 00000000 7FFFFFFF 10 = FF000000 10 +40 063 00000000 7FFFFFFF 11 = FF000000 11 +40 064 00000001 7FFFFFFF 00 = FF000001 00 +40 065 00000001 7FFFFFFF 01 = FF000001 01 +40 066 00000001 7FFFFFFF 10 = FF000001 10 +40 067 00000001 7FFFFFFF 11 = FF000001 11 +40 068 00000002 7FFFFFFF 00 = FF000002 00 +40 069 00000002 7FFFFFFF 01 = FF000002 01 +40 06A 00000002 7FFFFFFF 10 = FF000002 10 +40 06B 00000002 7FFFFFFF 11 = FF000002 11 +40 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +40 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +40 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +40 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +40 070 80000000 7FFFFFFF 00 = FF000000 00 +40 071 80000000 7FFFFFFF 01 = FF000000 01 +40 072 80000000 7FFFFFFF 10 = FF000000 10 +40 073 80000000 7FFFFFFF 11 = FF000000 11 +40 074 80000001 7FFFFFFF 00 = FF000001 00 +40 075 80000001 7FFFFFFF 01 = FF000001 01 +40 076 80000001 7FFFFFFF 10 = FF000001 10 +40 077 80000001 7FFFFFFF 11 = FF000001 11 +40 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +40 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +40 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +40 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +40 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +40 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +40 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +40 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +40 080 00000000 80000000 00 = 00000000 00 +40 081 00000000 80000000 01 = 00000000 01 +40 082 00000000 80000000 10 = 00000000 10 +40 083 00000000 80000000 11 = 00000000 11 +40 084 00000001 80000000 00 = 00000001 00 +40 085 00000001 80000000 01 = 00000001 01 +40 086 00000001 80000000 10 = 00000001 10 +40 087 00000001 80000000 11 = 00000001 11 +40 088 00000002 80000000 00 = 00000002 00 +40 089 00000002 80000000 01 = 00000002 01 +40 08A 00000002 80000000 10 = 00000002 10 +40 08B 00000002 80000000 11 = 00000002 11 +40 08C 7FFFFFFF 80000000 00 = 00FFFFFF 00 +40 08D 7FFFFFFF 80000000 01 = 00FFFFFF 01 +40 08E 7FFFFFFF 80000000 10 = 00FFFFFF 10 +40 08F 7FFFFFFF 80000000 11 = 00FFFFFF 11 +40 090 80000000 80000000 00 = 00000000 00 +40 091 80000000 80000000 01 = 00000000 01 +40 092 80000000 80000000 10 = 00000000 10 +40 093 80000000 80000000 11 = 00000000 11 +40 094 80000001 80000000 00 = 00000001 00 +40 095 80000001 80000000 01 = 00000001 01 +40 096 80000001 80000000 10 = 00000001 10 +40 097 80000001 80000000 11 = 00000001 11 +40 098 FFFFFFFE 80000000 00 = 00FFFFFE 00 +40 099 FFFFFFFE 80000000 01 = 00FFFFFE 01 +40 09A FFFFFFFE 80000000 10 = 00FFFFFE 10 +40 09B FFFFFFFE 80000000 11 = 00FFFFFE 11 +40 09C FFFFFFFF 80000000 00 = 00FFFFFF 00 +40 09D FFFFFFFF 80000000 01 = 00FFFFFF 01 +40 09E FFFFFFFF 80000000 10 = 00FFFFFF 10 +40 09F FFFFFFFF 80000000 11 = 00FFFFFF 11 +40 0A0 00000000 80000001 00 = 01000000 00 +40 0A1 00000000 80000001 01 = 01000000 01 +40 0A2 00000000 80000001 10 = 01000000 10 +40 0A3 00000000 80000001 11 = 01000000 11 +40 0A4 00000001 80000001 00 = 01000001 00 +40 0A5 00000001 80000001 01 = 01000001 01 +40 0A6 00000001 80000001 10 = 01000001 10 +40 0A7 00000001 80000001 11 = 01000001 11 +40 0A8 00000002 80000001 00 = 01000002 00 +40 0A9 00000002 80000001 01 = 01000002 01 +40 0AA 00000002 80000001 10 = 01000002 10 +40 0AB 00000002 80000001 11 = 01000002 11 +40 0AC 7FFFFFFF 80000001 00 = 01FFFFFF 00 +40 0AD 7FFFFFFF 80000001 01 = 01FFFFFF 01 +40 0AE 7FFFFFFF 80000001 10 = 01FFFFFF 10 +40 0AF 7FFFFFFF 80000001 11 = 01FFFFFF 11 +40 0B0 80000000 80000001 00 = 01000000 00 +40 0B1 80000000 80000001 01 = 01000000 01 +40 0B2 80000000 80000001 10 = 01000000 10 +40 0B3 80000000 80000001 11 = 01000000 11 +40 0B4 80000001 80000001 00 = 01000001 00 +40 0B5 80000001 80000001 01 = 01000001 01 +40 0B6 80000001 80000001 10 = 01000001 10 +40 0B7 80000001 80000001 11 = 01000001 11 +40 0B8 FFFFFFFE 80000001 00 = 01FFFFFE 00 +40 0B9 FFFFFFFE 80000001 01 = 01FFFFFE 01 +40 0BA FFFFFFFE 80000001 10 = 01FFFFFE 10 +40 0BB FFFFFFFE 80000001 11 = 01FFFFFE 11 +40 0BC FFFFFFFF 80000001 00 = 01FFFFFF 00 +40 0BD FFFFFFFF 80000001 01 = 01FFFFFF 01 +40 0BE FFFFFFFF 80000001 10 = 01FFFFFF 10 +40 0BF FFFFFFFF 80000001 11 = 01FFFFFF 11 +40 0C0 00000000 FFFFFFFE 00 = FE000000 00 +40 0C1 00000000 FFFFFFFE 01 = FE000000 01 +40 0C2 00000000 FFFFFFFE 10 = FE000000 10 +40 0C3 00000000 FFFFFFFE 11 = FE000000 11 +40 0C4 00000001 FFFFFFFE 00 = FE000001 00 +40 0C5 00000001 FFFFFFFE 01 = FE000001 01 +40 0C6 00000001 FFFFFFFE 10 = FE000001 10 +40 0C7 00000001 FFFFFFFE 11 = FE000001 11 +40 0C8 00000002 FFFFFFFE 00 = FE000002 00 +40 0C9 00000002 FFFFFFFE 01 = FE000002 01 +40 0CA 00000002 FFFFFFFE 10 = FE000002 10 +40 0CB 00000002 FFFFFFFE 11 = FE000002 11 +40 0CC 7FFFFFFF FFFFFFFE 00 = FEFFFFFF 00 +40 0CD 7FFFFFFF FFFFFFFE 01 = FEFFFFFF 01 +40 0CE 7FFFFFFF FFFFFFFE 10 = FEFFFFFF 10 +40 0CF 7FFFFFFF FFFFFFFE 11 = FEFFFFFF 11 +40 0D0 80000000 FFFFFFFE 00 = FE000000 00 +40 0D1 80000000 FFFFFFFE 01 = FE000000 01 +40 0D2 80000000 FFFFFFFE 10 = FE000000 10 +40 0D3 80000000 FFFFFFFE 11 = FE000000 11 +40 0D4 80000001 FFFFFFFE 00 = FE000001 00 +40 0D5 80000001 FFFFFFFE 01 = FE000001 01 +40 0D6 80000001 FFFFFFFE 10 = FE000001 10 +40 0D7 80000001 FFFFFFFE 11 = FE000001 11 +40 0D8 FFFFFFFE FFFFFFFE 00 = FEFFFFFE 00 +40 0D9 FFFFFFFE FFFFFFFE 01 = FEFFFFFE 01 +40 0DA FFFFFFFE FFFFFFFE 10 = FEFFFFFE 10 +40 0DB FFFFFFFE FFFFFFFE 11 = FEFFFFFE 11 +40 0DC FFFFFFFF FFFFFFFE 00 = FEFFFFFF 00 +40 0DD FFFFFFFF FFFFFFFE 01 = FEFFFFFF 01 +40 0DE FFFFFFFF FFFFFFFE 10 = FEFFFFFF 10 +40 0DF FFFFFFFF FFFFFFFE 11 = FEFFFFFF 11 +40 0E0 00000000 FFFFFFFF 00 = FF000000 00 +40 0E1 00000000 FFFFFFFF 01 = FF000000 01 +40 0E2 00000000 FFFFFFFF 10 = FF000000 10 +40 0E3 00000000 FFFFFFFF 11 = FF000000 11 +40 0E4 00000001 FFFFFFFF 00 = FF000001 00 +40 0E5 00000001 FFFFFFFF 01 = FF000001 01 +40 0E6 00000001 FFFFFFFF 10 = FF000001 10 +40 0E7 00000001 FFFFFFFF 11 = FF000001 11 +40 0E8 00000002 FFFFFFFF 00 = FF000002 00 +40 0E9 00000002 FFFFFFFF 01 = FF000002 01 +40 0EA 00000002 FFFFFFFF 10 = FF000002 10 +40 0EB 00000002 FFFFFFFF 11 = FF000002 11 +40 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +40 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +40 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +40 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +40 0F0 80000000 FFFFFFFF 00 = FF000000 00 +40 0F1 80000000 FFFFFFFF 01 = FF000000 01 +40 0F2 80000000 FFFFFFFF 10 = FF000000 10 +40 0F3 80000000 FFFFFFFF 11 = FF000000 11 +40 0F4 80000001 FFFFFFFF 00 = FF000001 00 +40 0F5 80000001 FFFFFFFF 01 = FF000001 01 +40 0F6 80000001 FFFFFFFF 10 = FF000001 10 +40 0F7 80000001 FFFFFFFF 11 = FF000001 11 +40 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +40 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +40 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +40 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +40 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +40 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +40 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +40 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +getbyte ---D---- ---S---- CZ = ---Q---- CZ +41 000 00000000 00000000 00 = 00000000 00 +41 001 00000000 00000000 01 = 00000000 01 +41 002 00000000 00000000 10 = 00000000 10 +41 003 00000000 00000000 11 = 00000000 11 +41 004 00000001 00000000 00 = 00000000 00 +41 005 00000001 00000000 01 = 00000000 01 +41 006 00000001 00000000 10 = 00000000 10 +41 007 00000001 00000000 11 = 00000000 11 +41 008 00000002 00000000 00 = 00000000 00 +41 009 00000002 00000000 01 = 00000000 01 +41 00A 00000002 00000000 10 = 00000000 10 +41 00B 00000002 00000000 11 = 00000000 11 +41 00C 7FFFFFFF 00000000 00 = 00000000 00 +41 00D 7FFFFFFF 00000000 01 = 00000000 01 +41 00E 7FFFFFFF 00000000 10 = 00000000 10 +41 00F 7FFFFFFF 00000000 11 = 00000000 11 +41 010 80000000 00000000 00 = 00000000 00 +41 011 80000000 00000000 01 = 00000000 01 +41 012 80000000 00000000 10 = 00000000 10 +41 013 80000000 00000000 11 = 00000000 11 +41 014 80000001 00000000 00 = 00000000 00 +41 015 80000001 00000000 01 = 00000000 01 +41 016 80000001 00000000 10 = 00000000 10 +41 017 80000001 00000000 11 = 00000000 11 +41 018 FFFFFFFE 00000000 00 = 00000000 00 +41 019 FFFFFFFE 00000000 01 = 00000000 01 +41 01A FFFFFFFE 00000000 10 = 00000000 10 +41 01B FFFFFFFE 00000000 11 = 00000000 11 +41 01C FFFFFFFF 00000000 00 = 00000000 00 +41 01D FFFFFFFF 00000000 01 = 00000000 01 +41 01E FFFFFFFF 00000000 10 = 00000000 10 +41 01F FFFFFFFF 00000000 11 = 00000000 11 +41 020 00000000 00000001 00 = 00000000 00 +41 021 00000000 00000001 01 = 00000000 01 +41 022 00000000 00000001 10 = 00000000 10 +41 023 00000000 00000001 11 = 00000000 11 +41 024 00000001 00000001 00 = 00000000 00 +41 025 00000001 00000001 01 = 00000000 01 +41 026 00000001 00000001 10 = 00000000 10 +41 027 00000001 00000001 11 = 00000000 11 +41 028 00000002 00000001 00 = 00000000 00 +41 029 00000002 00000001 01 = 00000000 01 +41 02A 00000002 00000001 10 = 00000000 10 +41 02B 00000002 00000001 11 = 00000000 11 +41 02C 7FFFFFFF 00000001 00 = 00000000 00 +41 02D 7FFFFFFF 00000001 01 = 00000000 01 +41 02E 7FFFFFFF 00000001 10 = 00000000 10 +41 02F 7FFFFFFF 00000001 11 = 00000000 11 +41 030 80000000 00000001 00 = 00000000 00 +41 031 80000000 00000001 01 = 00000000 01 +41 032 80000000 00000001 10 = 00000000 10 +41 033 80000000 00000001 11 = 00000000 11 +41 034 80000001 00000001 00 = 00000000 00 +41 035 80000001 00000001 01 = 00000000 01 +41 036 80000001 00000001 10 = 00000000 10 +41 037 80000001 00000001 11 = 00000000 11 +41 038 FFFFFFFE 00000001 00 = 00000000 00 +41 039 FFFFFFFE 00000001 01 = 00000000 01 +41 03A FFFFFFFE 00000001 10 = 00000000 10 +41 03B FFFFFFFE 00000001 11 = 00000000 11 +41 03C FFFFFFFF 00000001 00 = 00000000 00 +41 03D FFFFFFFF 00000001 01 = 00000000 01 +41 03E FFFFFFFF 00000001 10 = 00000000 10 +41 03F FFFFFFFF 00000001 11 = 00000000 11 +41 040 00000000 00000002 00 = 00000000 00 +41 041 00000000 00000002 01 = 00000000 01 +41 042 00000000 00000002 10 = 00000000 10 +41 043 00000000 00000002 11 = 00000000 11 +41 044 00000001 00000002 00 = 00000000 00 +41 045 00000001 00000002 01 = 00000000 01 +41 046 00000001 00000002 10 = 00000000 10 +41 047 00000001 00000002 11 = 00000000 11 +41 048 00000002 00000002 00 = 00000000 00 +41 049 00000002 00000002 01 = 00000000 01 +41 04A 00000002 00000002 10 = 00000000 10 +41 04B 00000002 00000002 11 = 00000000 11 +41 04C 7FFFFFFF 00000002 00 = 00000000 00 +41 04D 7FFFFFFF 00000002 01 = 00000000 01 +41 04E 7FFFFFFF 00000002 10 = 00000000 10 +41 04F 7FFFFFFF 00000002 11 = 00000000 11 +41 050 80000000 00000002 00 = 00000000 00 +41 051 80000000 00000002 01 = 00000000 01 +41 052 80000000 00000002 10 = 00000000 10 +41 053 80000000 00000002 11 = 00000000 11 +41 054 80000001 00000002 00 = 00000000 00 +41 055 80000001 00000002 01 = 00000000 01 +41 056 80000001 00000002 10 = 00000000 10 +41 057 80000001 00000002 11 = 00000000 11 +41 058 FFFFFFFE 00000002 00 = 00000000 00 +41 059 FFFFFFFE 00000002 01 = 00000000 01 +41 05A FFFFFFFE 00000002 10 = 00000000 10 +41 05B FFFFFFFE 00000002 11 = 00000000 11 +41 05C FFFFFFFF 00000002 00 = 00000000 00 +41 05D FFFFFFFF 00000002 01 = 00000000 01 +41 05E FFFFFFFF 00000002 10 = 00000000 10 +41 05F FFFFFFFF 00000002 11 = 00000000 11 +41 060 00000000 7FFFFFFF 00 = 0000007F 00 +41 061 00000000 7FFFFFFF 01 = 0000007F 01 +41 062 00000000 7FFFFFFF 10 = 0000007F 10 +41 063 00000000 7FFFFFFF 11 = 0000007F 11 +41 064 00000001 7FFFFFFF 00 = 0000007F 00 +41 065 00000001 7FFFFFFF 01 = 0000007F 01 +41 066 00000001 7FFFFFFF 10 = 0000007F 10 +41 067 00000001 7FFFFFFF 11 = 0000007F 11 +41 068 00000002 7FFFFFFF 00 = 0000007F 00 +41 069 00000002 7FFFFFFF 01 = 0000007F 01 +41 06A 00000002 7FFFFFFF 10 = 0000007F 10 +41 06B 00000002 7FFFFFFF 11 = 0000007F 11 +41 06C 7FFFFFFF 7FFFFFFF 00 = 0000007F 00 +41 06D 7FFFFFFF 7FFFFFFF 01 = 0000007F 01 +41 06E 7FFFFFFF 7FFFFFFF 10 = 0000007F 10 +41 06F 7FFFFFFF 7FFFFFFF 11 = 0000007F 11 +41 070 80000000 7FFFFFFF 00 = 0000007F 00 +41 071 80000000 7FFFFFFF 01 = 0000007F 01 +41 072 80000000 7FFFFFFF 10 = 0000007F 10 +41 073 80000000 7FFFFFFF 11 = 0000007F 11 +41 074 80000001 7FFFFFFF 00 = 0000007F 00 +41 075 80000001 7FFFFFFF 01 = 0000007F 01 +41 076 80000001 7FFFFFFF 10 = 0000007F 10 +41 077 80000001 7FFFFFFF 11 = 0000007F 11 +41 078 FFFFFFFE 7FFFFFFF 00 = 0000007F 00 +41 079 FFFFFFFE 7FFFFFFF 01 = 0000007F 01 +41 07A FFFFFFFE 7FFFFFFF 10 = 0000007F 10 +41 07B FFFFFFFE 7FFFFFFF 11 = 0000007F 11 +41 07C FFFFFFFF 7FFFFFFF 00 = 0000007F 00 +41 07D FFFFFFFF 7FFFFFFF 01 = 0000007F 01 +41 07E FFFFFFFF 7FFFFFFF 10 = 0000007F 10 +41 07F FFFFFFFF 7FFFFFFF 11 = 0000007F 11 +41 080 00000000 80000000 00 = 00000080 00 +41 081 00000000 80000000 01 = 00000080 01 +41 082 00000000 80000000 10 = 00000080 10 +41 083 00000000 80000000 11 = 00000080 11 +41 084 00000001 80000000 00 = 00000080 00 +41 085 00000001 80000000 01 = 00000080 01 +41 086 00000001 80000000 10 = 00000080 10 +41 087 00000001 80000000 11 = 00000080 11 +41 088 00000002 80000000 00 = 00000080 00 +41 089 00000002 80000000 01 = 00000080 01 +41 08A 00000002 80000000 10 = 00000080 10 +41 08B 00000002 80000000 11 = 00000080 11 +41 08C 7FFFFFFF 80000000 00 = 00000080 00 +41 08D 7FFFFFFF 80000000 01 = 00000080 01 +41 08E 7FFFFFFF 80000000 10 = 00000080 10 +41 08F 7FFFFFFF 80000000 11 = 00000080 11 +41 090 80000000 80000000 00 = 00000080 00 +41 091 80000000 80000000 01 = 00000080 01 +41 092 80000000 80000000 10 = 00000080 10 +41 093 80000000 80000000 11 = 00000080 11 +41 094 80000001 80000000 00 = 00000080 00 +41 095 80000001 80000000 01 = 00000080 01 +41 096 80000001 80000000 10 = 00000080 10 +41 097 80000001 80000000 11 = 00000080 11 +41 098 FFFFFFFE 80000000 00 = 00000080 00 +41 099 FFFFFFFE 80000000 01 = 00000080 01 +41 09A FFFFFFFE 80000000 10 = 00000080 10 +41 09B FFFFFFFE 80000000 11 = 00000080 11 +41 09C FFFFFFFF 80000000 00 = 00000080 00 +41 09D FFFFFFFF 80000000 01 = 00000080 01 +41 09E FFFFFFFF 80000000 10 = 00000080 10 +41 09F FFFFFFFF 80000000 11 = 00000080 11 +41 0A0 00000000 80000001 00 = 00000080 00 +41 0A1 00000000 80000001 01 = 00000080 01 +41 0A2 00000000 80000001 10 = 00000080 10 +41 0A3 00000000 80000001 11 = 00000080 11 +41 0A4 00000001 80000001 00 = 00000080 00 +41 0A5 00000001 80000001 01 = 00000080 01 +41 0A6 00000001 80000001 10 = 00000080 10 +41 0A7 00000001 80000001 11 = 00000080 11 +41 0A8 00000002 80000001 00 = 00000080 00 +41 0A9 00000002 80000001 01 = 00000080 01 +41 0AA 00000002 80000001 10 = 00000080 10 +41 0AB 00000002 80000001 11 = 00000080 11 +41 0AC 7FFFFFFF 80000001 00 = 00000080 00 +41 0AD 7FFFFFFF 80000001 01 = 00000080 01 +41 0AE 7FFFFFFF 80000001 10 = 00000080 10 +41 0AF 7FFFFFFF 80000001 11 = 00000080 11 +41 0B0 80000000 80000001 00 = 00000080 00 +41 0B1 80000000 80000001 01 = 00000080 01 +41 0B2 80000000 80000001 10 = 00000080 10 +41 0B3 80000000 80000001 11 = 00000080 11 +41 0B4 80000001 80000001 00 = 00000080 00 +41 0B5 80000001 80000001 01 = 00000080 01 +41 0B6 80000001 80000001 10 = 00000080 10 +41 0B7 80000001 80000001 11 = 00000080 11 +41 0B8 FFFFFFFE 80000001 00 = 00000080 00 +41 0B9 FFFFFFFE 80000001 01 = 00000080 01 +41 0BA FFFFFFFE 80000001 10 = 00000080 10 +41 0BB FFFFFFFE 80000001 11 = 00000080 11 +41 0BC FFFFFFFF 80000001 00 = 00000080 00 +41 0BD FFFFFFFF 80000001 01 = 00000080 01 +41 0BE FFFFFFFF 80000001 10 = 00000080 10 +41 0BF FFFFFFFF 80000001 11 = 00000080 11 +41 0C0 00000000 FFFFFFFE 00 = 000000FF 00 +41 0C1 00000000 FFFFFFFE 01 = 000000FF 01 +41 0C2 00000000 FFFFFFFE 10 = 000000FF 10 +41 0C3 00000000 FFFFFFFE 11 = 000000FF 11 +41 0C4 00000001 FFFFFFFE 00 = 000000FF 00 +41 0C5 00000001 FFFFFFFE 01 = 000000FF 01 +41 0C6 00000001 FFFFFFFE 10 = 000000FF 10 +41 0C7 00000001 FFFFFFFE 11 = 000000FF 11 +41 0C8 00000002 FFFFFFFE 00 = 000000FF 00 +41 0C9 00000002 FFFFFFFE 01 = 000000FF 01 +41 0CA 00000002 FFFFFFFE 10 = 000000FF 10 +41 0CB 00000002 FFFFFFFE 11 = 000000FF 11 +41 0CC 7FFFFFFF FFFFFFFE 00 = 000000FF 00 +41 0CD 7FFFFFFF FFFFFFFE 01 = 000000FF 01 +41 0CE 7FFFFFFF FFFFFFFE 10 = 000000FF 10 +41 0CF 7FFFFFFF FFFFFFFE 11 = 000000FF 11 +41 0D0 80000000 FFFFFFFE 00 = 000000FF 00 +41 0D1 80000000 FFFFFFFE 01 = 000000FF 01 +41 0D2 80000000 FFFFFFFE 10 = 000000FF 10 +41 0D3 80000000 FFFFFFFE 11 = 000000FF 11 +41 0D4 80000001 FFFFFFFE 00 = 000000FF 00 +41 0D5 80000001 FFFFFFFE 01 = 000000FF 01 +41 0D6 80000001 FFFFFFFE 10 = 000000FF 10 +41 0D7 80000001 FFFFFFFE 11 = 000000FF 11 +41 0D8 FFFFFFFE FFFFFFFE 00 = 000000FF 00 +41 0D9 FFFFFFFE FFFFFFFE 01 = 000000FF 01 +41 0DA FFFFFFFE FFFFFFFE 10 = 000000FF 10 +41 0DB FFFFFFFE FFFFFFFE 11 = 000000FF 11 +41 0DC FFFFFFFF FFFFFFFE 00 = 000000FF 00 +41 0DD FFFFFFFF FFFFFFFE 01 = 000000FF 01 +41 0DE FFFFFFFF FFFFFFFE 10 = 000000FF 10 +41 0DF FFFFFFFF FFFFFFFE 11 = 000000FF 11 +41 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +41 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +41 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +41 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +41 0E4 00000001 FFFFFFFF 00 = 000000FF 00 +41 0E5 00000001 FFFFFFFF 01 = 000000FF 01 +41 0E6 00000001 FFFFFFFF 10 = 000000FF 10 +41 0E7 00000001 FFFFFFFF 11 = 000000FF 11 +41 0E8 00000002 FFFFFFFF 00 = 000000FF 00 +41 0E9 00000002 FFFFFFFF 01 = 000000FF 01 +41 0EA 00000002 FFFFFFFF 10 = 000000FF 10 +41 0EB 00000002 FFFFFFFF 11 = 000000FF 11 +41 0EC 7FFFFFFF FFFFFFFF 00 = 000000FF 00 +41 0ED 7FFFFFFF FFFFFFFF 01 = 000000FF 01 +41 0EE 7FFFFFFF FFFFFFFF 10 = 000000FF 10 +41 0EF 7FFFFFFF FFFFFFFF 11 = 000000FF 11 +41 0F0 80000000 FFFFFFFF 00 = 000000FF 00 +41 0F1 80000000 FFFFFFFF 01 = 000000FF 01 +41 0F2 80000000 FFFFFFFF 10 = 000000FF 10 +41 0F3 80000000 FFFFFFFF 11 = 000000FF 11 +41 0F4 80000001 FFFFFFFF 00 = 000000FF 00 +41 0F5 80000001 FFFFFFFF 01 = 000000FF 01 +41 0F6 80000001 FFFFFFFF 10 = 000000FF 10 +41 0F7 80000001 FFFFFFFF 11 = 000000FF 11 +41 0F8 FFFFFFFE FFFFFFFF 00 = 000000FF 00 +41 0F9 FFFFFFFE FFFFFFFF 01 = 000000FF 01 +41 0FA FFFFFFFE FFFFFFFF 10 = 000000FF 10 +41 0FB FFFFFFFE FFFFFFFF 11 = 000000FF 11 +41 0FC FFFFFFFF FFFFFFFF 00 = 000000FF 00 +41 0FD FFFFFFFF FFFFFFFF 01 = 000000FF 01 +41 0FE FFFFFFFF FFFFFFFF 10 = 000000FF 10 +41 0FF FFFFFFFF FFFFFFFF 11 = 000000FF 11 +rolbyte ---D---- ---S---- CZ = ---Q---- CZ +42 000 00000000 00000000 00 = 00000000 00 +42 001 00000000 00000000 01 = 00000000 01 +42 002 00000000 00000000 10 = 00000000 10 +42 003 00000000 00000000 11 = 00000000 11 +42 004 00000001 00000000 00 = 00000100 00 +42 005 00000001 00000000 01 = 00000100 01 +42 006 00000001 00000000 10 = 00000100 10 +42 007 00000001 00000000 11 = 00000100 11 +42 008 00000002 00000000 00 = 00000200 00 +42 009 00000002 00000000 01 = 00000200 01 +42 00A 00000002 00000000 10 = 00000200 10 +42 00B 00000002 00000000 11 = 00000200 11 +42 00C 7FFFFFFF 00000000 00 = FFFFFF00 00 +42 00D 7FFFFFFF 00000000 01 = FFFFFF00 01 +42 00E 7FFFFFFF 00000000 10 = FFFFFF00 10 +42 00F 7FFFFFFF 00000000 11 = FFFFFF00 11 +42 010 80000000 00000000 00 = 00000000 00 +42 011 80000000 00000000 01 = 00000000 01 +42 012 80000000 00000000 10 = 00000000 10 +42 013 80000000 00000000 11 = 00000000 11 +42 014 80000001 00000000 00 = 00000100 00 +42 015 80000001 00000000 01 = 00000100 01 +42 016 80000001 00000000 10 = 00000100 10 +42 017 80000001 00000000 11 = 00000100 11 +42 018 FFFFFFFE 00000000 00 = FFFFFE00 00 +42 019 FFFFFFFE 00000000 01 = FFFFFE00 01 +42 01A FFFFFFFE 00000000 10 = FFFFFE00 10 +42 01B FFFFFFFE 00000000 11 = FFFFFE00 11 +42 01C FFFFFFFF 00000000 00 = FFFFFF00 00 +42 01D FFFFFFFF 00000000 01 = FFFFFF00 01 +42 01E FFFFFFFF 00000000 10 = FFFFFF00 10 +42 01F FFFFFFFF 00000000 11 = FFFFFF00 11 +42 020 00000000 00000001 00 = 00000000 00 +42 021 00000000 00000001 01 = 00000000 01 +42 022 00000000 00000001 10 = 00000000 10 +42 023 00000000 00000001 11 = 00000000 11 +42 024 00000001 00000001 00 = 00000100 00 +42 025 00000001 00000001 01 = 00000100 01 +42 026 00000001 00000001 10 = 00000100 10 +42 027 00000001 00000001 11 = 00000100 11 +42 028 00000002 00000001 00 = 00000200 00 +42 029 00000002 00000001 01 = 00000200 01 +42 02A 00000002 00000001 10 = 00000200 10 +42 02B 00000002 00000001 11 = 00000200 11 +42 02C 7FFFFFFF 00000001 00 = FFFFFF00 00 +42 02D 7FFFFFFF 00000001 01 = FFFFFF00 01 +42 02E 7FFFFFFF 00000001 10 = FFFFFF00 10 +42 02F 7FFFFFFF 00000001 11 = FFFFFF00 11 +42 030 80000000 00000001 00 = 00000000 00 +42 031 80000000 00000001 01 = 00000000 01 +42 032 80000000 00000001 10 = 00000000 10 +42 033 80000000 00000001 11 = 00000000 11 +42 034 80000001 00000001 00 = 00000100 00 +42 035 80000001 00000001 01 = 00000100 01 +42 036 80000001 00000001 10 = 00000100 10 +42 037 80000001 00000001 11 = 00000100 11 +42 038 FFFFFFFE 00000001 00 = FFFFFE00 00 +42 039 FFFFFFFE 00000001 01 = FFFFFE00 01 +42 03A FFFFFFFE 00000001 10 = FFFFFE00 10 +42 03B FFFFFFFE 00000001 11 = FFFFFE00 11 +42 03C FFFFFFFF 00000001 00 = FFFFFF00 00 +42 03D FFFFFFFF 00000001 01 = FFFFFF00 01 +42 03E FFFFFFFF 00000001 10 = FFFFFF00 10 +42 03F FFFFFFFF 00000001 11 = FFFFFF00 11 +42 040 00000000 00000002 00 = 00000000 00 +42 041 00000000 00000002 01 = 00000000 01 +42 042 00000000 00000002 10 = 00000000 10 +42 043 00000000 00000002 11 = 00000000 11 +42 044 00000001 00000002 00 = 00000100 00 +42 045 00000001 00000002 01 = 00000100 01 +42 046 00000001 00000002 10 = 00000100 10 +42 047 00000001 00000002 11 = 00000100 11 +42 048 00000002 00000002 00 = 00000200 00 +42 049 00000002 00000002 01 = 00000200 01 +42 04A 00000002 00000002 10 = 00000200 10 +42 04B 00000002 00000002 11 = 00000200 11 +42 04C 7FFFFFFF 00000002 00 = FFFFFF00 00 +42 04D 7FFFFFFF 00000002 01 = FFFFFF00 01 +42 04E 7FFFFFFF 00000002 10 = FFFFFF00 10 +42 04F 7FFFFFFF 00000002 11 = FFFFFF00 11 +42 050 80000000 00000002 00 = 00000000 00 +42 051 80000000 00000002 01 = 00000000 01 +42 052 80000000 00000002 10 = 00000000 10 +42 053 80000000 00000002 11 = 00000000 11 +42 054 80000001 00000002 00 = 00000100 00 +42 055 80000001 00000002 01 = 00000100 01 +42 056 80000001 00000002 10 = 00000100 10 +42 057 80000001 00000002 11 = 00000100 11 +42 058 FFFFFFFE 00000002 00 = FFFFFE00 00 +42 059 FFFFFFFE 00000002 01 = FFFFFE00 01 +42 05A FFFFFFFE 00000002 10 = FFFFFE00 10 +42 05B FFFFFFFE 00000002 11 = FFFFFE00 11 +42 05C FFFFFFFF 00000002 00 = FFFFFF00 00 +42 05D FFFFFFFF 00000002 01 = FFFFFF00 01 +42 05E FFFFFFFF 00000002 10 = FFFFFF00 10 +42 05F FFFFFFFF 00000002 11 = FFFFFF00 11 +42 060 00000000 7FFFFFFF 00 = 0000007F 00 +42 061 00000000 7FFFFFFF 01 = 0000007F 01 +42 062 00000000 7FFFFFFF 10 = 0000007F 10 +42 063 00000000 7FFFFFFF 11 = 0000007F 11 +42 064 00000001 7FFFFFFF 00 = 0000017F 00 +42 065 00000001 7FFFFFFF 01 = 0000017F 01 +42 066 00000001 7FFFFFFF 10 = 0000017F 10 +42 067 00000001 7FFFFFFF 11 = 0000017F 11 +42 068 00000002 7FFFFFFF 00 = 0000027F 00 +42 069 00000002 7FFFFFFF 01 = 0000027F 01 +42 06A 00000002 7FFFFFFF 10 = 0000027F 10 +42 06B 00000002 7FFFFFFF 11 = 0000027F 11 +42 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFF7F 00 +42 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFF7F 01 +42 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFF7F 10 +42 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFF7F 11 +42 070 80000000 7FFFFFFF 00 = 0000007F 00 +42 071 80000000 7FFFFFFF 01 = 0000007F 01 +42 072 80000000 7FFFFFFF 10 = 0000007F 10 +42 073 80000000 7FFFFFFF 11 = 0000007F 11 +42 074 80000001 7FFFFFFF 00 = 0000017F 00 +42 075 80000001 7FFFFFFF 01 = 0000017F 01 +42 076 80000001 7FFFFFFF 10 = 0000017F 10 +42 077 80000001 7FFFFFFF 11 = 0000017F 11 +42 078 FFFFFFFE 7FFFFFFF 00 = FFFFFE7F 00 +42 079 FFFFFFFE 7FFFFFFF 01 = FFFFFE7F 01 +42 07A FFFFFFFE 7FFFFFFF 10 = FFFFFE7F 10 +42 07B FFFFFFFE 7FFFFFFF 11 = FFFFFE7F 11 +42 07C FFFFFFFF 7FFFFFFF 00 = FFFFFF7F 00 +42 07D FFFFFFFF 7FFFFFFF 01 = FFFFFF7F 01 +42 07E FFFFFFFF 7FFFFFFF 10 = FFFFFF7F 10 +42 07F FFFFFFFF 7FFFFFFF 11 = FFFFFF7F 11 +42 080 00000000 80000000 00 = 00000080 00 +42 081 00000000 80000000 01 = 00000080 01 +42 082 00000000 80000000 10 = 00000080 10 +42 083 00000000 80000000 11 = 00000080 11 +42 084 00000001 80000000 00 = 00000180 00 +42 085 00000001 80000000 01 = 00000180 01 +42 086 00000001 80000000 10 = 00000180 10 +42 087 00000001 80000000 11 = 00000180 11 +42 088 00000002 80000000 00 = 00000280 00 +42 089 00000002 80000000 01 = 00000280 01 +42 08A 00000002 80000000 10 = 00000280 10 +42 08B 00000002 80000000 11 = 00000280 11 +42 08C 7FFFFFFF 80000000 00 = FFFFFF80 00 +42 08D 7FFFFFFF 80000000 01 = FFFFFF80 01 +42 08E 7FFFFFFF 80000000 10 = FFFFFF80 10 +42 08F 7FFFFFFF 80000000 11 = FFFFFF80 11 +42 090 80000000 80000000 00 = 00000080 00 +42 091 80000000 80000000 01 = 00000080 01 +42 092 80000000 80000000 10 = 00000080 10 +42 093 80000000 80000000 11 = 00000080 11 +42 094 80000001 80000000 00 = 00000180 00 +42 095 80000001 80000000 01 = 00000180 01 +42 096 80000001 80000000 10 = 00000180 10 +42 097 80000001 80000000 11 = 00000180 11 +42 098 FFFFFFFE 80000000 00 = FFFFFE80 00 +42 099 FFFFFFFE 80000000 01 = FFFFFE80 01 +42 09A FFFFFFFE 80000000 10 = FFFFFE80 10 +42 09B FFFFFFFE 80000000 11 = FFFFFE80 11 +42 09C FFFFFFFF 80000000 00 = FFFFFF80 00 +42 09D FFFFFFFF 80000000 01 = FFFFFF80 01 +42 09E FFFFFFFF 80000000 10 = FFFFFF80 10 +42 09F FFFFFFFF 80000000 11 = FFFFFF80 11 +42 0A0 00000000 80000001 00 = 00000080 00 +42 0A1 00000000 80000001 01 = 00000080 01 +42 0A2 00000000 80000001 10 = 00000080 10 +42 0A3 00000000 80000001 11 = 00000080 11 +42 0A4 00000001 80000001 00 = 00000180 00 +42 0A5 00000001 80000001 01 = 00000180 01 +42 0A6 00000001 80000001 10 = 00000180 10 +42 0A7 00000001 80000001 11 = 00000180 11 +42 0A8 00000002 80000001 00 = 00000280 00 +42 0A9 00000002 80000001 01 = 00000280 01 +42 0AA 00000002 80000001 10 = 00000280 10 +42 0AB 00000002 80000001 11 = 00000280 11 +42 0AC 7FFFFFFF 80000001 00 = FFFFFF80 00 +42 0AD 7FFFFFFF 80000001 01 = FFFFFF80 01 +42 0AE 7FFFFFFF 80000001 10 = FFFFFF80 10 +42 0AF 7FFFFFFF 80000001 11 = FFFFFF80 11 +42 0B0 80000000 80000001 00 = 00000080 00 +42 0B1 80000000 80000001 01 = 00000080 01 +42 0B2 80000000 80000001 10 = 00000080 10 +42 0B3 80000000 80000001 11 = 00000080 11 +42 0B4 80000001 80000001 00 = 00000180 00 +42 0B5 80000001 80000001 01 = 00000180 01 +42 0B6 80000001 80000001 10 = 00000180 10 +42 0B7 80000001 80000001 11 = 00000180 11 +42 0B8 FFFFFFFE 80000001 00 = FFFFFE80 00 +42 0B9 FFFFFFFE 80000001 01 = FFFFFE80 01 +42 0BA FFFFFFFE 80000001 10 = FFFFFE80 10 +42 0BB FFFFFFFE 80000001 11 = FFFFFE80 11 +42 0BC FFFFFFFF 80000001 00 = FFFFFF80 00 +42 0BD FFFFFFFF 80000001 01 = FFFFFF80 01 +42 0BE FFFFFFFF 80000001 10 = FFFFFF80 10 +42 0BF FFFFFFFF 80000001 11 = FFFFFF80 11 +42 0C0 00000000 FFFFFFFE 00 = 000000FF 00 +42 0C1 00000000 FFFFFFFE 01 = 000000FF 01 +42 0C2 00000000 FFFFFFFE 10 = 000000FF 10 +42 0C3 00000000 FFFFFFFE 11 = 000000FF 11 +42 0C4 00000001 FFFFFFFE 00 = 000001FF 00 +42 0C5 00000001 FFFFFFFE 01 = 000001FF 01 +42 0C6 00000001 FFFFFFFE 10 = 000001FF 10 +42 0C7 00000001 FFFFFFFE 11 = 000001FF 11 +42 0C8 00000002 FFFFFFFE 00 = 000002FF 00 +42 0C9 00000002 FFFFFFFE 01 = 000002FF 01 +42 0CA 00000002 FFFFFFFE 10 = 000002FF 10 +42 0CB 00000002 FFFFFFFE 11 = 000002FF 11 +42 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +42 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +42 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +42 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +42 0D0 80000000 FFFFFFFE 00 = 000000FF 00 +42 0D1 80000000 FFFFFFFE 01 = 000000FF 01 +42 0D2 80000000 FFFFFFFE 10 = 000000FF 10 +42 0D3 80000000 FFFFFFFE 11 = 000000FF 11 +42 0D4 80000001 FFFFFFFE 00 = 000001FF 00 +42 0D5 80000001 FFFFFFFE 01 = 000001FF 01 +42 0D6 80000001 FFFFFFFE 10 = 000001FF 10 +42 0D7 80000001 FFFFFFFE 11 = 000001FF 11 +42 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFEFF 00 +42 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFEFF 01 +42 0DA FFFFFFFE FFFFFFFE 10 = FFFFFEFF 10 +42 0DB FFFFFFFE FFFFFFFE 11 = FFFFFEFF 11 +42 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +42 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +42 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +42 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +42 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +42 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +42 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +42 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +42 0E4 00000001 FFFFFFFF 00 = 000001FF 00 +42 0E5 00000001 FFFFFFFF 01 = 000001FF 01 +42 0E6 00000001 FFFFFFFF 10 = 000001FF 10 +42 0E7 00000001 FFFFFFFF 11 = 000001FF 11 +42 0E8 00000002 FFFFFFFF 00 = 000002FF 00 +42 0E9 00000002 FFFFFFFF 01 = 000002FF 01 +42 0EA 00000002 FFFFFFFF 10 = 000002FF 10 +42 0EB 00000002 FFFFFFFF 11 = 000002FF 11 +42 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +42 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +42 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +42 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +42 0F0 80000000 FFFFFFFF 00 = 000000FF 00 +42 0F1 80000000 FFFFFFFF 01 = 000000FF 01 +42 0F2 80000000 FFFFFFFF 10 = 000000FF 10 +42 0F3 80000000 FFFFFFFF 11 = 000000FF 11 +42 0F4 80000001 FFFFFFFF 00 = 000001FF 00 +42 0F5 80000001 FFFFFFFF 01 = 000001FF 01 +42 0F6 80000001 FFFFFFFF 10 = 000001FF 10 +42 0F7 80000001 FFFFFFFF 11 = 000001FF 11 +42 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFEFF 00 +42 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFEFF 01 +42 0FA FFFFFFFE FFFFFFFF 10 = FFFFFEFF 10 +42 0FB FFFFFFFE FFFFFFFF 11 = FFFFFEFF 11 +42 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +42 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +42 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +42 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +getword ---D---- ---S---- CZ = ---Q---- CZ +43 000 00000000 00000000 00 = 00000000 00 +43 001 00000000 00000000 01 = 00000000 01 +43 002 00000000 00000000 10 = 00000000 10 +43 003 00000000 00000000 11 = 00000000 11 +43 004 00000001 00000000 00 = 00000000 00 +43 005 00000001 00000000 01 = 00000000 01 +43 006 00000001 00000000 10 = 00000000 10 +43 007 00000001 00000000 11 = 00000000 11 +43 008 00000002 00000000 00 = 00000000 00 +43 009 00000002 00000000 01 = 00000000 01 +43 00A 00000002 00000000 10 = 00000000 10 +43 00B 00000002 00000000 11 = 00000000 11 +43 00C 7FFFFFFF 00000000 00 = 00000000 00 +43 00D 7FFFFFFF 00000000 01 = 00000000 01 +43 00E 7FFFFFFF 00000000 10 = 00000000 10 +43 00F 7FFFFFFF 00000000 11 = 00000000 11 +43 010 80000000 00000000 00 = 00000000 00 +43 011 80000000 00000000 01 = 00000000 01 +43 012 80000000 00000000 10 = 00000000 10 +43 013 80000000 00000000 11 = 00000000 11 +43 014 80000001 00000000 00 = 00000000 00 +43 015 80000001 00000000 01 = 00000000 01 +43 016 80000001 00000000 10 = 00000000 10 +43 017 80000001 00000000 11 = 00000000 11 +43 018 FFFFFFFE 00000000 00 = 00000000 00 +43 019 FFFFFFFE 00000000 01 = 00000000 01 +43 01A FFFFFFFE 00000000 10 = 00000000 10 +43 01B FFFFFFFE 00000000 11 = 00000000 11 +43 01C FFFFFFFF 00000000 00 = 00000000 00 +43 01D FFFFFFFF 00000000 01 = 00000000 01 +43 01E FFFFFFFF 00000000 10 = 00000000 10 +43 01F FFFFFFFF 00000000 11 = 00000000 11 +43 020 00000000 00000001 00 = 00000000 00 +43 021 00000000 00000001 01 = 00000000 01 +43 022 00000000 00000001 10 = 00000000 10 +43 023 00000000 00000001 11 = 00000000 11 +43 024 00000001 00000001 00 = 00000000 00 +43 025 00000001 00000001 01 = 00000000 01 +43 026 00000001 00000001 10 = 00000000 10 +43 027 00000001 00000001 11 = 00000000 11 +43 028 00000002 00000001 00 = 00000000 00 +43 029 00000002 00000001 01 = 00000000 01 +43 02A 00000002 00000001 10 = 00000000 10 +43 02B 00000002 00000001 11 = 00000000 11 +43 02C 7FFFFFFF 00000001 00 = 00000000 00 +43 02D 7FFFFFFF 00000001 01 = 00000000 01 +43 02E 7FFFFFFF 00000001 10 = 00000000 10 +43 02F 7FFFFFFF 00000001 11 = 00000000 11 +43 030 80000000 00000001 00 = 00000000 00 +43 031 80000000 00000001 01 = 00000000 01 +43 032 80000000 00000001 10 = 00000000 10 +43 033 80000000 00000001 11 = 00000000 11 +43 034 80000001 00000001 00 = 00000000 00 +43 035 80000001 00000001 01 = 00000000 01 +43 036 80000001 00000001 10 = 00000000 10 +43 037 80000001 00000001 11 = 00000000 11 +43 038 FFFFFFFE 00000001 00 = 00000000 00 +43 039 FFFFFFFE 00000001 01 = 00000000 01 +43 03A FFFFFFFE 00000001 10 = 00000000 10 +43 03B FFFFFFFE 00000001 11 = 00000000 11 +43 03C FFFFFFFF 00000001 00 = 00000000 00 +43 03D FFFFFFFF 00000001 01 = 00000000 01 +43 03E FFFFFFFF 00000001 10 = 00000000 10 +43 03F FFFFFFFF 00000001 11 = 00000000 11 +43 040 00000000 00000002 00 = 00000000 00 +43 041 00000000 00000002 01 = 00000000 01 +43 042 00000000 00000002 10 = 00000000 10 +43 043 00000000 00000002 11 = 00000000 11 +43 044 00000001 00000002 00 = 00000000 00 +43 045 00000001 00000002 01 = 00000000 01 +43 046 00000001 00000002 10 = 00000000 10 +43 047 00000001 00000002 11 = 00000000 11 +43 048 00000002 00000002 00 = 00000000 00 +43 049 00000002 00000002 01 = 00000000 01 +43 04A 00000002 00000002 10 = 00000000 10 +43 04B 00000002 00000002 11 = 00000000 11 +43 04C 7FFFFFFF 00000002 00 = 00000000 00 +43 04D 7FFFFFFF 00000002 01 = 00000000 01 +43 04E 7FFFFFFF 00000002 10 = 00000000 10 +43 04F 7FFFFFFF 00000002 11 = 00000000 11 +43 050 80000000 00000002 00 = 00000000 00 +43 051 80000000 00000002 01 = 00000000 01 +43 052 80000000 00000002 10 = 00000000 10 +43 053 80000000 00000002 11 = 00000000 11 +43 054 80000001 00000002 00 = 00000000 00 +43 055 80000001 00000002 01 = 00000000 01 +43 056 80000001 00000002 10 = 00000000 10 +43 057 80000001 00000002 11 = 00000000 11 +43 058 FFFFFFFE 00000002 00 = 00000000 00 +43 059 FFFFFFFE 00000002 01 = 00000000 01 +43 05A FFFFFFFE 00000002 10 = 00000000 10 +43 05B FFFFFFFE 00000002 11 = 00000000 11 +43 05C FFFFFFFF 00000002 00 = 00000000 00 +43 05D FFFFFFFF 00000002 01 = 00000000 01 +43 05E FFFFFFFF 00000002 10 = 00000000 10 +43 05F FFFFFFFF 00000002 11 = 00000000 11 +43 060 00000000 7FFFFFFF 00 = 00007FFF 00 +43 061 00000000 7FFFFFFF 01 = 00007FFF 01 +43 062 00000000 7FFFFFFF 10 = 00007FFF 10 +43 063 00000000 7FFFFFFF 11 = 00007FFF 11 +43 064 00000001 7FFFFFFF 00 = 00007FFF 00 +43 065 00000001 7FFFFFFF 01 = 00007FFF 01 +43 066 00000001 7FFFFFFF 10 = 00007FFF 10 +43 067 00000001 7FFFFFFF 11 = 00007FFF 11 +43 068 00000002 7FFFFFFF 00 = 00007FFF 00 +43 069 00000002 7FFFFFFF 01 = 00007FFF 01 +43 06A 00000002 7FFFFFFF 10 = 00007FFF 10 +43 06B 00000002 7FFFFFFF 11 = 00007FFF 11 +43 06C 7FFFFFFF 7FFFFFFF 00 = 00007FFF 00 +43 06D 7FFFFFFF 7FFFFFFF 01 = 00007FFF 01 +43 06E 7FFFFFFF 7FFFFFFF 10 = 00007FFF 10 +43 06F 7FFFFFFF 7FFFFFFF 11 = 00007FFF 11 +43 070 80000000 7FFFFFFF 00 = 00007FFF 00 +43 071 80000000 7FFFFFFF 01 = 00007FFF 01 +43 072 80000000 7FFFFFFF 10 = 00007FFF 10 +43 073 80000000 7FFFFFFF 11 = 00007FFF 11 +43 074 80000001 7FFFFFFF 00 = 00007FFF 00 +43 075 80000001 7FFFFFFF 01 = 00007FFF 01 +43 076 80000001 7FFFFFFF 10 = 00007FFF 10 +43 077 80000001 7FFFFFFF 11 = 00007FFF 11 +43 078 FFFFFFFE 7FFFFFFF 00 = 00007FFF 00 +43 079 FFFFFFFE 7FFFFFFF 01 = 00007FFF 01 +43 07A FFFFFFFE 7FFFFFFF 10 = 00007FFF 10 +43 07B FFFFFFFE 7FFFFFFF 11 = 00007FFF 11 +43 07C FFFFFFFF 7FFFFFFF 00 = 00007FFF 00 +43 07D FFFFFFFF 7FFFFFFF 01 = 00007FFF 01 +43 07E FFFFFFFF 7FFFFFFF 10 = 00007FFF 10 +43 07F FFFFFFFF 7FFFFFFF 11 = 00007FFF 11 +43 080 00000000 80000000 00 = 00008000 00 +43 081 00000000 80000000 01 = 00008000 01 +43 082 00000000 80000000 10 = 00008000 10 +43 083 00000000 80000000 11 = 00008000 11 +43 084 00000001 80000000 00 = 00008000 00 +43 085 00000001 80000000 01 = 00008000 01 +43 086 00000001 80000000 10 = 00008000 10 +43 087 00000001 80000000 11 = 00008000 11 +43 088 00000002 80000000 00 = 00008000 00 +43 089 00000002 80000000 01 = 00008000 01 +43 08A 00000002 80000000 10 = 00008000 10 +43 08B 00000002 80000000 11 = 00008000 11 +43 08C 7FFFFFFF 80000000 00 = 00008000 00 +43 08D 7FFFFFFF 80000000 01 = 00008000 01 +43 08E 7FFFFFFF 80000000 10 = 00008000 10 +43 08F 7FFFFFFF 80000000 11 = 00008000 11 +43 090 80000000 80000000 00 = 00008000 00 +43 091 80000000 80000000 01 = 00008000 01 +43 092 80000000 80000000 10 = 00008000 10 +43 093 80000000 80000000 11 = 00008000 11 +43 094 80000001 80000000 00 = 00008000 00 +43 095 80000001 80000000 01 = 00008000 01 +43 096 80000001 80000000 10 = 00008000 10 +43 097 80000001 80000000 11 = 00008000 11 +43 098 FFFFFFFE 80000000 00 = 00008000 00 +43 099 FFFFFFFE 80000000 01 = 00008000 01 +43 09A FFFFFFFE 80000000 10 = 00008000 10 +43 09B FFFFFFFE 80000000 11 = 00008000 11 +43 09C FFFFFFFF 80000000 00 = 00008000 00 +43 09D FFFFFFFF 80000000 01 = 00008000 01 +43 09E FFFFFFFF 80000000 10 = 00008000 10 +43 09F FFFFFFFF 80000000 11 = 00008000 11 +43 0A0 00000000 80000001 00 = 00008000 00 +43 0A1 00000000 80000001 01 = 00008000 01 +43 0A2 00000000 80000001 10 = 00008000 10 +43 0A3 00000000 80000001 11 = 00008000 11 +43 0A4 00000001 80000001 00 = 00008000 00 +43 0A5 00000001 80000001 01 = 00008000 01 +43 0A6 00000001 80000001 10 = 00008000 10 +43 0A7 00000001 80000001 11 = 00008000 11 +43 0A8 00000002 80000001 00 = 00008000 00 +43 0A9 00000002 80000001 01 = 00008000 01 +43 0AA 00000002 80000001 10 = 00008000 10 +43 0AB 00000002 80000001 11 = 00008000 11 +43 0AC 7FFFFFFF 80000001 00 = 00008000 00 +43 0AD 7FFFFFFF 80000001 01 = 00008000 01 +43 0AE 7FFFFFFF 80000001 10 = 00008000 10 +43 0AF 7FFFFFFF 80000001 11 = 00008000 11 +43 0B0 80000000 80000001 00 = 00008000 00 +43 0B1 80000000 80000001 01 = 00008000 01 +43 0B2 80000000 80000001 10 = 00008000 10 +43 0B3 80000000 80000001 11 = 00008000 11 +43 0B4 80000001 80000001 00 = 00008000 00 +43 0B5 80000001 80000001 01 = 00008000 01 +43 0B6 80000001 80000001 10 = 00008000 10 +43 0B7 80000001 80000001 11 = 00008000 11 +43 0B8 FFFFFFFE 80000001 00 = 00008000 00 +43 0B9 FFFFFFFE 80000001 01 = 00008000 01 +43 0BA FFFFFFFE 80000001 10 = 00008000 10 +43 0BB FFFFFFFE 80000001 11 = 00008000 11 +43 0BC FFFFFFFF 80000001 00 = 00008000 00 +43 0BD FFFFFFFF 80000001 01 = 00008000 01 +43 0BE FFFFFFFF 80000001 10 = 00008000 10 +43 0BF FFFFFFFF 80000001 11 = 00008000 11 +43 0C0 00000000 FFFFFFFE 00 = 0000FFFF 00 +43 0C1 00000000 FFFFFFFE 01 = 0000FFFF 01 +43 0C2 00000000 FFFFFFFE 10 = 0000FFFF 10 +43 0C3 00000000 FFFFFFFE 11 = 0000FFFF 11 +43 0C4 00000001 FFFFFFFE 00 = 0000FFFF 00 +43 0C5 00000001 FFFFFFFE 01 = 0000FFFF 01 +43 0C6 00000001 FFFFFFFE 10 = 0000FFFF 10 +43 0C7 00000001 FFFFFFFE 11 = 0000FFFF 11 +43 0C8 00000002 FFFFFFFE 00 = 0000FFFF 00 +43 0C9 00000002 FFFFFFFE 01 = 0000FFFF 01 +43 0CA 00000002 FFFFFFFE 10 = 0000FFFF 10 +43 0CB 00000002 FFFFFFFE 11 = 0000FFFF 11 +43 0CC 7FFFFFFF FFFFFFFE 00 = 0000FFFF 00 +43 0CD 7FFFFFFF FFFFFFFE 01 = 0000FFFF 01 +43 0CE 7FFFFFFF FFFFFFFE 10 = 0000FFFF 10 +43 0CF 7FFFFFFF FFFFFFFE 11 = 0000FFFF 11 +43 0D0 80000000 FFFFFFFE 00 = 0000FFFF 00 +43 0D1 80000000 FFFFFFFE 01 = 0000FFFF 01 +43 0D2 80000000 FFFFFFFE 10 = 0000FFFF 10 +43 0D3 80000000 FFFFFFFE 11 = 0000FFFF 11 +43 0D4 80000001 FFFFFFFE 00 = 0000FFFF 00 +43 0D5 80000001 FFFFFFFE 01 = 0000FFFF 01 +43 0D6 80000001 FFFFFFFE 10 = 0000FFFF 10 +43 0D7 80000001 FFFFFFFE 11 = 0000FFFF 11 +43 0D8 FFFFFFFE FFFFFFFE 00 = 0000FFFF 00 +43 0D9 FFFFFFFE FFFFFFFE 01 = 0000FFFF 01 +43 0DA FFFFFFFE FFFFFFFE 10 = 0000FFFF 10 +43 0DB FFFFFFFE FFFFFFFE 11 = 0000FFFF 11 +43 0DC FFFFFFFF FFFFFFFE 00 = 0000FFFF 00 +43 0DD FFFFFFFF FFFFFFFE 01 = 0000FFFF 01 +43 0DE FFFFFFFF FFFFFFFE 10 = 0000FFFF 10 +43 0DF FFFFFFFF FFFFFFFE 11 = 0000FFFF 11 +43 0E0 00000000 FFFFFFFF 00 = 0000FFFF 00 +43 0E1 00000000 FFFFFFFF 01 = 0000FFFF 01 +43 0E2 00000000 FFFFFFFF 10 = 0000FFFF 10 +43 0E3 00000000 FFFFFFFF 11 = 0000FFFF 11 +43 0E4 00000001 FFFFFFFF 00 = 0000FFFF 00 +43 0E5 00000001 FFFFFFFF 01 = 0000FFFF 01 +43 0E6 00000001 FFFFFFFF 10 = 0000FFFF 10 +43 0E7 00000001 FFFFFFFF 11 = 0000FFFF 11 +43 0E8 00000002 FFFFFFFF 00 = 0000FFFF 00 +43 0E9 00000002 FFFFFFFF 01 = 0000FFFF 01 +43 0EA 00000002 FFFFFFFF 10 = 0000FFFF 10 +43 0EB 00000002 FFFFFFFF 11 = 0000FFFF 11 +43 0EC 7FFFFFFF FFFFFFFF 00 = 0000FFFF 00 +43 0ED 7FFFFFFF FFFFFFFF 01 = 0000FFFF 01 +43 0EE 7FFFFFFF FFFFFFFF 10 = 0000FFFF 10 +43 0EF 7FFFFFFF FFFFFFFF 11 = 0000FFFF 11 +43 0F0 80000000 FFFFFFFF 00 = 0000FFFF 00 +43 0F1 80000000 FFFFFFFF 01 = 0000FFFF 01 +43 0F2 80000000 FFFFFFFF 10 = 0000FFFF 10 +43 0F3 80000000 FFFFFFFF 11 = 0000FFFF 11 +43 0F4 80000001 FFFFFFFF 00 = 0000FFFF 00 +43 0F5 80000001 FFFFFFFF 01 = 0000FFFF 01 +43 0F6 80000001 FFFFFFFF 10 = 0000FFFF 10 +43 0F7 80000001 FFFFFFFF 11 = 0000FFFF 11 +43 0F8 FFFFFFFE FFFFFFFF 00 = 0000FFFF 00 +43 0F9 FFFFFFFE FFFFFFFF 01 = 0000FFFF 01 +43 0FA FFFFFFFE FFFFFFFF 10 = 0000FFFF 10 +43 0FB FFFFFFFE FFFFFFFF 11 = 0000FFFF 11 +43 0FC FFFFFFFF FFFFFFFF 00 = 0000FFFF 00 +43 0FD FFFFFFFF FFFFFFFF 01 = 0000FFFF 01 +43 0FE FFFFFFFF FFFFFFFF 10 = 0000FFFF 10 +43 0FF FFFFFFFF FFFFFFFF 11 = 0000FFFF 11 +sets ---D---- ---S---- CZ = ---Q---- CZ +44 000 00000000 00000000 00 = 00000000 00 +44 001 00000000 00000000 01 = 00000000 01 +44 002 00000000 00000000 10 = 00000000 10 +44 003 00000000 00000000 11 = 00000000 11 +44 004 00000001 00000000 00 = 00000000 00 +44 005 00000001 00000000 01 = 00000000 01 +44 006 00000001 00000000 10 = 00000000 10 +44 007 00000001 00000000 11 = 00000000 11 +44 008 00000002 00000000 00 = 00000000 00 +44 009 00000002 00000000 01 = 00000000 01 +44 00A 00000002 00000000 10 = 00000000 10 +44 00B 00000002 00000000 11 = 00000000 11 +44 00C 7FFFFFFF 00000000 00 = 7FFFFE00 00 +44 00D 7FFFFFFF 00000000 01 = 7FFFFE00 01 +44 00E 7FFFFFFF 00000000 10 = 7FFFFE00 10 +44 00F 7FFFFFFF 00000000 11 = 7FFFFE00 11 +44 010 80000000 00000000 00 = 80000000 00 +44 011 80000000 00000000 01 = 80000000 01 +44 012 80000000 00000000 10 = 80000000 10 +44 013 80000000 00000000 11 = 80000000 11 +44 014 80000001 00000000 00 = 80000000 00 +44 015 80000001 00000000 01 = 80000000 01 +44 016 80000001 00000000 10 = 80000000 10 +44 017 80000001 00000000 11 = 80000000 11 +44 018 FFFFFFFE 00000000 00 = FFFFFE00 00 +44 019 FFFFFFFE 00000000 01 = FFFFFE00 01 +44 01A FFFFFFFE 00000000 10 = FFFFFE00 10 +44 01B FFFFFFFE 00000000 11 = FFFFFE00 11 +44 01C FFFFFFFF 00000000 00 = FFFFFE00 00 +44 01D FFFFFFFF 00000000 01 = FFFFFE00 01 +44 01E FFFFFFFF 00000000 10 = FFFFFE00 10 +44 01F FFFFFFFF 00000000 11 = FFFFFE00 11 +44 020 00000000 00000001 00 = 00000001 00 +44 021 00000000 00000001 01 = 00000001 01 +44 022 00000000 00000001 10 = 00000001 10 +44 023 00000000 00000001 11 = 00000001 11 +44 024 00000001 00000001 00 = 00000001 00 +44 025 00000001 00000001 01 = 00000001 01 +44 026 00000001 00000001 10 = 00000001 10 +44 027 00000001 00000001 11 = 00000001 11 +44 028 00000002 00000001 00 = 00000001 00 +44 029 00000002 00000001 01 = 00000001 01 +44 02A 00000002 00000001 10 = 00000001 10 +44 02B 00000002 00000001 11 = 00000001 11 +44 02C 7FFFFFFF 00000001 00 = 7FFFFE01 00 +44 02D 7FFFFFFF 00000001 01 = 7FFFFE01 01 +44 02E 7FFFFFFF 00000001 10 = 7FFFFE01 10 +44 02F 7FFFFFFF 00000001 11 = 7FFFFE01 11 +44 030 80000000 00000001 00 = 80000001 00 +44 031 80000000 00000001 01 = 80000001 01 +44 032 80000000 00000001 10 = 80000001 10 +44 033 80000000 00000001 11 = 80000001 11 +44 034 80000001 00000001 00 = 80000001 00 +44 035 80000001 00000001 01 = 80000001 01 +44 036 80000001 00000001 10 = 80000001 10 +44 037 80000001 00000001 11 = 80000001 11 +44 038 FFFFFFFE 00000001 00 = FFFFFE01 00 +44 039 FFFFFFFE 00000001 01 = FFFFFE01 01 +44 03A FFFFFFFE 00000001 10 = FFFFFE01 10 +44 03B FFFFFFFE 00000001 11 = FFFFFE01 11 +44 03C FFFFFFFF 00000001 00 = FFFFFE01 00 +44 03D FFFFFFFF 00000001 01 = FFFFFE01 01 +44 03E FFFFFFFF 00000001 10 = FFFFFE01 10 +44 03F FFFFFFFF 00000001 11 = FFFFFE01 11 +44 040 00000000 00000002 00 = 00000002 00 +44 041 00000000 00000002 01 = 00000002 01 +44 042 00000000 00000002 10 = 00000002 10 +44 043 00000000 00000002 11 = 00000002 11 +44 044 00000001 00000002 00 = 00000002 00 +44 045 00000001 00000002 01 = 00000002 01 +44 046 00000001 00000002 10 = 00000002 10 +44 047 00000001 00000002 11 = 00000002 11 +44 048 00000002 00000002 00 = 00000002 00 +44 049 00000002 00000002 01 = 00000002 01 +44 04A 00000002 00000002 10 = 00000002 10 +44 04B 00000002 00000002 11 = 00000002 11 +44 04C 7FFFFFFF 00000002 00 = 7FFFFE02 00 +44 04D 7FFFFFFF 00000002 01 = 7FFFFE02 01 +44 04E 7FFFFFFF 00000002 10 = 7FFFFE02 10 +44 04F 7FFFFFFF 00000002 11 = 7FFFFE02 11 +44 050 80000000 00000002 00 = 80000002 00 +44 051 80000000 00000002 01 = 80000002 01 +44 052 80000000 00000002 10 = 80000002 10 +44 053 80000000 00000002 11 = 80000002 11 +44 054 80000001 00000002 00 = 80000002 00 +44 055 80000001 00000002 01 = 80000002 01 +44 056 80000001 00000002 10 = 80000002 10 +44 057 80000001 00000002 11 = 80000002 11 +44 058 FFFFFFFE 00000002 00 = FFFFFE02 00 +44 059 FFFFFFFE 00000002 01 = FFFFFE02 01 +44 05A FFFFFFFE 00000002 10 = FFFFFE02 10 +44 05B FFFFFFFE 00000002 11 = FFFFFE02 11 +44 05C FFFFFFFF 00000002 00 = FFFFFE02 00 +44 05D FFFFFFFF 00000002 01 = FFFFFE02 01 +44 05E FFFFFFFF 00000002 10 = FFFFFE02 10 +44 05F FFFFFFFF 00000002 11 = FFFFFE02 11 +44 060 00000000 7FFFFFFF 00 = 000001FF 00 +44 061 00000000 7FFFFFFF 01 = 000001FF 01 +44 062 00000000 7FFFFFFF 10 = 000001FF 10 +44 063 00000000 7FFFFFFF 11 = 000001FF 11 +44 064 00000001 7FFFFFFF 00 = 000001FF 00 +44 065 00000001 7FFFFFFF 01 = 000001FF 01 +44 066 00000001 7FFFFFFF 10 = 000001FF 10 +44 067 00000001 7FFFFFFF 11 = 000001FF 11 +44 068 00000002 7FFFFFFF 00 = 000001FF 00 +44 069 00000002 7FFFFFFF 01 = 000001FF 01 +44 06A 00000002 7FFFFFFF 10 = 000001FF 10 +44 06B 00000002 7FFFFFFF 11 = 000001FF 11 +44 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +44 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +44 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +44 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +44 070 80000000 7FFFFFFF 00 = 800001FF 00 +44 071 80000000 7FFFFFFF 01 = 800001FF 01 +44 072 80000000 7FFFFFFF 10 = 800001FF 10 +44 073 80000000 7FFFFFFF 11 = 800001FF 11 +44 074 80000001 7FFFFFFF 00 = 800001FF 00 +44 075 80000001 7FFFFFFF 01 = 800001FF 01 +44 076 80000001 7FFFFFFF 10 = 800001FF 10 +44 077 80000001 7FFFFFFF 11 = 800001FF 11 +44 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +44 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 +44 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +44 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 +44 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +44 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +44 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +44 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +44 080 00000000 80000000 00 = 00000000 00 +44 081 00000000 80000000 01 = 00000000 01 +44 082 00000000 80000000 10 = 00000000 10 +44 083 00000000 80000000 11 = 00000000 11 +44 084 00000001 80000000 00 = 00000000 00 +44 085 00000001 80000000 01 = 00000000 01 +44 086 00000001 80000000 10 = 00000000 10 +44 087 00000001 80000000 11 = 00000000 11 +44 088 00000002 80000000 00 = 00000000 00 +44 089 00000002 80000000 01 = 00000000 01 +44 08A 00000002 80000000 10 = 00000000 10 +44 08B 00000002 80000000 11 = 00000000 11 +44 08C 7FFFFFFF 80000000 00 = 7FFFFE00 00 +44 08D 7FFFFFFF 80000000 01 = 7FFFFE00 01 +44 08E 7FFFFFFF 80000000 10 = 7FFFFE00 10 +44 08F 7FFFFFFF 80000000 11 = 7FFFFE00 11 +44 090 80000000 80000000 00 = 80000000 00 +44 091 80000000 80000000 01 = 80000000 01 +44 092 80000000 80000000 10 = 80000000 10 +44 093 80000000 80000000 11 = 80000000 11 +44 094 80000001 80000000 00 = 80000000 00 +44 095 80000001 80000000 01 = 80000000 01 +44 096 80000001 80000000 10 = 80000000 10 +44 097 80000001 80000000 11 = 80000000 11 +44 098 FFFFFFFE 80000000 00 = FFFFFE00 00 +44 099 FFFFFFFE 80000000 01 = FFFFFE00 01 +44 09A FFFFFFFE 80000000 10 = FFFFFE00 10 +44 09B FFFFFFFE 80000000 11 = FFFFFE00 11 +44 09C FFFFFFFF 80000000 00 = FFFFFE00 00 +44 09D FFFFFFFF 80000000 01 = FFFFFE00 01 +44 09E FFFFFFFF 80000000 10 = FFFFFE00 10 +44 09F FFFFFFFF 80000000 11 = FFFFFE00 11 +44 0A0 00000000 80000001 00 = 00000001 00 +44 0A1 00000000 80000001 01 = 00000001 01 +44 0A2 00000000 80000001 10 = 00000001 10 +44 0A3 00000000 80000001 11 = 00000001 11 +44 0A4 00000001 80000001 00 = 00000001 00 +44 0A5 00000001 80000001 01 = 00000001 01 +44 0A6 00000001 80000001 10 = 00000001 10 +44 0A7 00000001 80000001 11 = 00000001 11 +44 0A8 00000002 80000001 00 = 00000001 00 +44 0A9 00000002 80000001 01 = 00000001 01 +44 0AA 00000002 80000001 10 = 00000001 10 +44 0AB 00000002 80000001 11 = 00000001 11 +44 0AC 7FFFFFFF 80000001 00 = 7FFFFE01 00 +44 0AD 7FFFFFFF 80000001 01 = 7FFFFE01 01 +44 0AE 7FFFFFFF 80000001 10 = 7FFFFE01 10 +44 0AF 7FFFFFFF 80000001 11 = 7FFFFE01 11 +44 0B0 80000000 80000001 00 = 80000001 00 +44 0B1 80000000 80000001 01 = 80000001 01 +44 0B2 80000000 80000001 10 = 80000001 10 +44 0B3 80000000 80000001 11 = 80000001 11 +44 0B4 80000001 80000001 00 = 80000001 00 +44 0B5 80000001 80000001 01 = 80000001 01 +44 0B6 80000001 80000001 10 = 80000001 10 +44 0B7 80000001 80000001 11 = 80000001 11 +44 0B8 FFFFFFFE 80000001 00 = FFFFFE01 00 +44 0B9 FFFFFFFE 80000001 01 = FFFFFE01 01 +44 0BA FFFFFFFE 80000001 10 = FFFFFE01 10 +44 0BB FFFFFFFE 80000001 11 = FFFFFE01 11 +44 0BC FFFFFFFF 80000001 00 = FFFFFE01 00 +44 0BD FFFFFFFF 80000001 01 = FFFFFE01 01 +44 0BE FFFFFFFF 80000001 10 = FFFFFE01 10 +44 0BF FFFFFFFF 80000001 11 = FFFFFE01 11 +44 0C0 00000000 FFFFFFFE 00 = 000001FE 00 +44 0C1 00000000 FFFFFFFE 01 = 000001FE 01 +44 0C2 00000000 FFFFFFFE 10 = 000001FE 10 +44 0C3 00000000 FFFFFFFE 11 = 000001FE 11 +44 0C4 00000001 FFFFFFFE 00 = 000001FE 00 +44 0C5 00000001 FFFFFFFE 01 = 000001FE 01 +44 0C6 00000001 FFFFFFFE 10 = 000001FE 10 +44 0C7 00000001 FFFFFFFE 11 = 000001FE 11 +44 0C8 00000002 FFFFFFFE 00 = 000001FE 00 +44 0C9 00000002 FFFFFFFE 01 = 000001FE 01 +44 0CA 00000002 FFFFFFFE 10 = 000001FE 10 +44 0CB 00000002 FFFFFFFE 11 = 000001FE 11 +44 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 +44 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 01 +44 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 10 +44 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 11 +44 0D0 80000000 FFFFFFFE 00 = 800001FE 00 +44 0D1 80000000 FFFFFFFE 01 = 800001FE 01 +44 0D2 80000000 FFFFFFFE 10 = 800001FE 10 +44 0D3 80000000 FFFFFFFE 11 = 800001FE 11 +44 0D4 80000001 FFFFFFFE 00 = 800001FE 00 +44 0D5 80000001 FFFFFFFE 01 = 800001FE 01 +44 0D6 80000001 FFFFFFFE 10 = 800001FE 10 +44 0D7 80000001 FFFFFFFE 11 = 800001FE 11 +44 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +44 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +44 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +44 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +44 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +44 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +44 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +44 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +44 0E0 00000000 FFFFFFFF 00 = 000001FF 00 +44 0E1 00000000 FFFFFFFF 01 = 000001FF 01 +44 0E2 00000000 FFFFFFFF 10 = 000001FF 10 +44 0E3 00000000 FFFFFFFF 11 = 000001FF 11 +44 0E4 00000001 FFFFFFFF 00 = 000001FF 00 +44 0E5 00000001 FFFFFFFF 01 = 000001FF 01 +44 0E6 00000001 FFFFFFFF 10 = 000001FF 10 +44 0E7 00000001 FFFFFFFF 11 = 000001FF 11 +44 0E8 00000002 FFFFFFFF 00 = 000001FF 00 +44 0E9 00000002 FFFFFFFF 01 = 000001FF 01 +44 0EA 00000002 FFFFFFFF 10 = 000001FF 10 +44 0EB 00000002 FFFFFFFF 11 = 000001FF 11 +44 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +44 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +44 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +44 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +44 0F0 80000000 FFFFFFFF 00 = 800001FF 00 +44 0F1 80000000 FFFFFFFF 01 = 800001FF 01 +44 0F2 80000000 FFFFFFFF 10 = 800001FF 10 +44 0F3 80000000 FFFFFFFF 11 = 800001FF 11 +44 0F4 80000001 FFFFFFFF 00 = 800001FF 00 +44 0F5 80000001 FFFFFFFF 01 = 800001FF 01 +44 0F6 80000001 FFFFFFFF 10 = 800001FF 10 +44 0F7 80000001 FFFFFFFF 11 = 800001FF 11 +44 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +44 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +44 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +44 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +44 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +44 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +44 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +44 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +signx ---D---- ---S---- CZ = ---Q---- CZ +45 000 00000000 00000000 00 = 00000000 00 +45 001 00000000 00000000 01 = 00000000 01 +45 002 00000000 00000000 10 = 00000000 10 +45 003 00000000 00000000 11 = 00000000 11 +45 004 00000001 00000000 00 = FFFFFFFF 00 +45 005 00000001 00000000 01 = FFFFFFFF 01 +45 006 00000001 00000000 10 = FFFFFFFF 10 +45 007 00000001 00000000 11 = FFFFFFFF 11 +45 008 00000002 00000000 00 = 00000000 00 +45 009 00000002 00000000 01 = 00000000 01 +45 00A 00000002 00000000 10 = 00000000 10 +45 00B 00000002 00000000 11 = 00000000 11 +45 00C 7FFFFFFF 00000000 00 = FFFFFFFF 00 +45 00D 7FFFFFFF 00000000 01 = FFFFFFFF 01 +45 00E 7FFFFFFF 00000000 10 = FFFFFFFF 10 +45 00F 7FFFFFFF 00000000 11 = FFFFFFFF 11 +45 010 80000000 00000000 00 = 00000000 00 +45 011 80000000 00000000 01 = 00000000 01 +45 012 80000000 00000000 10 = 00000000 10 +45 013 80000000 00000000 11 = 00000000 11 +45 014 80000001 00000000 00 = FFFFFFFF 00 +45 015 80000001 00000000 01 = FFFFFFFF 01 +45 016 80000001 00000000 10 = FFFFFFFF 10 +45 017 80000001 00000000 11 = FFFFFFFF 11 +45 018 FFFFFFFE 00000000 00 = 00000000 00 +45 019 FFFFFFFE 00000000 01 = 00000000 01 +45 01A FFFFFFFE 00000000 10 = 00000000 10 +45 01B FFFFFFFE 00000000 11 = 00000000 11 +45 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +45 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +45 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +45 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +45 020 00000000 00000001 00 = 00000000 00 +45 021 00000000 00000001 01 = 00000000 01 +45 022 00000000 00000001 10 = 00000000 10 +45 023 00000000 00000001 11 = 00000000 11 +45 024 00000001 00000001 00 = 00000001 00 +45 025 00000001 00000001 01 = 00000001 01 +45 026 00000001 00000001 10 = 00000001 10 +45 027 00000001 00000001 11 = 00000001 11 +45 028 00000002 00000001 00 = FFFFFFFE 00 +45 029 00000002 00000001 01 = FFFFFFFE 01 +45 02A 00000002 00000001 10 = FFFFFFFE 10 +45 02B 00000002 00000001 11 = FFFFFFFE 11 +45 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 +45 02D 7FFFFFFF 00000001 01 = FFFFFFFF 01 +45 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 +45 02F 7FFFFFFF 00000001 11 = FFFFFFFF 11 +45 030 80000000 00000001 00 = 00000000 00 +45 031 80000000 00000001 01 = 00000000 01 +45 032 80000000 00000001 10 = 00000000 10 +45 033 80000000 00000001 11 = 00000000 11 +45 034 80000001 00000001 00 = 00000001 00 +45 035 80000001 00000001 01 = 00000001 01 +45 036 80000001 00000001 10 = 00000001 10 +45 037 80000001 00000001 11 = 00000001 11 +45 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +45 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 +45 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +45 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 +45 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +45 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +45 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +45 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +45 040 00000000 00000002 00 = 00000000 00 +45 041 00000000 00000002 01 = 00000000 01 +45 042 00000000 00000002 10 = 00000000 10 +45 043 00000000 00000002 11 = 00000000 11 +45 044 00000001 00000002 00 = 00000001 00 +45 045 00000001 00000002 01 = 00000001 01 +45 046 00000001 00000002 10 = 00000001 10 +45 047 00000001 00000002 11 = 00000001 11 +45 048 00000002 00000002 00 = 00000002 00 +45 049 00000002 00000002 01 = 00000002 01 +45 04A 00000002 00000002 10 = 00000002 10 +45 04B 00000002 00000002 11 = 00000002 11 +45 04C 7FFFFFFF 00000002 00 = FFFFFFFF 00 +45 04D 7FFFFFFF 00000002 01 = FFFFFFFF 01 +45 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 +45 04F 7FFFFFFF 00000002 11 = FFFFFFFF 11 +45 050 80000000 00000002 00 = 00000000 00 +45 051 80000000 00000002 01 = 00000000 01 +45 052 80000000 00000002 10 = 00000000 10 +45 053 80000000 00000002 11 = 00000000 11 +45 054 80000001 00000002 00 = 00000001 00 +45 055 80000001 00000002 01 = 00000001 01 +45 056 80000001 00000002 10 = 00000001 10 +45 057 80000001 00000002 11 = 00000001 11 +45 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +45 059 FFFFFFFE 00000002 01 = FFFFFFFE 01 +45 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +45 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +45 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +45 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +45 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +45 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +45 060 00000000 7FFFFFFF 00 = 00000000 00 +45 061 00000000 7FFFFFFF 01 = 00000000 01 +45 062 00000000 7FFFFFFF 10 = 00000000 10 +45 063 00000000 7FFFFFFF 11 = 00000000 11 +45 064 00000001 7FFFFFFF 00 = 00000001 00 +45 065 00000001 7FFFFFFF 01 = 00000001 01 +45 066 00000001 7FFFFFFF 10 = 00000001 10 +45 067 00000001 7FFFFFFF 11 = 00000001 11 +45 068 00000002 7FFFFFFF 00 = 00000002 00 +45 069 00000002 7FFFFFFF 01 = 00000002 01 +45 06A 00000002 7FFFFFFF 10 = 00000002 10 +45 06B 00000002 7FFFFFFF 11 = 00000002 11 +45 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +45 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +45 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +45 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +45 070 80000000 7FFFFFFF 00 = 80000000 00 +45 071 80000000 7FFFFFFF 01 = 80000000 01 +45 072 80000000 7FFFFFFF 10 = 80000000 10 +45 073 80000000 7FFFFFFF 11 = 80000000 11 +45 074 80000001 7FFFFFFF 00 = 80000001 00 +45 075 80000001 7FFFFFFF 01 = 80000001 01 +45 076 80000001 7FFFFFFF 10 = 80000001 10 +45 077 80000001 7FFFFFFF 11 = 80000001 11 +45 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +45 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +45 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +45 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +45 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +45 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +45 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +45 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +45 080 00000000 80000000 00 = 00000000 00 +45 081 00000000 80000000 01 = 00000000 01 +45 082 00000000 80000000 10 = 00000000 10 +45 083 00000000 80000000 11 = 00000000 11 +45 084 00000001 80000000 00 = FFFFFFFF 00 +45 085 00000001 80000000 01 = FFFFFFFF 01 +45 086 00000001 80000000 10 = FFFFFFFF 10 +45 087 00000001 80000000 11 = FFFFFFFF 11 +45 088 00000002 80000000 00 = 00000000 00 +45 089 00000002 80000000 01 = 00000000 01 +45 08A 00000002 80000000 10 = 00000000 10 +45 08B 00000002 80000000 11 = 00000000 11 +45 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +45 08D 7FFFFFFF 80000000 01 = FFFFFFFF 01 +45 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +45 08F 7FFFFFFF 80000000 11 = FFFFFFFF 11 +45 090 80000000 80000000 00 = 00000000 00 +45 091 80000000 80000000 01 = 00000000 01 +45 092 80000000 80000000 10 = 00000000 10 +45 093 80000000 80000000 11 = 00000000 11 +45 094 80000001 80000000 00 = FFFFFFFF 00 +45 095 80000001 80000000 01 = FFFFFFFF 01 +45 096 80000001 80000000 10 = FFFFFFFF 10 +45 097 80000001 80000000 11 = FFFFFFFF 11 +45 098 FFFFFFFE 80000000 00 = 00000000 00 +45 099 FFFFFFFE 80000000 01 = 00000000 01 +45 09A FFFFFFFE 80000000 10 = 00000000 10 +45 09B FFFFFFFE 80000000 11 = 00000000 11 +45 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +45 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +45 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +45 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +45 0A0 00000000 80000001 00 = 00000000 00 +45 0A1 00000000 80000001 01 = 00000000 01 +45 0A2 00000000 80000001 10 = 00000000 10 +45 0A3 00000000 80000001 11 = 00000000 11 +45 0A4 00000001 80000001 00 = 00000001 00 +45 0A5 00000001 80000001 01 = 00000001 01 +45 0A6 00000001 80000001 10 = 00000001 10 +45 0A7 00000001 80000001 11 = 00000001 11 +45 0A8 00000002 80000001 00 = FFFFFFFE 00 +45 0A9 00000002 80000001 01 = FFFFFFFE 01 +45 0AA 00000002 80000001 10 = FFFFFFFE 10 +45 0AB 00000002 80000001 11 = FFFFFFFE 11 +45 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +45 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 01 +45 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 +45 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 11 +45 0B0 80000000 80000001 00 = 00000000 00 +45 0B1 80000000 80000001 01 = 00000000 01 +45 0B2 80000000 80000001 10 = 00000000 10 +45 0B3 80000000 80000001 11 = 00000000 11 +45 0B4 80000001 80000001 00 = 00000001 00 +45 0B5 80000001 80000001 01 = 00000001 01 +45 0B6 80000001 80000001 10 = 00000001 10 +45 0B7 80000001 80000001 11 = 00000001 11 +45 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +45 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 01 +45 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +45 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 +45 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +45 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +45 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +45 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +45 0C0 00000000 FFFFFFFE 00 = 00000000 00 +45 0C1 00000000 FFFFFFFE 01 = 00000000 01 +45 0C2 00000000 FFFFFFFE 10 = 00000000 10 +45 0C3 00000000 FFFFFFFE 11 = 00000000 11 +45 0C4 00000001 FFFFFFFE 00 = 00000001 00 +45 0C5 00000001 FFFFFFFE 01 = 00000001 01 +45 0C6 00000001 FFFFFFFE 10 = 00000001 10 +45 0C7 00000001 FFFFFFFE 11 = 00000001 11 +45 0C8 00000002 FFFFFFFE 00 = 00000002 00 +45 0C9 00000002 FFFFFFFE 01 = 00000002 01 +45 0CA 00000002 FFFFFFFE 10 = 00000002 10 +45 0CB 00000002 FFFFFFFE 11 = 00000002 11 +45 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +45 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +45 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +45 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +45 0D0 80000000 FFFFFFFE 00 = 00000000 00 +45 0D1 80000000 FFFFFFFE 01 = 00000000 01 +45 0D2 80000000 FFFFFFFE 10 = 00000000 10 +45 0D3 80000000 FFFFFFFE 11 = 00000000 11 +45 0D4 80000001 FFFFFFFE 00 = 00000001 00 +45 0D5 80000001 FFFFFFFE 01 = 00000001 01 +45 0D6 80000001 FFFFFFFE 10 = 00000001 10 +45 0D7 80000001 FFFFFFFE 11 = 00000001 11 +45 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +45 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +45 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +45 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +45 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +45 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +45 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +45 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +45 0E0 00000000 FFFFFFFF 00 = 00000000 00 +45 0E1 00000000 FFFFFFFF 01 = 00000000 01 +45 0E2 00000000 FFFFFFFF 10 = 00000000 10 +45 0E3 00000000 FFFFFFFF 11 = 00000000 11 +45 0E4 00000001 FFFFFFFF 00 = 00000001 00 +45 0E5 00000001 FFFFFFFF 01 = 00000001 01 +45 0E6 00000001 FFFFFFFF 10 = 00000001 10 +45 0E7 00000001 FFFFFFFF 11 = 00000001 11 +45 0E8 00000002 FFFFFFFF 00 = 00000002 00 +45 0E9 00000002 FFFFFFFF 01 = 00000002 01 +45 0EA 00000002 FFFFFFFF 10 = 00000002 10 +45 0EB 00000002 FFFFFFFF 11 = 00000002 11 +45 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +45 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +45 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +45 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +45 0F0 80000000 FFFFFFFF 00 = 80000000 00 +45 0F1 80000000 FFFFFFFF 01 = 80000000 01 +45 0F2 80000000 FFFFFFFF 10 = 80000000 10 +45 0F3 80000000 FFFFFFFF 11 = 80000000 11 +45 0F4 80000001 FFFFFFFF 00 = 80000001 00 +45 0F5 80000001 FFFFFFFF 01 = 80000001 01 +45 0F6 80000001 FFFFFFFF 10 = 80000001 10 +45 0F7 80000001 FFFFFFFF 11 = 80000001 11 +45 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +45 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +45 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +45 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +45 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +45 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +45 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +45 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +movbyts ---D---- ---S---- CZ = ---Q---- CZ +46 000 00000000 00000000 00 = 00000000 00 +46 001 00000000 00000000 01 = 00000000 01 +46 002 00000000 00000000 10 = 00000000 10 +46 003 00000000 00000000 11 = 00000000 11 +46 004 00000001 00000000 00 = 01010101 00 +46 005 00000001 00000000 01 = 01010101 01 +46 006 00000001 00000000 10 = 01010101 10 +46 007 00000001 00000000 11 = 01010101 11 +46 008 00000002 00000000 00 = 02020202 00 +46 009 00000002 00000000 01 = 02020202 01 +46 00A 00000002 00000000 10 = 02020202 10 +46 00B 00000002 00000000 11 = 02020202 11 +46 00C 7FFFFFFF 00000000 00 = FFFFFFFF 00 +46 00D 7FFFFFFF 00000000 01 = FFFFFFFF 01 +46 00E 7FFFFFFF 00000000 10 = FFFFFFFF 10 +46 00F 7FFFFFFF 00000000 11 = FFFFFFFF 11 +46 010 80000000 00000000 00 = 00000000 00 +46 011 80000000 00000000 01 = 00000000 01 +46 012 80000000 00000000 10 = 00000000 10 +46 013 80000000 00000000 11 = 00000000 11 +46 014 80000001 00000000 00 = 01010101 00 +46 015 80000001 00000000 01 = 01010101 01 +46 016 80000001 00000000 10 = 01010101 10 +46 017 80000001 00000000 11 = 01010101 11 +46 018 FFFFFFFE 00000000 00 = FEFEFEFE 00 +46 019 FFFFFFFE 00000000 01 = FEFEFEFE 01 +46 01A FFFFFFFE 00000000 10 = FEFEFEFE 10 +46 01B FFFFFFFE 00000000 11 = FEFEFEFE 11 +46 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +46 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +46 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +46 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +46 020 00000000 00000001 00 = 00000000 00 +46 021 00000000 00000001 01 = 00000000 01 +46 022 00000000 00000001 10 = 00000000 10 +46 023 00000000 00000001 11 = 00000000 11 +46 024 00000001 00000001 00 = 01010100 00 +46 025 00000001 00000001 01 = 01010100 01 +46 026 00000001 00000001 10 = 01010100 10 +46 027 00000001 00000001 11 = 01010100 11 +46 028 00000002 00000001 00 = 02020200 00 +46 029 00000002 00000001 01 = 02020200 01 +46 02A 00000002 00000001 10 = 02020200 10 +46 02B 00000002 00000001 11 = 02020200 11 +46 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 +46 02D 7FFFFFFF 00000001 01 = FFFFFFFF 01 +46 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 +46 02F 7FFFFFFF 00000001 11 = FFFFFFFF 11 +46 030 80000000 00000001 00 = 00000000 00 +46 031 80000000 00000001 01 = 00000000 01 +46 032 80000000 00000001 10 = 00000000 10 +46 033 80000000 00000001 11 = 00000000 11 +46 034 80000001 00000001 00 = 01010100 00 +46 035 80000001 00000001 01 = 01010100 01 +46 036 80000001 00000001 10 = 01010100 10 +46 037 80000001 00000001 11 = 01010100 11 +46 038 FFFFFFFE 00000001 00 = FEFEFEFF 00 +46 039 FFFFFFFE 00000001 01 = FEFEFEFF 01 +46 03A FFFFFFFE 00000001 10 = FEFEFEFF 10 +46 03B FFFFFFFE 00000001 11 = FEFEFEFF 11 +46 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +46 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +46 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +46 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +46 040 00000000 00000002 00 = 00000000 00 +46 041 00000000 00000002 01 = 00000000 01 +46 042 00000000 00000002 10 = 00000000 10 +46 043 00000000 00000002 11 = 00000000 11 +46 044 00000001 00000002 00 = 01010100 00 +46 045 00000001 00000002 01 = 01010100 01 +46 046 00000001 00000002 10 = 01010100 10 +46 047 00000001 00000002 11 = 01010100 11 +46 048 00000002 00000002 00 = 02020200 00 +46 049 00000002 00000002 01 = 02020200 01 +46 04A 00000002 00000002 10 = 02020200 10 +46 04B 00000002 00000002 11 = 02020200 11 +46 04C 7FFFFFFF 00000002 00 = FFFFFFFF 00 +46 04D 7FFFFFFF 00000002 01 = FFFFFFFF 01 +46 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 +46 04F 7FFFFFFF 00000002 11 = FFFFFFFF 11 +46 050 80000000 00000002 00 = 00000000 00 +46 051 80000000 00000002 01 = 00000000 01 +46 052 80000000 00000002 10 = 00000000 10 +46 053 80000000 00000002 11 = 00000000 11 +46 054 80000001 00000002 00 = 01010100 00 +46 055 80000001 00000002 01 = 01010100 01 +46 056 80000001 00000002 10 = 01010100 10 +46 057 80000001 00000002 11 = 01010100 11 +46 058 FFFFFFFE 00000002 00 = FEFEFEFF 00 +46 059 FFFFFFFE 00000002 01 = FEFEFEFF 01 +46 05A FFFFFFFE 00000002 10 = FEFEFEFF 10 +46 05B FFFFFFFE 00000002 11 = FEFEFEFF 11 +46 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +46 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +46 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +46 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +46 060 00000000 7FFFFFFF 00 = 00000000 00 +46 061 00000000 7FFFFFFF 01 = 00000000 01 +46 062 00000000 7FFFFFFF 10 = 00000000 10 +46 063 00000000 7FFFFFFF 11 = 00000000 11 +46 064 00000001 7FFFFFFF 00 = 00000000 00 +46 065 00000001 7FFFFFFF 01 = 00000000 01 +46 066 00000001 7FFFFFFF 10 = 00000000 10 +46 067 00000001 7FFFFFFF 11 = 00000000 11 +46 068 00000002 7FFFFFFF 00 = 00000000 00 +46 069 00000002 7FFFFFFF 01 = 00000000 01 +46 06A 00000002 7FFFFFFF 10 = 00000000 10 +46 06B 00000002 7FFFFFFF 11 = 00000000 11 +46 06C 7FFFFFFF 7FFFFFFF 00 = 7F7F7F7F 00 +46 06D 7FFFFFFF 7FFFFFFF 01 = 7F7F7F7F 01 +46 06E 7FFFFFFF 7FFFFFFF 10 = 7F7F7F7F 10 +46 06F 7FFFFFFF 7FFFFFFF 11 = 7F7F7F7F 11 +46 070 80000000 7FFFFFFF 00 = 80808080 00 +46 071 80000000 7FFFFFFF 01 = 80808080 01 +46 072 80000000 7FFFFFFF 10 = 80808080 10 +46 073 80000000 7FFFFFFF 11 = 80808080 11 +46 074 80000001 7FFFFFFF 00 = 80808080 00 +46 075 80000001 7FFFFFFF 01 = 80808080 01 +46 076 80000001 7FFFFFFF 10 = 80808080 10 +46 077 80000001 7FFFFFFF 11 = 80808080 11 +46 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +46 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 +46 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +46 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 +46 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +46 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +46 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +46 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +46 080 00000000 80000000 00 = 00000000 00 +46 081 00000000 80000000 01 = 00000000 01 +46 082 00000000 80000000 10 = 00000000 10 +46 083 00000000 80000000 11 = 00000000 11 +46 084 00000001 80000000 00 = 01010101 00 +46 085 00000001 80000000 01 = 01010101 01 +46 086 00000001 80000000 10 = 01010101 10 +46 087 00000001 80000000 11 = 01010101 11 +46 088 00000002 80000000 00 = 02020202 00 +46 089 00000002 80000000 01 = 02020202 01 +46 08A 00000002 80000000 10 = 02020202 10 +46 08B 00000002 80000000 11 = 02020202 11 +46 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +46 08D 7FFFFFFF 80000000 01 = FFFFFFFF 01 +46 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +46 08F 7FFFFFFF 80000000 11 = FFFFFFFF 11 +46 090 80000000 80000000 00 = 00000000 00 +46 091 80000000 80000000 01 = 00000000 01 +46 092 80000000 80000000 10 = 00000000 10 +46 093 80000000 80000000 11 = 00000000 11 +46 094 80000001 80000000 00 = 01010101 00 +46 095 80000001 80000000 01 = 01010101 01 +46 096 80000001 80000000 10 = 01010101 10 +46 097 80000001 80000000 11 = 01010101 11 +46 098 FFFFFFFE 80000000 00 = FEFEFEFE 00 +46 099 FFFFFFFE 80000000 01 = FEFEFEFE 01 +46 09A FFFFFFFE 80000000 10 = FEFEFEFE 10 +46 09B FFFFFFFE 80000000 11 = FEFEFEFE 11 +46 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +46 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +46 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +46 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +46 0A0 00000000 80000001 00 = 00000000 00 +46 0A1 00000000 80000001 01 = 00000000 01 +46 0A2 00000000 80000001 10 = 00000000 10 +46 0A3 00000000 80000001 11 = 00000000 11 +46 0A4 00000001 80000001 00 = 01010100 00 +46 0A5 00000001 80000001 01 = 01010100 01 +46 0A6 00000001 80000001 10 = 01010100 10 +46 0A7 00000001 80000001 11 = 01010100 11 +46 0A8 00000002 80000001 00 = 02020200 00 +46 0A9 00000002 80000001 01 = 02020200 01 +46 0AA 00000002 80000001 10 = 02020200 10 +46 0AB 00000002 80000001 11 = 02020200 11 +46 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +46 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 01 +46 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 +46 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 11 +46 0B0 80000000 80000001 00 = 00000000 00 +46 0B1 80000000 80000001 01 = 00000000 01 +46 0B2 80000000 80000001 10 = 00000000 10 +46 0B3 80000000 80000001 11 = 00000000 11 +46 0B4 80000001 80000001 00 = 01010100 00 +46 0B5 80000001 80000001 01 = 01010100 01 +46 0B6 80000001 80000001 10 = 01010100 10 +46 0B7 80000001 80000001 11 = 01010100 11 +46 0B8 FFFFFFFE 80000001 00 = FEFEFEFF 00 +46 0B9 FFFFFFFE 80000001 01 = FEFEFEFF 01 +46 0BA FFFFFFFE 80000001 10 = FEFEFEFF 10 +46 0BB FFFFFFFE 80000001 11 = FEFEFEFF 11 +46 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +46 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +46 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +46 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +46 0C0 00000000 FFFFFFFE 00 = 00000000 00 +46 0C1 00000000 FFFFFFFE 01 = 00000000 01 +46 0C2 00000000 FFFFFFFE 10 = 00000000 10 +46 0C3 00000000 FFFFFFFE 11 = 00000000 11 +46 0C4 00000001 FFFFFFFE 00 = 00000000 00 +46 0C5 00000001 FFFFFFFE 01 = 00000000 01 +46 0C6 00000001 FFFFFFFE 10 = 00000000 10 +46 0C7 00000001 FFFFFFFE 11 = 00000000 11 +46 0C8 00000002 FFFFFFFE 00 = 00000000 00 +46 0C9 00000002 FFFFFFFE 01 = 00000000 01 +46 0CA 00000002 FFFFFFFE 10 = 00000000 10 +46 0CB 00000002 FFFFFFFE 11 = 00000000 11 +46 0CC 7FFFFFFF FFFFFFFE 00 = 7F7F7FFF 00 +46 0CD 7FFFFFFF FFFFFFFE 01 = 7F7F7FFF 01 +46 0CE 7FFFFFFF FFFFFFFE 10 = 7F7F7FFF 10 +46 0CF 7FFFFFFF FFFFFFFE 11 = 7F7F7FFF 11 +46 0D0 80000000 FFFFFFFE 00 = 80808000 00 +46 0D1 80000000 FFFFFFFE 01 = 80808000 01 +46 0D2 80000000 FFFFFFFE 10 = 80808000 10 +46 0D3 80000000 FFFFFFFE 11 = 80808000 11 +46 0D4 80000001 FFFFFFFE 00 = 80808000 00 +46 0D5 80000001 FFFFFFFE 01 = 80808000 01 +46 0D6 80000001 FFFFFFFE 10 = 80808000 10 +46 0D7 80000001 FFFFFFFE 11 = 80808000 11 +46 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFF 00 +46 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFF 01 +46 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 +46 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 11 +46 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +46 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +46 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +46 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +46 0E0 00000000 FFFFFFFF 00 = 00000000 00 +46 0E1 00000000 FFFFFFFF 01 = 00000000 01 +46 0E2 00000000 FFFFFFFF 10 = 00000000 10 +46 0E3 00000000 FFFFFFFF 11 = 00000000 11 +46 0E4 00000001 FFFFFFFF 00 = 00000000 00 +46 0E5 00000001 FFFFFFFF 01 = 00000000 01 +46 0E6 00000001 FFFFFFFF 10 = 00000000 10 +46 0E7 00000001 FFFFFFFF 11 = 00000000 11 +46 0E8 00000002 FFFFFFFF 00 = 00000000 00 +46 0E9 00000002 FFFFFFFF 01 = 00000000 01 +46 0EA 00000002 FFFFFFFF 10 = 00000000 10 +46 0EB 00000002 FFFFFFFF 11 = 00000000 11 +46 0EC 7FFFFFFF FFFFFFFF 00 = 7F7F7F7F 00 +46 0ED 7FFFFFFF FFFFFFFF 01 = 7F7F7F7F 01 +46 0EE 7FFFFFFF FFFFFFFF 10 = 7F7F7F7F 10 +46 0EF 7FFFFFFF FFFFFFFF 11 = 7F7F7F7F 11 +46 0F0 80000000 FFFFFFFF 00 = 80808080 00 +46 0F1 80000000 FFFFFFFF 01 = 80808080 01 +46 0F2 80000000 FFFFFFFF 10 = 80808080 10 +46 0F3 80000000 FFFFFFFF 11 = 80808080 11 +46 0F4 80000001 FFFFFFFF 00 = 80808080 00 +46 0F5 80000001 FFFFFFFF 01 = 80808080 01 +46 0F6 80000001 FFFFFFFF 10 = 80808080 10 +46 0F7 80000001 FFFFFFFF 11 = 80808080 11 +46 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +46 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +46 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +46 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +46 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +46 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +46 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +46 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +muls ---D---- ---S---- CZ = ---Q---- CZ +47 000 00000000 00000000 00 = 00000000 01 +47 001 00000000 00000000 01 = 00000000 01 +47 002 00000000 00000000 10 = 00000000 11 +47 003 00000000 00000000 11 = 00000000 11 +47 004 00000001 00000000 00 = 00000000 01 +47 005 00000001 00000000 01 = 00000000 01 +47 006 00000001 00000000 10 = 00000000 11 +47 007 00000001 00000000 11 = 00000000 11 +47 008 00000002 00000000 00 = 00000000 01 +47 009 00000002 00000000 01 = 00000000 01 +47 00A 00000002 00000000 10 = 00000000 11 +47 00B 00000002 00000000 11 = 00000000 11 +47 00C 7FFFFFFF 00000000 00 = 00000000 01 +47 00D 7FFFFFFF 00000000 01 = 00000000 01 +47 00E 7FFFFFFF 00000000 10 = 00000000 11 +47 00F 7FFFFFFF 00000000 11 = 00000000 11 +47 010 80000000 00000000 00 = 00000000 01 +47 011 80000000 00000000 01 = 00000000 01 +47 012 80000000 00000000 10 = 00000000 11 +47 013 80000000 00000000 11 = 00000000 11 +47 014 80000001 00000000 00 = 00000000 01 +47 015 80000001 00000000 01 = 00000000 01 +47 016 80000001 00000000 10 = 00000000 11 +47 017 80000001 00000000 11 = 00000000 11 +47 018 FFFFFFFE 00000000 00 = 00000000 01 +47 019 FFFFFFFE 00000000 01 = 00000000 01 +47 01A FFFFFFFE 00000000 10 = 00000000 11 +47 01B FFFFFFFE 00000000 11 = 00000000 11 +47 01C FFFFFFFF 00000000 00 = 00000000 01 +47 01D FFFFFFFF 00000000 01 = 00000000 01 +47 01E FFFFFFFF 00000000 10 = 00000000 11 +47 01F FFFFFFFF 00000000 11 = 00000000 11 +47 020 00000000 00000001 00 = 00000000 01 +47 021 00000000 00000001 01 = 00000000 01 +47 022 00000000 00000001 10 = 00000000 11 +47 023 00000000 00000001 11 = 00000000 11 +47 024 00000001 00000001 00 = 00000001 00 +47 025 00000001 00000001 01 = 00000001 00 +47 026 00000001 00000001 10 = 00000001 10 +47 027 00000001 00000001 11 = 00000001 10 +47 028 00000002 00000001 00 = 00000002 00 +47 029 00000002 00000001 01 = 00000002 00 +47 02A 00000002 00000001 10 = 00000002 10 +47 02B 00000002 00000001 11 = 00000002 10 +47 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 +47 02D 7FFFFFFF 00000001 01 = FFFFFFFF 00 +47 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 +47 02F 7FFFFFFF 00000001 11 = FFFFFFFF 10 +47 030 80000000 00000001 00 = 00000000 01 +47 031 80000000 00000001 01 = 00000000 01 +47 032 80000000 00000001 10 = 00000000 11 +47 033 80000000 00000001 11 = 00000000 11 +47 034 80000001 00000001 00 = 00000001 00 +47 035 80000001 00000001 01 = 00000001 00 +47 036 80000001 00000001 10 = 00000001 10 +47 037 80000001 00000001 11 = 00000001 10 +47 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +47 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 +47 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +47 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +47 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +47 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 +47 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +47 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +47 040 00000000 00000002 00 = 00000000 01 +47 041 00000000 00000002 01 = 00000000 01 +47 042 00000000 00000002 10 = 00000000 11 +47 043 00000000 00000002 11 = 00000000 11 +47 044 00000001 00000002 00 = 00000002 00 +47 045 00000001 00000002 01 = 00000002 00 +47 046 00000001 00000002 10 = 00000002 10 +47 047 00000001 00000002 11 = 00000002 10 +47 048 00000002 00000002 00 = 00000004 00 +47 049 00000002 00000002 01 = 00000004 00 +47 04A 00000002 00000002 10 = 00000004 10 +47 04B 00000002 00000002 11 = 00000004 10 +47 04C 7FFFFFFF 00000002 00 = FFFFFFFE 00 +47 04D 7FFFFFFF 00000002 01 = FFFFFFFE 00 +47 04E 7FFFFFFF 00000002 10 = FFFFFFFE 10 +47 04F 7FFFFFFF 00000002 11 = FFFFFFFE 10 +47 050 80000000 00000002 00 = 00000000 01 +47 051 80000000 00000002 01 = 00000000 01 +47 052 80000000 00000002 10 = 00000000 11 +47 053 80000000 00000002 11 = 00000000 11 +47 054 80000001 00000002 00 = 00000002 00 +47 055 80000001 00000002 01 = 00000002 00 +47 056 80000001 00000002 10 = 00000002 10 +47 057 80000001 00000002 11 = 00000002 10 +47 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +47 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +47 05A FFFFFFFE 00000002 10 = FFFFFFFC 10 +47 05B FFFFFFFE 00000002 11 = FFFFFFFC 10 +47 05C FFFFFFFF 00000002 00 = FFFFFFFE 00 +47 05D FFFFFFFF 00000002 01 = FFFFFFFE 00 +47 05E FFFFFFFF 00000002 10 = FFFFFFFE 10 +47 05F FFFFFFFF 00000002 11 = FFFFFFFE 10 +47 060 00000000 7FFFFFFF 00 = 00000000 01 +47 061 00000000 7FFFFFFF 01 = 00000000 01 +47 062 00000000 7FFFFFFF 10 = 00000000 11 +47 063 00000000 7FFFFFFF 11 = 00000000 11 +47 064 00000001 7FFFFFFF 00 = FFFFFFFF 00 +47 065 00000001 7FFFFFFF 01 = FFFFFFFF 00 +47 066 00000001 7FFFFFFF 10 = FFFFFFFF 10 +47 067 00000001 7FFFFFFF 11 = FFFFFFFF 10 +47 068 00000002 7FFFFFFF 00 = FFFFFFFE 00 +47 069 00000002 7FFFFFFF 01 = FFFFFFFE 00 +47 06A 00000002 7FFFFFFF 10 = FFFFFFFE 10 +47 06B 00000002 7FFFFFFF 11 = FFFFFFFE 10 +47 06C 7FFFFFFF 7FFFFFFF 00 = 00000001 00 +47 06D 7FFFFFFF 7FFFFFFF 01 = 00000001 00 +47 06E 7FFFFFFF 7FFFFFFF 10 = 00000001 10 +47 06F 7FFFFFFF 7FFFFFFF 11 = 00000001 10 +47 070 80000000 7FFFFFFF 00 = 00000000 01 +47 071 80000000 7FFFFFFF 01 = 00000000 01 +47 072 80000000 7FFFFFFF 10 = 00000000 11 +47 073 80000000 7FFFFFFF 11 = 00000000 11 +47 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 +47 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 +47 076 80000001 7FFFFFFF 10 = FFFFFFFF 10 +47 077 80000001 7FFFFFFF 11 = FFFFFFFF 10 +47 078 FFFFFFFE 7FFFFFFF 00 = 00000002 00 +47 079 FFFFFFFE 7FFFFFFF 01 = 00000002 00 +47 07A FFFFFFFE 7FFFFFFF 10 = 00000002 10 +47 07B FFFFFFFE 7FFFFFFF 11 = 00000002 10 +47 07C FFFFFFFF 7FFFFFFF 00 = 00000001 00 +47 07D FFFFFFFF 7FFFFFFF 01 = 00000001 00 +47 07E FFFFFFFF 7FFFFFFF 10 = 00000001 10 +47 07F FFFFFFFF 7FFFFFFF 11 = 00000001 10 +47 080 00000000 80000000 00 = 00000000 01 +47 081 00000000 80000000 01 = 00000000 01 +47 082 00000000 80000000 10 = 00000000 11 +47 083 00000000 80000000 11 = 00000000 11 +47 084 00000001 80000000 00 = 00000000 01 +47 085 00000001 80000000 01 = 00000000 01 +47 086 00000001 80000000 10 = 00000000 11 +47 087 00000001 80000000 11 = 00000000 11 +47 088 00000002 80000000 00 = 00000000 01 +47 089 00000002 80000000 01 = 00000000 01 +47 08A 00000002 80000000 10 = 00000000 11 +47 08B 00000002 80000000 11 = 00000000 11 +47 08C 7FFFFFFF 80000000 00 = 00000000 01 +47 08D 7FFFFFFF 80000000 01 = 00000000 01 +47 08E 7FFFFFFF 80000000 10 = 00000000 11 +47 08F 7FFFFFFF 80000000 11 = 00000000 11 +47 090 80000000 80000000 00 = 00000000 01 +47 091 80000000 80000000 01 = 00000000 01 +47 092 80000000 80000000 10 = 00000000 11 +47 093 80000000 80000000 11 = 00000000 11 +47 094 80000001 80000000 00 = 00000000 01 +47 095 80000001 80000000 01 = 00000000 01 +47 096 80000001 80000000 10 = 00000000 11 +47 097 80000001 80000000 11 = 00000000 11 +47 098 FFFFFFFE 80000000 00 = 00000000 01 +47 099 FFFFFFFE 80000000 01 = 00000000 01 +47 09A FFFFFFFE 80000000 10 = 00000000 11 +47 09B FFFFFFFE 80000000 11 = 00000000 11 +47 09C FFFFFFFF 80000000 00 = 00000000 01 +47 09D FFFFFFFF 80000000 01 = 00000000 01 +47 09E FFFFFFFF 80000000 10 = 00000000 11 +47 09F FFFFFFFF 80000000 11 = 00000000 11 +47 0A0 00000000 80000001 00 = 00000000 01 +47 0A1 00000000 80000001 01 = 00000000 01 +47 0A2 00000000 80000001 10 = 00000000 11 +47 0A3 00000000 80000001 11 = 00000000 11 +47 0A4 00000001 80000001 00 = 00000001 00 +47 0A5 00000001 80000001 01 = 00000001 00 +47 0A6 00000001 80000001 10 = 00000001 10 +47 0A7 00000001 80000001 11 = 00000001 10 +47 0A8 00000002 80000001 00 = 00000002 00 +47 0A9 00000002 80000001 01 = 00000002 00 +47 0AA 00000002 80000001 10 = 00000002 10 +47 0AB 00000002 80000001 11 = 00000002 10 +47 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +47 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 +47 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 +47 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 10 +47 0B0 80000000 80000001 00 = 00000000 01 +47 0B1 80000000 80000001 01 = 00000000 01 +47 0B2 80000000 80000001 10 = 00000000 11 +47 0B3 80000000 80000001 11 = 00000000 11 +47 0B4 80000001 80000001 00 = 00000001 00 +47 0B5 80000001 80000001 01 = 00000001 00 +47 0B6 80000001 80000001 10 = 00000001 10 +47 0B7 80000001 80000001 11 = 00000001 10 +47 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +47 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +47 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +47 0BB FFFFFFFE 80000001 11 = FFFFFFFE 10 +47 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +47 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +47 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +47 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 +47 0C0 00000000 FFFFFFFE 00 = 00000000 01 +47 0C1 00000000 FFFFFFFE 01 = 00000000 01 +47 0C2 00000000 FFFFFFFE 10 = 00000000 11 +47 0C3 00000000 FFFFFFFE 11 = 00000000 11 +47 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 00 +47 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 00 +47 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 +47 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 +47 0C8 00000002 FFFFFFFE 00 = FFFFFFFC 00 +47 0C9 00000002 FFFFFFFE 01 = FFFFFFFC 00 +47 0CA 00000002 FFFFFFFE 10 = FFFFFFFC 10 +47 0CB 00000002 FFFFFFFE 11 = FFFFFFFC 10 +47 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 00 +47 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 00 +47 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 10 +47 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 10 +47 0D0 80000000 FFFFFFFE 00 = 00000000 01 +47 0D1 80000000 FFFFFFFE 01 = 00000000 01 +47 0D2 80000000 FFFFFFFE 10 = 00000000 11 +47 0D3 80000000 FFFFFFFE 11 = 00000000 11 +47 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 00 +47 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 00 +47 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 +47 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 +47 0D8 FFFFFFFE FFFFFFFE 00 = 00000004 00 +47 0D9 FFFFFFFE FFFFFFFE 01 = 00000004 00 +47 0DA FFFFFFFE FFFFFFFE 10 = 00000004 10 +47 0DB FFFFFFFE FFFFFFFE 11 = 00000004 10 +47 0DC FFFFFFFF FFFFFFFE 00 = 00000002 00 +47 0DD FFFFFFFF FFFFFFFE 01 = 00000002 00 +47 0DE FFFFFFFF FFFFFFFE 10 = 00000002 10 +47 0DF FFFFFFFF FFFFFFFE 11 = 00000002 10 +47 0E0 00000000 FFFFFFFF 00 = 00000000 01 +47 0E1 00000000 FFFFFFFF 01 = 00000000 01 +47 0E2 00000000 FFFFFFFF 10 = 00000000 11 +47 0E3 00000000 FFFFFFFF 11 = 00000000 11 +47 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 +47 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 +47 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +47 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 +47 0E8 00000002 FFFFFFFF 00 = FFFFFFFE 00 +47 0E9 00000002 FFFFFFFF 01 = FFFFFFFE 00 +47 0EA 00000002 FFFFFFFF 10 = FFFFFFFE 10 +47 0EB 00000002 FFFFFFFF 11 = FFFFFFFE 10 +47 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 00 +47 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 00 +47 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 10 +47 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 10 +47 0F0 80000000 FFFFFFFF 00 = 00000000 01 +47 0F1 80000000 FFFFFFFF 01 = 00000000 01 +47 0F2 80000000 FFFFFFFF 10 = 00000000 11 +47 0F3 80000000 FFFFFFFF 11 = 00000000 11 +47 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +47 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 +47 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +47 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 +47 0F8 FFFFFFFE FFFFFFFF 00 = 00000002 00 +47 0F9 FFFFFFFE FFFFFFFF 01 = 00000002 00 +47 0FA FFFFFFFE FFFFFFFF 10 = 00000002 10 +47 0FB FFFFFFFE FFFFFFFF 11 = 00000002 10 +47 0FC FFFFFFFF FFFFFFFF 00 = 00000001 00 +47 0FD FFFFFFFF FFFFFFFF 01 = 00000001 00 +47 0FE FFFFFFFF FFFFFFFF 10 = 00000001 10 +47 0FF FFFFFFFF FFFFFFFF 11 = 00000001 10 diff --git a/verify/testhdw1.txt b/verify/testhdw1.txt new file mode 100755 index 0000000..4ef3217 --- /dev/null +++ b/verify/testhdw1.txt @@ -0,0 +1,16448 @@ +testb0 ---D---- ---S---- CZ = ---Q---- CZ +00 000 00000000 00000000 00 = 00000000 00 +00 001 00000000 00000000 01 = 00000000 01 +00 002 00000000 00000000 10 = 00000000 00 +00 003 00000000 00000000 11 = 00000000 01 +00 004 00000001 00000000 00 = 00000001 10 +00 005 00000001 00000000 01 = 00000001 11 +00 006 00000001 00000000 10 = 00000001 10 +00 007 00000001 00000000 11 = 00000001 11 +00 008 00000002 00000000 00 = 00000002 00 +00 009 00000002 00000000 01 = 00000002 01 +00 00A 00000002 00000000 10 = 00000002 00 +00 00B 00000002 00000000 11 = 00000002 01 +00 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +00 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 11 +00 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +00 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +00 010 80000000 00000000 00 = 80000000 00 +00 011 80000000 00000000 01 = 80000000 01 +00 012 80000000 00000000 10 = 80000000 00 +00 013 80000000 00000000 11 = 80000000 01 +00 014 80000001 00000000 00 = 80000001 10 +00 015 80000001 00000000 01 = 80000001 11 +00 016 80000001 00000000 10 = 80000001 10 +00 017 80000001 00000000 11 = 80000001 11 +00 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +00 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +00 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +00 01B FFFFFFFE 00000000 11 = FFFFFFFE 01 +00 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +00 01D FFFFFFFF 00000000 01 = FFFFFFFF 11 +00 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +00 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +00 020 00000000 00000001 00 = 00000000 00 +00 021 00000000 00000001 01 = 00000000 01 +00 022 00000000 00000001 10 = 00000000 00 +00 023 00000000 00000001 11 = 00000000 01 +00 024 00000001 00000001 00 = 00000001 00 +00 025 00000001 00000001 01 = 00000001 01 +00 026 00000001 00000001 10 = 00000001 00 +00 027 00000001 00000001 11 = 00000001 01 +00 028 00000002 00000001 00 = 00000002 10 +00 029 00000002 00000001 01 = 00000002 11 +00 02A 00000002 00000001 10 = 00000002 10 +00 02B 00000002 00000001 11 = 00000002 11 +00 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 +00 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 11 +00 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +00 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 +00 030 80000000 00000001 00 = 80000000 00 +00 031 80000000 00000001 01 = 80000000 01 +00 032 80000000 00000001 10 = 80000000 00 +00 033 80000000 00000001 11 = 80000000 01 +00 034 80000001 00000001 00 = 80000001 00 +00 035 80000001 00000001 01 = 80000001 01 +00 036 80000001 00000001 10 = 80000001 00 +00 037 80000001 00000001 11 = 80000001 01 +00 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +00 039 FFFFFFFE 00000001 01 = FFFFFFFE 11 +00 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +00 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 +00 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +00 03D FFFFFFFF 00000001 01 = FFFFFFFF 11 +00 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +00 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +00 040 00000000 00000002 00 = 00000000 00 +00 041 00000000 00000002 01 = 00000000 01 +00 042 00000000 00000002 10 = 00000000 00 +00 043 00000000 00000002 11 = 00000000 01 +00 044 00000001 00000002 00 = 00000001 00 +00 045 00000001 00000002 01 = 00000001 01 +00 046 00000001 00000002 10 = 00000001 00 +00 047 00000001 00000002 11 = 00000001 01 +00 048 00000002 00000002 00 = 00000002 00 +00 049 00000002 00000002 01 = 00000002 01 +00 04A 00000002 00000002 10 = 00000002 00 +00 04B 00000002 00000002 11 = 00000002 01 +00 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 +00 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 11 +00 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +00 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 +00 050 80000000 00000002 00 = 80000000 00 +00 051 80000000 00000002 01 = 80000000 01 +00 052 80000000 00000002 10 = 80000000 00 +00 053 80000000 00000002 11 = 80000000 01 +00 054 80000001 00000002 00 = 80000001 00 +00 055 80000001 00000002 01 = 80000001 01 +00 056 80000001 00000002 10 = 80000001 00 +00 057 80000001 00000002 11 = 80000001 01 +00 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +00 059 FFFFFFFE 00000002 01 = FFFFFFFE 11 +00 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +00 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +00 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +00 05D FFFFFFFF 00000002 01 = FFFFFFFF 11 +00 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +00 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +00 060 00000000 7FFFFFFF 00 = 00000000 00 +00 061 00000000 7FFFFFFF 01 = 00000000 01 +00 062 00000000 7FFFFFFF 10 = 00000000 00 +00 063 00000000 7FFFFFFF 11 = 00000000 01 +00 064 00000001 7FFFFFFF 00 = 00000001 00 +00 065 00000001 7FFFFFFF 01 = 00000001 01 +00 066 00000001 7FFFFFFF 10 = 00000001 00 +00 067 00000001 7FFFFFFF 11 = 00000001 01 +00 068 00000002 7FFFFFFF 00 = 00000002 00 +00 069 00000002 7FFFFFFF 01 = 00000002 01 +00 06A 00000002 7FFFFFFF 10 = 00000002 00 +00 06B 00000002 7FFFFFFF 11 = 00000002 01 +00 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +00 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +00 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +00 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 +00 070 80000000 7FFFFFFF 00 = 80000000 10 +00 071 80000000 7FFFFFFF 01 = 80000000 11 +00 072 80000000 7FFFFFFF 10 = 80000000 10 +00 073 80000000 7FFFFFFF 11 = 80000000 11 +00 074 80000001 7FFFFFFF 00 = 80000001 10 +00 075 80000001 7FFFFFFF 01 = 80000001 11 +00 076 80000001 7FFFFFFF 10 = 80000001 10 +00 077 80000001 7FFFFFFF 11 = 80000001 11 +00 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 +00 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 11 +00 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +00 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +00 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +00 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 11 +00 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +00 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +00 080 00000000 80000000 00 = 00000000 00 +00 081 00000000 80000000 01 = 00000000 01 +00 082 00000000 80000000 10 = 00000000 00 +00 083 00000000 80000000 11 = 00000000 01 +00 084 00000001 80000000 00 = 00000001 10 +00 085 00000001 80000000 01 = 00000001 11 +00 086 00000001 80000000 10 = 00000001 10 +00 087 00000001 80000000 11 = 00000001 11 +00 088 00000002 80000000 00 = 00000002 00 +00 089 00000002 80000000 01 = 00000002 01 +00 08A 00000002 80000000 10 = 00000002 00 +00 08B 00000002 80000000 11 = 00000002 01 +00 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +00 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 11 +00 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +00 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 +00 090 80000000 80000000 00 = 80000000 00 +00 091 80000000 80000000 01 = 80000000 01 +00 092 80000000 80000000 10 = 80000000 00 +00 093 80000000 80000000 11 = 80000000 01 +00 094 80000001 80000000 00 = 80000001 10 +00 095 80000001 80000000 01 = 80000001 11 +00 096 80000001 80000000 10 = 80000001 10 +00 097 80000001 80000000 11 = 80000001 11 +00 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +00 099 FFFFFFFE 80000000 01 = FFFFFFFE 01 +00 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +00 09B FFFFFFFE 80000000 11 = FFFFFFFE 01 +00 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +00 09D FFFFFFFF 80000000 01 = FFFFFFFF 11 +00 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +00 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +00 0A0 00000000 80000001 00 = 00000000 00 +00 0A1 00000000 80000001 01 = 00000000 01 +00 0A2 00000000 80000001 10 = 00000000 00 +00 0A3 00000000 80000001 11 = 00000000 01 +00 0A4 00000001 80000001 00 = 00000001 00 +00 0A5 00000001 80000001 01 = 00000001 01 +00 0A6 00000001 80000001 10 = 00000001 00 +00 0A7 00000001 80000001 11 = 00000001 01 +00 0A8 00000002 80000001 00 = 00000002 10 +00 0A9 00000002 80000001 01 = 00000002 11 +00 0AA 00000002 80000001 10 = 00000002 10 +00 0AB 00000002 80000001 11 = 00000002 11 +00 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 +00 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 11 +00 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 +00 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 +00 0B0 80000000 80000001 00 = 80000000 00 +00 0B1 80000000 80000001 01 = 80000000 01 +00 0B2 80000000 80000001 10 = 80000000 00 +00 0B3 80000000 80000001 11 = 80000000 01 +00 0B4 80000001 80000001 00 = 80000001 00 +00 0B5 80000001 80000001 01 = 80000001 01 +00 0B6 80000001 80000001 10 = 80000001 00 +00 0B7 80000001 80000001 11 = 80000001 01 +00 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 10 +00 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 11 +00 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +00 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 +00 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 +00 0BD FFFFFFFF 80000001 01 = FFFFFFFF 11 +00 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +00 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +00 0C0 00000000 FFFFFFFE 00 = 00000000 00 +00 0C1 00000000 FFFFFFFE 01 = 00000000 01 +00 0C2 00000000 FFFFFFFE 10 = 00000000 00 +00 0C3 00000000 FFFFFFFE 11 = 00000000 01 +00 0C4 00000001 FFFFFFFE 00 = 00000001 00 +00 0C5 00000001 FFFFFFFE 01 = 00000001 01 +00 0C6 00000001 FFFFFFFE 10 = 00000001 00 +00 0C7 00000001 FFFFFFFE 11 = 00000001 01 +00 0C8 00000002 FFFFFFFE 00 = 00000002 00 +00 0C9 00000002 FFFFFFFE 01 = 00000002 01 +00 0CA 00000002 FFFFFFFE 10 = 00000002 00 +00 0CB 00000002 FFFFFFFE 11 = 00000002 01 +00 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 +00 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 11 +00 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +00 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +00 0D0 80000000 FFFFFFFE 00 = 80000000 00 +00 0D1 80000000 FFFFFFFE 01 = 80000000 01 +00 0D2 80000000 FFFFFFFE 10 = 80000000 00 +00 0D3 80000000 FFFFFFFE 11 = 80000000 01 +00 0D4 80000001 FFFFFFFE 00 = 80000001 00 +00 0D5 80000001 FFFFFFFE 01 = 80000001 01 +00 0D6 80000001 FFFFFFFE 10 = 80000001 00 +00 0D7 80000001 FFFFFFFE 11 = 80000001 01 +00 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +00 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 11 +00 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +00 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +00 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +00 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 11 +00 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +00 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +00 0E0 00000000 FFFFFFFF 00 = 00000000 00 +00 0E1 00000000 FFFFFFFF 01 = 00000000 01 +00 0E2 00000000 FFFFFFFF 10 = 00000000 00 +00 0E3 00000000 FFFFFFFF 11 = 00000000 01 +00 0E4 00000001 FFFFFFFF 00 = 00000001 00 +00 0E5 00000001 FFFFFFFF 01 = 00000001 01 +00 0E6 00000001 FFFFFFFF 10 = 00000001 00 +00 0E7 00000001 FFFFFFFF 11 = 00000001 01 +00 0E8 00000002 FFFFFFFF 00 = 00000002 00 +00 0E9 00000002 FFFFFFFF 01 = 00000002 01 +00 0EA 00000002 FFFFFFFF 10 = 00000002 00 +00 0EB 00000002 FFFFFFFF 11 = 00000002 01 +00 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +00 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +00 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +00 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 01 +00 0F0 80000000 FFFFFFFF 00 = 80000000 10 +00 0F1 80000000 FFFFFFFF 01 = 80000000 11 +00 0F2 80000000 FFFFFFFF 10 = 80000000 10 +00 0F3 80000000 FFFFFFFF 11 = 80000000 11 +00 0F4 80000001 FFFFFFFF 00 = 80000001 10 +00 0F5 80000001 FFFFFFFF 01 = 80000001 11 +00 0F6 80000001 FFFFFFFF 10 = 80000001 10 +00 0F7 80000001 FFFFFFFF 11 = 80000001 11 +00 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +00 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 11 +00 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +00 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +00 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +00 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 11 +00 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +00 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +testb1 ---D---- ---S---- CZ = ---Q---- CZ +01 000 00000000 00000000 00 = 00000000 00 +01 001 00000000 00000000 01 = 00000000 01 +01 002 00000000 00000000 10 = 00000000 00 +01 003 00000000 00000000 11 = 00000000 01 +01 004 00000001 00000000 00 = 00000001 00 +01 005 00000001 00000000 01 = 00000001 01 +01 006 00000001 00000000 10 = 00000001 10 +01 007 00000001 00000000 11 = 00000001 11 +01 008 00000002 00000000 00 = 00000002 00 +01 009 00000002 00000000 01 = 00000002 01 +01 00A 00000002 00000000 10 = 00000002 00 +01 00B 00000002 00000000 11 = 00000002 01 +01 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +01 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +01 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +01 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +01 010 80000000 00000000 00 = 80000000 00 +01 011 80000000 00000000 01 = 80000000 01 +01 012 80000000 00000000 10 = 80000000 00 +01 013 80000000 00000000 11 = 80000000 01 +01 014 80000001 00000000 00 = 80000001 00 +01 015 80000001 00000000 01 = 80000001 01 +01 016 80000001 00000000 10 = 80000001 10 +01 017 80000001 00000000 11 = 80000001 11 +01 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +01 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +01 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +01 01B FFFFFFFE 00000000 11 = FFFFFFFE 01 +01 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +01 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +01 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +01 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +01 020 00000000 00000001 00 = 00000000 00 +01 021 00000000 00000001 01 = 00000000 01 +01 022 00000000 00000001 10 = 00000000 00 +01 023 00000000 00000001 11 = 00000000 01 +01 024 00000001 00000001 00 = 00000001 00 +01 025 00000001 00000001 01 = 00000001 01 +01 026 00000001 00000001 10 = 00000001 00 +01 027 00000001 00000001 11 = 00000001 01 +01 028 00000002 00000001 00 = 00000002 00 +01 029 00000002 00000001 01 = 00000002 01 +01 02A 00000002 00000001 10 = 00000002 10 +01 02B 00000002 00000001 11 = 00000002 11 +01 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +01 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 01 +01 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +01 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 +01 030 80000000 00000001 00 = 80000000 00 +01 031 80000000 00000001 01 = 80000000 01 +01 032 80000000 00000001 10 = 80000000 00 +01 033 80000000 00000001 11 = 80000000 01 +01 034 80000001 00000001 00 = 80000001 00 +01 035 80000001 00000001 01 = 80000001 01 +01 036 80000001 00000001 10 = 80000001 00 +01 037 80000001 00000001 11 = 80000001 01 +01 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +01 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 +01 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +01 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 +01 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +01 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +01 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +01 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +01 040 00000000 00000002 00 = 00000000 00 +01 041 00000000 00000002 01 = 00000000 01 +01 042 00000000 00000002 10 = 00000000 00 +01 043 00000000 00000002 11 = 00000000 01 +01 044 00000001 00000002 00 = 00000001 00 +01 045 00000001 00000002 01 = 00000001 01 +01 046 00000001 00000002 10 = 00000001 00 +01 047 00000001 00000002 11 = 00000001 01 +01 048 00000002 00000002 00 = 00000002 00 +01 049 00000002 00000002 01 = 00000002 01 +01 04A 00000002 00000002 10 = 00000002 00 +01 04B 00000002 00000002 11 = 00000002 01 +01 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +01 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 01 +01 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +01 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 +01 050 80000000 00000002 00 = 80000000 00 +01 051 80000000 00000002 01 = 80000000 01 +01 052 80000000 00000002 10 = 80000000 00 +01 053 80000000 00000002 11 = 80000000 01 +01 054 80000001 00000002 00 = 80000001 00 +01 055 80000001 00000002 01 = 80000001 01 +01 056 80000001 00000002 10 = 80000001 00 +01 057 80000001 00000002 11 = 80000001 01 +01 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +01 059 FFFFFFFE 00000002 01 = FFFFFFFE 01 +01 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +01 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +01 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +01 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +01 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +01 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +01 060 00000000 7FFFFFFF 00 = 00000000 00 +01 061 00000000 7FFFFFFF 01 = 00000000 01 +01 062 00000000 7FFFFFFF 10 = 00000000 00 +01 063 00000000 7FFFFFFF 11 = 00000000 01 +01 064 00000001 7FFFFFFF 00 = 00000001 00 +01 065 00000001 7FFFFFFF 01 = 00000001 01 +01 066 00000001 7FFFFFFF 10 = 00000001 00 +01 067 00000001 7FFFFFFF 11 = 00000001 01 +01 068 00000002 7FFFFFFF 00 = 00000002 00 +01 069 00000002 7FFFFFFF 01 = 00000002 01 +01 06A 00000002 7FFFFFFF 10 = 00000002 00 +01 06B 00000002 7FFFFFFF 11 = 00000002 01 +01 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +01 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +01 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +01 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 +01 070 80000000 7FFFFFFF 00 = 80000000 00 +01 071 80000000 7FFFFFFF 01 = 80000000 01 +01 072 80000000 7FFFFFFF 10 = 80000000 10 +01 073 80000000 7FFFFFFF 11 = 80000000 11 +01 074 80000001 7FFFFFFF 00 = 80000001 00 +01 075 80000001 7FFFFFFF 01 = 80000001 01 +01 076 80000001 7FFFFFFF 10 = 80000001 10 +01 077 80000001 7FFFFFFF 11 = 80000001 11 +01 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +01 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +01 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +01 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +01 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +01 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +01 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +01 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +01 080 00000000 80000000 00 = 00000000 00 +01 081 00000000 80000000 01 = 00000000 01 +01 082 00000000 80000000 10 = 00000000 00 +01 083 00000000 80000000 11 = 00000000 01 +01 084 00000001 80000000 00 = 00000001 00 +01 085 00000001 80000000 01 = 00000001 01 +01 086 00000001 80000000 10 = 00000001 10 +01 087 00000001 80000000 11 = 00000001 11 +01 088 00000002 80000000 00 = 00000002 00 +01 089 00000002 80000000 01 = 00000002 01 +01 08A 00000002 80000000 10 = 00000002 00 +01 08B 00000002 80000000 11 = 00000002 01 +01 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +01 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 01 +01 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +01 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 +01 090 80000000 80000000 00 = 80000000 00 +01 091 80000000 80000000 01 = 80000000 01 +01 092 80000000 80000000 10 = 80000000 00 +01 093 80000000 80000000 11 = 80000000 01 +01 094 80000001 80000000 00 = 80000001 00 +01 095 80000001 80000000 01 = 80000001 01 +01 096 80000001 80000000 10 = 80000001 10 +01 097 80000001 80000000 11 = 80000001 11 +01 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +01 099 FFFFFFFE 80000000 01 = FFFFFFFE 01 +01 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +01 09B FFFFFFFE 80000000 11 = FFFFFFFE 01 +01 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +01 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +01 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +01 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +01 0A0 00000000 80000001 00 = 00000000 00 +01 0A1 00000000 80000001 01 = 00000000 01 +01 0A2 00000000 80000001 10 = 00000000 00 +01 0A3 00000000 80000001 11 = 00000000 01 +01 0A4 00000001 80000001 00 = 00000001 00 +01 0A5 00000001 80000001 01 = 00000001 01 +01 0A6 00000001 80000001 10 = 00000001 00 +01 0A7 00000001 80000001 11 = 00000001 01 +01 0A8 00000002 80000001 00 = 00000002 00 +01 0A9 00000002 80000001 01 = 00000002 01 +01 0AA 00000002 80000001 10 = 00000002 10 +01 0AB 00000002 80000001 11 = 00000002 11 +01 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +01 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 01 +01 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 +01 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 +01 0B0 80000000 80000001 00 = 80000000 00 +01 0B1 80000000 80000001 01 = 80000000 01 +01 0B2 80000000 80000001 10 = 80000000 00 +01 0B3 80000000 80000001 11 = 80000000 01 +01 0B4 80000001 80000001 00 = 80000001 00 +01 0B5 80000001 80000001 01 = 80000001 01 +01 0B6 80000001 80000001 10 = 80000001 00 +01 0B7 80000001 80000001 11 = 80000001 01 +01 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +01 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 01 +01 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +01 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 +01 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +01 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +01 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +01 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +01 0C0 00000000 FFFFFFFE 00 = 00000000 00 +01 0C1 00000000 FFFFFFFE 01 = 00000000 01 +01 0C2 00000000 FFFFFFFE 10 = 00000000 00 +01 0C3 00000000 FFFFFFFE 11 = 00000000 01 +01 0C4 00000001 FFFFFFFE 00 = 00000001 00 +01 0C5 00000001 FFFFFFFE 01 = 00000001 01 +01 0C6 00000001 FFFFFFFE 10 = 00000001 00 +01 0C7 00000001 FFFFFFFE 11 = 00000001 01 +01 0C8 00000002 FFFFFFFE 00 = 00000002 00 +01 0C9 00000002 FFFFFFFE 01 = 00000002 01 +01 0CA 00000002 FFFFFFFE 10 = 00000002 00 +01 0CB 00000002 FFFFFFFE 11 = 00000002 01 +01 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +01 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 01 +01 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +01 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +01 0D0 80000000 FFFFFFFE 00 = 80000000 00 +01 0D1 80000000 FFFFFFFE 01 = 80000000 01 +01 0D2 80000000 FFFFFFFE 10 = 80000000 00 +01 0D3 80000000 FFFFFFFE 11 = 80000000 01 +01 0D4 80000001 FFFFFFFE 00 = 80000001 00 +01 0D5 80000001 FFFFFFFE 01 = 80000001 01 +01 0D6 80000001 FFFFFFFE 10 = 80000001 00 +01 0D7 80000001 FFFFFFFE 11 = 80000001 01 +01 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +01 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +01 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +01 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +01 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +01 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +01 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +01 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +01 0E0 00000000 FFFFFFFF 00 = 00000000 00 +01 0E1 00000000 FFFFFFFF 01 = 00000000 01 +01 0E2 00000000 FFFFFFFF 10 = 00000000 00 +01 0E3 00000000 FFFFFFFF 11 = 00000000 01 +01 0E4 00000001 FFFFFFFF 00 = 00000001 00 +01 0E5 00000001 FFFFFFFF 01 = 00000001 01 +01 0E6 00000001 FFFFFFFF 10 = 00000001 00 +01 0E7 00000001 FFFFFFFF 11 = 00000001 01 +01 0E8 00000002 FFFFFFFF 00 = 00000002 00 +01 0E9 00000002 FFFFFFFF 01 = 00000002 01 +01 0EA 00000002 FFFFFFFF 10 = 00000002 00 +01 0EB 00000002 FFFFFFFF 11 = 00000002 01 +01 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +01 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +01 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +01 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 01 +01 0F0 80000000 FFFFFFFF 00 = 80000000 00 +01 0F1 80000000 FFFFFFFF 01 = 80000000 01 +01 0F2 80000000 FFFFFFFF 10 = 80000000 10 +01 0F3 80000000 FFFFFFFF 11 = 80000000 11 +01 0F4 80000001 FFFFFFFF 00 = 80000001 00 +01 0F5 80000001 FFFFFFFF 01 = 80000001 01 +01 0F6 80000001 FFFFFFFF 10 = 80000001 10 +01 0F7 80000001 FFFFFFFF 11 = 80000001 11 +01 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +01 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +01 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +01 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +01 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +01 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +01 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +01 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +testb2 ---D---- ---S---- CZ = ---Q---- CZ +02 000 00000000 00000000 00 = 00000000 00 +02 001 00000000 00000000 01 = 00000000 01 +02 002 00000000 00000000 10 = 00000000 10 +02 003 00000000 00000000 11 = 00000000 11 +02 004 00000001 00000000 00 = 00000001 10 +02 005 00000001 00000000 01 = 00000001 11 +02 006 00000001 00000000 10 = 00000001 10 +02 007 00000001 00000000 11 = 00000001 11 +02 008 00000002 00000000 00 = 00000002 00 +02 009 00000002 00000000 01 = 00000002 01 +02 00A 00000002 00000000 10 = 00000002 10 +02 00B 00000002 00000000 11 = 00000002 11 +02 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +02 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 11 +02 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +02 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +02 010 80000000 00000000 00 = 80000000 00 +02 011 80000000 00000000 01 = 80000000 01 +02 012 80000000 00000000 10 = 80000000 10 +02 013 80000000 00000000 11 = 80000000 11 +02 014 80000001 00000000 00 = 80000001 10 +02 015 80000001 00000000 01 = 80000001 11 +02 016 80000001 00000000 10 = 80000001 10 +02 017 80000001 00000000 11 = 80000001 11 +02 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +02 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +02 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +02 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +02 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +02 01D FFFFFFFF 00000000 01 = FFFFFFFF 11 +02 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +02 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +02 020 00000000 00000001 00 = 00000000 00 +02 021 00000000 00000001 01 = 00000000 01 +02 022 00000000 00000001 10 = 00000000 10 +02 023 00000000 00000001 11 = 00000000 11 +02 024 00000001 00000001 00 = 00000001 00 +02 025 00000001 00000001 01 = 00000001 01 +02 026 00000001 00000001 10 = 00000001 10 +02 027 00000001 00000001 11 = 00000001 11 +02 028 00000002 00000001 00 = 00000002 10 +02 029 00000002 00000001 01 = 00000002 11 +02 02A 00000002 00000001 10 = 00000002 10 +02 02B 00000002 00000001 11 = 00000002 11 +02 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 +02 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 11 +02 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +02 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 +02 030 80000000 00000001 00 = 80000000 00 +02 031 80000000 00000001 01 = 80000000 01 +02 032 80000000 00000001 10 = 80000000 10 +02 033 80000000 00000001 11 = 80000000 11 +02 034 80000001 00000001 00 = 80000001 00 +02 035 80000001 00000001 01 = 80000001 01 +02 036 80000001 00000001 10 = 80000001 10 +02 037 80000001 00000001 11 = 80000001 11 +02 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +02 039 FFFFFFFE 00000001 01 = FFFFFFFE 11 +02 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +02 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 +02 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +02 03D FFFFFFFF 00000001 01 = FFFFFFFF 11 +02 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +02 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +02 040 00000000 00000002 00 = 00000000 00 +02 041 00000000 00000002 01 = 00000000 01 +02 042 00000000 00000002 10 = 00000000 10 +02 043 00000000 00000002 11 = 00000000 11 +02 044 00000001 00000002 00 = 00000001 00 +02 045 00000001 00000002 01 = 00000001 01 +02 046 00000001 00000002 10 = 00000001 10 +02 047 00000001 00000002 11 = 00000001 11 +02 048 00000002 00000002 00 = 00000002 00 +02 049 00000002 00000002 01 = 00000002 01 +02 04A 00000002 00000002 10 = 00000002 10 +02 04B 00000002 00000002 11 = 00000002 11 +02 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 +02 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 11 +02 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +02 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 +02 050 80000000 00000002 00 = 80000000 00 +02 051 80000000 00000002 01 = 80000000 01 +02 052 80000000 00000002 10 = 80000000 10 +02 053 80000000 00000002 11 = 80000000 11 +02 054 80000001 00000002 00 = 80000001 00 +02 055 80000001 00000002 01 = 80000001 01 +02 056 80000001 00000002 10 = 80000001 10 +02 057 80000001 00000002 11 = 80000001 11 +02 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +02 059 FFFFFFFE 00000002 01 = FFFFFFFE 11 +02 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +02 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +02 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +02 05D FFFFFFFF 00000002 01 = FFFFFFFF 11 +02 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +02 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +02 060 00000000 7FFFFFFF 00 = 00000000 00 +02 061 00000000 7FFFFFFF 01 = 00000000 01 +02 062 00000000 7FFFFFFF 10 = 00000000 10 +02 063 00000000 7FFFFFFF 11 = 00000000 11 +02 064 00000001 7FFFFFFF 00 = 00000001 00 +02 065 00000001 7FFFFFFF 01 = 00000001 01 +02 066 00000001 7FFFFFFF 10 = 00000001 10 +02 067 00000001 7FFFFFFF 11 = 00000001 11 +02 068 00000002 7FFFFFFF 00 = 00000002 00 +02 069 00000002 7FFFFFFF 01 = 00000002 01 +02 06A 00000002 7FFFFFFF 10 = 00000002 10 +02 06B 00000002 7FFFFFFF 11 = 00000002 11 +02 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +02 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +02 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +02 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +02 070 80000000 7FFFFFFF 00 = 80000000 10 +02 071 80000000 7FFFFFFF 01 = 80000000 11 +02 072 80000000 7FFFFFFF 10 = 80000000 10 +02 073 80000000 7FFFFFFF 11 = 80000000 11 +02 074 80000001 7FFFFFFF 00 = 80000001 10 +02 075 80000001 7FFFFFFF 01 = 80000001 11 +02 076 80000001 7FFFFFFF 10 = 80000001 10 +02 077 80000001 7FFFFFFF 11 = 80000001 11 +02 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 +02 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 11 +02 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +02 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +02 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +02 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 11 +02 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +02 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +02 080 00000000 80000000 00 = 00000000 00 +02 081 00000000 80000000 01 = 00000000 01 +02 082 00000000 80000000 10 = 00000000 10 +02 083 00000000 80000000 11 = 00000000 11 +02 084 00000001 80000000 00 = 00000001 10 +02 085 00000001 80000000 01 = 00000001 11 +02 086 00000001 80000000 10 = 00000001 10 +02 087 00000001 80000000 11 = 00000001 11 +02 088 00000002 80000000 00 = 00000002 00 +02 089 00000002 80000000 01 = 00000002 01 +02 08A 00000002 80000000 10 = 00000002 10 +02 08B 00000002 80000000 11 = 00000002 11 +02 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +02 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 11 +02 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +02 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 +02 090 80000000 80000000 00 = 80000000 00 +02 091 80000000 80000000 01 = 80000000 01 +02 092 80000000 80000000 10 = 80000000 10 +02 093 80000000 80000000 11 = 80000000 11 +02 094 80000001 80000000 00 = 80000001 10 +02 095 80000001 80000000 01 = 80000001 11 +02 096 80000001 80000000 10 = 80000001 10 +02 097 80000001 80000000 11 = 80000001 11 +02 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +02 099 FFFFFFFE 80000000 01 = FFFFFFFE 01 +02 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +02 09B FFFFFFFE 80000000 11 = FFFFFFFE 11 +02 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +02 09D FFFFFFFF 80000000 01 = FFFFFFFF 11 +02 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +02 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +02 0A0 00000000 80000001 00 = 00000000 00 +02 0A1 00000000 80000001 01 = 00000000 01 +02 0A2 00000000 80000001 10 = 00000000 10 +02 0A3 00000000 80000001 11 = 00000000 11 +02 0A4 00000001 80000001 00 = 00000001 00 +02 0A5 00000001 80000001 01 = 00000001 01 +02 0A6 00000001 80000001 10 = 00000001 10 +02 0A7 00000001 80000001 11 = 00000001 11 +02 0A8 00000002 80000001 00 = 00000002 10 +02 0A9 00000002 80000001 01 = 00000002 11 +02 0AA 00000002 80000001 10 = 00000002 10 +02 0AB 00000002 80000001 11 = 00000002 11 +02 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 +02 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 11 +02 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 +02 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 +02 0B0 80000000 80000001 00 = 80000000 00 +02 0B1 80000000 80000001 01 = 80000000 01 +02 0B2 80000000 80000001 10 = 80000000 10 +02 0B3 80000000 80000001 11 = 80000000 11 +02 0B4 80000001 80000001 00 = 80000001 00 +02 0B5 80000001 80000001 01 = 80000001 01 +02 0B6 80000001 80000001 10 = 80000001 10 +02 0B7 80000001 80000001 11 = 80000001 11 +02 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 10 +02 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 11 +02 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +02 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 +02 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 +02 0BD FFFFFFFF 80000001 01 = FFFFFFFF 11 +02 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +02 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +02 0C0 00000000 FFFFFFFE 00 = 00000000 00 +02 0C1 00000000 FFFFFFFE 01 = 00000000 01 +02 0C2 00000000 FFFFFFFE 10 = 00000000 10 +02 0C3 00000000 FFFFFFFE 11 = 00000000 11 +02 0C4 00000001 FFFFFFFE 00 = 00000001 00 +02 0C5 00000001 FFFFFFFE 01 = 00000001 01 +02 0C6 00000001 FFFFFFFE 10 = 00000001 10 +02 0C7 00000001 FFFFFFFE 11 = 00000001 11 +02 0C8 00000002 FFFFFFFE 00 = 00000002 00 +02 0C9 00000002 FFFFFFFE 01 = 00000002 01 +02 0CA 00000002 FFFFFFFE 10 = 00000002 10 +02 0CB 00000002 FFFFFFFE 11 = 00000002 11 +02 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 +02 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 11 +02 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +02 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +02 0D0 80000000 FFFFFFFE 00 = 80000000 00 +02 0D1 80000000 FFFFFFFE 01 = 80000000 01 +02 0D2 80000000 FFFFFFFE 10 = 80000000 10 +02 0D3 80000000 FFFFFFFE 11 = 80000000 11 +02 0D4 80000001 FFFFFFFE 00 = 80000001 00 +02 0D5 80000001 FFFFFFFE 01 = 80000001 01 +02 0D6 80000001 FFFFFFFE 10 = 80000001 10 +02 0D7 80000001 FFFFFFFE 11 = 80000001 11 +02 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +02 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 11 +02 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +02 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +02 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +02 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 11 +02 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +02 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +02 0E0 00000000 FFFFFFFF 00 = 00000000 00 +02 0E1 00000000 FFFFFFFF 01 = 00000000 01 +02 0E2 00000000 FFFFFFFF 10 = 00000000 10 +02 0E3 00000000 FFFFFFFF 11 = 00000000 11 +02 0E4 00000001 FFFFFFFF 00 = 00000001 00 +02 0E5 00000001 FFFFFFFF 01 = 00000001 01 +02 0E6 00000001 FFFFFFFF 10 = 00000001 10 +02 0E7 00000001 FFFFFFFF 11 = 00000001 11 +02 0E8 00000002 FFFFFFFF 00 = 00000002 00 +02 0E9 00000002 FFFFFFFF 01 = 00000002 01 +02 0EA 00000002 FFFFFFFF 10 = 00000002 10 +02 0EB 00000002 FFFFFFFF 11 = 00000002 11 +02 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +02 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +02 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +02 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +02 0F0 80000000 FFFFFFFF 00 = 80000000 10 +02 0F1 80000000 FFFFFFFF 01 = 80000000 11 +02 0F2 80000000 FFFFFFFF 10 = 80000000 10 +02 0F3 80000000 FFFFFFFF 11 = 80000000 11 +02 0F4 80000001 FFFFFFFF 00 = 80000001 10 +02 0F5 80000001 FFFFFFFF 01 = 80000001 11 +02 0F6 80000001 FFFFFFFF 10 = 80000001 10 +02 0F7 80000001 FFFFFFFF 11 = 80000001 11 +02 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +02 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 11 +02 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +02 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +02 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +02 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 11 +02 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +02 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +testb3 ---D---- ---S---- CZ = ---Q---- CZ +03 000 00000000 00000000 00 = 00000000 00 +03 001 00000000 00000000 01 = 00000000 01 +03 002 00000000 00000000 10 = 00000000 10 +03 003 00000000 00000000 11 = 00000000 11 +03 004 00000001 00000000 00 = 00000001 10 +03 005 00000001 00000000 01 = 00000001 11 +03 006 00000001 00000000 10 = 00000001 00 +03 007 00000001 00000000 11 = 00000001 01 +03 008 00000002 00000000 00 = 00000002 00 +03 009 00000002 00000000 01 = 00000002 01 +03 00A 00000002 00000000 10 = 00000002 10 +03 00B 00000002 00000000 11 = 00000002 11 +03 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 +03 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 11 +03 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +03 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 01 +03 010 80000000 00000000 00 = 80000000 00 +03 011 80000000 00000000 01 = 80000000 01 +03 012 80000000 00000000 10 = 80000000 10 +03 013 80000000 00000000 11 = 80000000 11 +03 014 80000001 00000000 00 = 80000001 10 +03 015 80000001 00000000 01 = 80000001 11 +03 016 80000001 00000000 10 = 80000001 00 +03 017 80000001 00000000 11 = 80000001 01 +03 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +03 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +03 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +03 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +03 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +03 01D FFFFFFFF 00000000 01 = FFFFFFFF 11 +03 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +03 01F FFFFFFFF 00000000 11 = FFFFFFFF 01 +03 020 00000000 00000001 00 = 00000000 00 +03 021 00000000 00000001 01 = 00000000 01 +03 022 00000000 00000001 10 = 00000000 10 +03 023 00000000 00000001 11 = 00000000 11 +03 024 00000001 00000001 00 = 00000001 00 +03 025 00000001 00000001 01 = 00000001 01 +03 026 00000001 00000001 10 = 00000001 10 +03 027 00000001 00000001 11 = 00000001 11 +03 028 00000002 00000001 00 = 00000002 10 +03 029 00000002 00000001 01 = 00000002 11 +03 02A 00000002 00000001 10 = 00000002 00 +03 02B 00000002 00000001 11 = 00000002 01 +03 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 +03 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 11 +03 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +03 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 01 +03 030 80000000 00000001 00 = 80000000 00 +03 031 80000000 00000001 01 = 80000000 01 +03 032 80000000 00000001 10 = 80000000 10 +03 033 80000000 00000001 11 = 80000000 11 +03 034 80000001 00000001 00 = 80000001 00 +03 035 80000001 00000001 01 = 80000001 01 +03 036 80000001 00000001 10 = 80000001 10 +03 037 80000001 00000001 11 = 80000001 11 +03 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +03 039 FFFFFFFE 00000001 01 = FFFFFFFE 11 +03 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 +03 03B FFFFFFFE 00000001 11 = FFFFFFFE 01 +03 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +03 03D FFFFFFFF 00000001 01 = FFFFFFFF 11 +03 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +03 03F FFFFFFFF 00000001 11 = FFFFFFFF 01 +03 040 00000000 00000002 00 = 00000000 00 +03 041 00000000 00000002 01 = 00000000 01 +03 042 00000000 00000002 10 = 00000000 10 +03 043 00000000 00000002 11 = 00000000 11 +03 044 00000001 00000002 00 = 00000001 00 +03 045 00000001 00000002 01 = 00000001 01 +03 046 00000001 00000002 10 = 00000001 10 +03 047 00000001 00000002 11 = 00000001 11 +03 048 00000002 00000002 00 = 00000002 00 +03 049 00000002 00000002 01 = 00000002 01 +03 04A 00000002 00000002 10 = 00000002 10 +03 04B 00000002 00000002 11 = 00000002 11 +03 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 +03 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 11 +03 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +03 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 01 +03 050 80000000 00000002 00 = 80000000 00 +03 051 80000000 00000002 01 = 80000000 01 +03 052 80000000 00000002 10 = 80000000 10 +03 053 80000000 00000002 11 = 80000000 11 +03 054 80000001 00000002 00 = 80000001 00 +03 055 80000001 00000002 01 = 80000001 01 +03 056 80000001 00000002 10 = 80000001 10 +03 057 80000001 00000002 11 = 80000001 11 +03 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +03 059 FFFFFFFE 00000002 01 = FFFFFFFE 11 +03 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 +03 05B FFFFFFFE 00000002 11 = FFFFFFFE 01 +03 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +03 05D FFFFFFFF 00000002 01 = FFFFFFFF 11 +03 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +03 05F FFFFFFFF 00000002 11 = FFFFFFFF 01 +03 060 00000000 7FFFFFFF 00 = 00000000 00 +03 061 00000000 7FFFFFFF 01 = 00000000 01 +03 062 00000000 7FFFFFFF 10 = 00000000 10 +03 063 00000000 7FFFFFFF 11 = 00000000 11 +03 064 00000001 7FFFFFFF 00 = 00000001 00 +03 065 00000001 7FFFFFFF 01 = 00000001 01 +03 066 00000001 7FFFFFFF 10 = 00000001 10 +03 067 00000001 7FFFFFFF 11 = 00000001 11 +03 068 00000002 7FFFFFFF 00 = 00000002 00 +03 069 00000002 7FFFFFFF 01 = 00000002 01 +03 06A 00000002 7FFFFFFF 10 = 00000002 10 +03 06B 00000002 7FFFFFFF 11 = 00000002 11 +03 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +03 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +03 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +03 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +03 070 80000000 7FFFFFFF 00 = 80000000 10 +03 071 80000000 7FFFFFFF 01 = 80000000 11 +03 072 80000000 7FFFFFFF 10 = 80000000 00 +03 073 80000000 7FFFFFFF 11 = 80000000 01 +03 074 80000001 7FFFFFFF 00 = 80000001 10 +03 075 80000001 7FFFFFFF 01 = 80000001 11 +03 076 80000001 7FFFFFFF 10 = 80000001 00 +03 077 80000001 7FFFFFFF 11 = 80000001 01 +03 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 +03 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 11 +03 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 +03 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 01 +03 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +03 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 11 +03 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +03 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 01 +03 080 00000000 80000000 00 = 00000000 00 +03 081 00000000 80000000 01 = 00000000 01 +03 082 00000000 80000000 10 = 00000000 10 +03 083 00000000 80000000 11 = 00000000 11 +03 084 00000001 80000000 00 = 00000001 10 +03 085 00000001 80000000 01 = 00000001 11 +03 086 00000001 80000000 10 = 00000001 00 +03 087 00000001 80000000 11 = 00000001 01 +03 088 00000002 80000000 00 = 00000002 00 +03 089 00000002 80000000 01 = 00000002 01 +03 08A 00000002 80000000 10 = 00000002 10 +03 08B 00000002 80000000 11 = 00000002 11 +03 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 10 +03 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 11 +03 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +03 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 01 +03 090 80000000 80000000 00 = 80000000 00 +03 091 80000000 80000000 01 = 80000000 01 +03 092 80000000 80000000 10 = 80000000 10 +03 093 80000000 80000000 11 = 80000000 11 +03 094 80000001 80000000 00 = 80000001 10 +03 095 80000001 80000000 01 = 80000001 11 +03 096 80000001 80000000 10 = 80000001 00 +03 097 80000001 80000000 11 = 80000001 01 +03 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +03 099 FFFFFFFE 80000000 01 = FFFFFFFE 01 +03 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +03 09B FFFFFFFE 80000000 11 = FFFFFFFE 11 +03 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +03 09D FFFFFFFF 80000000 01 = FFFFFFFF 11 +03 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +03 09F FFFFFFFF 80000000 11 = FFFFFFFF 01 +03 0A0 00000000 80000001 00 = 00000000 00 +03 0A1 00000000 80000001 01 = 00000000 01 +03 0A2 00000000 80000001 10 = 00000000 10 +03 0A3 00000000 80000001 11 = 00000000 11 +03 0A4 00000001 80000001 00 = 00000001 00 +03 0A5 00000001 80000001 01 = 00000001 01 +03 0A6 00000001 80000001 10 = 00000001 10 +03 0A7 00000001 80000001 11 = 00000001 11 +03 0A8 00000002 80000001 00 = 00000002 10 +03 0A9 00000002 80000001 01 = 00000002 11 +03 0AA 00000002 80000001 10 = 00000002 00 +03 0AB 00000002 80000001 11 = 00000002 01 +03 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 10 +03 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 11 +03 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +03 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 01 +03 0B0 80000000 80000001 00 = 80000000 00 +03 0B1 80000000 80000001 01 = 80000000 01 +03 0B2 80000000 80000001 10 = 80000000 10 +03 0B3 80000000 80000001 11 = 80000000 11 +03 0B4 80000001 80000001 00 = 80000001 00 +03 0B5 80000001 80000001 01 = 80000001 01 +03 0B6 80000001 80000001 10 = 80000001 10 +03 0B7 80000001 80000001 11 = 80000001 11 +03 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 10 +03 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 11 +03 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +03 0BB FFFFFFFE 80000001 11 = FFFFFFFE 01 +03 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 +03 0BD FFFFFFFF 80000001 01 = FFFFFFFF 11 +03 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +03 0BF FFFFFFFF 80000001 11 = FFFFFFFF 01 +03 0C0 00000000 FFFFFFFE 00 = 00000000 00 +03 0C1 00000000 FFFFFFFE 01 = 00000000 01 +03 0C2 00000000 FFFFFFFE 10 = 00000000 10 +03 0C3 00000000 FFFFFFFE 11 = 00000000 11 +03 0C4 00000001 FFFFFFFE 00 = 00000001 00 +03 0C5 00000001 FFFFFFFE 01 = 00000001 01 +03 0C6 00000001 FFFFFFFE 10 = 00000001 10 +03 0C7 00000001 FFFFFFFE 11 = 00000001 11 +03 0C8 00000002 FFFFFFFE 00 = 00000002 00 +03 0C9 00000002 FFFFFFFE 01 = 00000002 01 +03 0CA 00000002 FFFFFFFE 10 = 00000002 10 +03 0CB 00000002 FFFFFFFE 11 = 00000002 11 +03 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 10 +03 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 11 +03 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +03 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 01 +03 0D0 80000000 FFFFFFFE 00 = 80000000 00 +03 0D1 80000000 FFFFFFFE 01 = 80000000 01 +03 0D2 80000000 FFFFFFFE 10 = 80000000 10 +03 0D3 80000000 FFFFFFFE 11 = 80000000 11 +03 0D4 80000001 FFFFFFFE 00 = 80000001 00 +03 0D5 80000001 FFFFFFFE 01 = 80000001 01 +03 0D6 80000001 FFFFFFFE 10 = 80000001 10 +03 0D7 80000001 FFFFFFFE 11 = 80000001 11 +03 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +03 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 11 +03 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 +03 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 +03 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +03 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 11 +03 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +03 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 01 +03 0E0 00000000 FFFFFFFF 00 = 00000000 00 +03 0E1 00000000 FFFFFFFF 01 = 00000000 01 +03 0E2 00000000 FFFFFFFF 10 = 00000000 10 +03 0E3 00000000 FFFFFFFF 11 = 00000000 11 +03 0E4 00000001 FFFFFFFF 00 = 00000001 00 +03 0E5 00000001 FFFFFFFF 01 = 00000001 01 +03 0E6 00000001 FFFFFFFF 10 = 00000001 10 +03 0E7 00000001 FFFFFFFF 11 = 00000001 11 +03 0E8 00000002 FFFFFFFF 00 = 00000002 00 +03 0E9 00000002 FFFFFFFF 01 = 00000002 01 +03 0EA 00000002 FFFFFFFF 10 = 00000002 10 +03 0EB 00000002 FFFFFFFF 11 = 00000002 11 +03 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +03 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +03 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +03 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +03 0F0 80000000 FFFFFFFF 00 = 80000000 10 +03 0F1 80000000 FFFFFFFF 01 = 80000000 11 +03 0F2 80000000 FFFFFFFF 10 = 80000000 00 +03 0F3 80000000 FFFFFFFF 11 = 80000000 01 +03 0F4 80000001 FFFFFFFF 00 = 80000001 10 +03 0F5 80000001 FFFFFFFF 01 = 80000001 11 +03 0F6 80000001 FFFFFFFF 10 = 80000001 00 +03 0F7 80000001 FFFFFFFF 11 = 80000001 01 +03 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +03 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 11 +03 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 +03 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 01 +03 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +03 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 11 +03 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +03 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 +testbn0 ---D---- ---S---- CZ = ---Q---- CZ +04 000 00000000 00000000 00 = 00000000 10 +04 001 00000000 00000000 01 = 00000000 11 +04 002 00000000 00000000 10 = 00000000 10 +04 003 00000000 00000000 11 = 00000000 11 +04 004 00000001 00000000 00 = 00000001 00 +04 005 00000001 00000000 01 = 00000001 01 +04 006 00000001 00000000 10 = 00000001 00 +04 007 00000001 00000000 11 = 00000001 01 +04 008 00000002 00000000 00 = 00000002 10 +04 009 00000002 00000000 01 = 00000002 11 +04 00A 00000002 00000000 10 = 00000002 10 +04 00B 00000002 00000000 11 = 00000002 11 +04 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +04 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +04 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +04 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 01 +04 010 80000000 00000000 00 = 80000000 10 +04 011 80000000 00000000 01 = 80000000 11 +04 012 80000000 00000000 10 = 80000000 10 +04 013 80000000 00000000 11 = 80000000 11 +04 014 80000001 00000000 00 = 80000001 00 +04 015 80000001 00000000 01 = 80000001 01 +04 016 80000001 00000000 10 = 80000001 00 +04 017 80000001 00000000 11 = 80000001 01 +04 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +04 019 FFFFFFFE 00000000 01 = FFFFFFFE 11 +04 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +04 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +04 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +04 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +04 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +04 01F FFFFFFFF 00000000 11 = FFFFFFFF 01 +04 020 00000000 00000001 00 = 00000000 10 +04 021 00000000 00000001 01 = 00000000 11 +04 022 00000000 00000001 10 = 00000000 10 +04 023 00000000 00000001 11 = 00000000 11 +04 024 00000001 00000001 00 = 00000001 10 +04 025 00000001 00000001 01 = 00000001 11 +04 026 00000001 00000001 10 = 00000001 10 +04 027 00000001 00000001 11 = 00000001 11 +04 028 00000002 00000001 00 = 00000002 00 +04 029 00000002 00000001 01 = 00000002 01 +04 02A 00000002 00000001 10 = 00000002 00 +04 02B 00000002 00000001 11 = 00000002 01 +04 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +04 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 01 +04 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +04 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 01 +04 030 80000000 00000001 00 = 80000000 10 +04 031 80000000 00000001 01 = 80000000 11 +04 032 80000000 00000001 10 = 80000000 10 +04 033 80000000 00000001 11 = 80000000 11 +04 034 80000001 00000001 00 = 80000001 10 +04 035 80000001 00000001 01 = 80000001 11 +04 036 80000001 00000001 10 = 80000001 10 +04 037 80000001 00000001 11 = 80000001 11 +04 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +04 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 +04 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 +04 03B FFFFFFFE 00000001 11 = FFFFFFFE 01 +04 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +04 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +04 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +04 03F FFFFFFFF 00000001 11 = FFFFFFFF 01 +04 040 00000000 00000002 00 = 00000000 10 +04 041 00000000 00000002 01 = 00000000 11 +04 042 00000000 00000002 10 = 00000000 10 +04 043 00000000 00000002 11 = 00000000 11 +04 044 00000001 00000002 00 = 00000001 10 +04 045 00000001 00000002 01 = 00000001 11 +04 046 00000001 00000002 10 = 00000001 10 +04 047 00000001 00000002 11 = 00000001 11 +04 048 00000002 00000002 00 = 00000002 10 +04 049 00000002 00000002 01 = 00000002 11 +04 04A 00000002 00000002 10 = 00000002 10 +04 04B 00000002 00000002 11 = 00000002 11 +04 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +04 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 01 +04 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +04 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 01 +04 050 80000000 00000002 00 = 80000000 10 +04 051 80000000 00000002 01 = 80000000 11 +04 052 80000000 00000002 10 = 80000000 10 +04 053 80000000 00000002 11 = 80000000 11 +04 054 80000001 00000002 00 = 80000001 10 +04 055 80000001 00000002 01 = 80000001 11 +04 056 80000001 00000002 10 = 80000001 10 +04 057 80000001 00000002 11 = 80000001 11 +04 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +04 059 FFFFFFFE 00000002 01 = FFFFFFFE 01 +04 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 +04 05B FFFFFFFE 00000002 11 = FFFFFFFE 01 +04 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +04 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +04 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +04 05F FFFFFFFF 00000002 11 = FFFFFFFF 01 +04 060 00000000 7FFFFFFF 00 = 00000000 10 +04 061 00000000 7FFFFFFF 01 = 00000000 11 +04 062 00000000 7FFFFFFF 10 = 00000000 10 +04 063 00000000 7FFFFFFF 11 = 00000000 11 +04 064 00000001 7FFFFFFF 00 = 00000001 10 +04 065 00000001 7FFFFFFF 01 = 00000001 11 +04 066 00000001 7FFFFFFF 10 = 00000001 10 +04 067 00000001 7FFFFFFF 11 = 00000001 11 +04 068 00000002 7FFFFFFF 00 = 00000002 10 +04 069 00000002 7FFFFFFF 01 = 00000002 11 +04 06A 00000002 7FFFFFFF 10 = 00000002 10 +04 06B 00000002 7FFFFFFF 11 = 00000002 11 +04 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +04 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 +04 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +04 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +04 070 80000000 7FFFFFFF 00 = 80000000 00 +04 071 80000000 7FFFFFFF 01 = 80000000 01 +04 072 80000000 7FFFFFFF 10 = 80000000 00 +04 073 80000000 7FFFFFFF 11 = 80000000 01 +04 074 80000001 7FFFFFFF 00 = 80000001 00 +04 075 80000001 7FFFFFFF 01 = 80000001 01 +04 076 80000001 7FFFFFFF 10 = 80000001 00 +04 077 80000001 7FFFFFFF 11 = 80000001 01 +04 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +04 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +04 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 +04 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 01 +04 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +04 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +04 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +04 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 01 +04 080 00000000 80000000 00 = 00000000 10 +04 081 00000000 80000000 01 = 00000000 11 +04 082 00000000 80000000 10 = 00000000 10 +04 083 00000000 80000000 11 = 00000000 11 +04 084 00000001 80000000 00 = 00000001 00 +04 085 00000001 80000000 01 = 00000001 01 +04 086 00000001 80000000 10 = 00000001 00 +04 087 00000001 80000000 11 = 00000001 01 +04 088 00000002 80000000 00 = 00000002 10 +04 089 00000002 80000000 01 = 00000002 11 +04 08A 00000002 80000000 10 = 00000002 10 +04 08B 00000002 80000000 11 = 00000002 11 +04 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +04 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 01 +04 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +04 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 01 +04 090 80000000 80000000 00 = 80000000 10 +04 091 80000000 80000000 01 = 80000000 11 +04 092 80000000 80000000 10 = 80000000 10 +04 093 80000000 80000000 11 = 80000000 11 +04 094 80000001 80000000 00 = 80000001 00 +04 095 80000001 80000000 01 = 80000001 01 +04 096 80000001 80000000 10 = 80000001 00 +04 097 80000001 80000000 11 = 80000001 01 +04 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +04 099 FFFFFFFE 80000000 01 = FFFFFFFE 11 +04 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +04 09B FFFFFFFE 80000000 11 = FFFFFFFE 11 +04 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +04 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +04 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +04 09F FFFFFFFF 80000000 11 = FFFFFFFF 01 +04 0A0 00000000 80000001 00 = 00000000 10 +04 0A1 00000000 80000001 01 = 00000000 11 +04 0A2 00000000 80000001 10 = 00000000 10 +04 0A3 00000000 80000001 11 = 00000000 11 +04 0A4 00000001 80000001 00 = 00000001 10 +04 0A5 00000001 80000001 01 = 00000001 11 +04 0A6 00000001 80000001 10 = 00000001 10 +04 0A7 00000001 80000001 11 = 00000001 11 +04 0A8 00000002 80000001 00 = 00000002 00 +04 0A9 00000002 80000001 01 = 00000002 01 +04 0AA 00000002 80000001 10 = 00000002 00 +04 0AB 00000002 80000001 11 = 00000002 01 +04 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +04 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 01 +04 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +04 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 01 +04 0B0 80000000 80000001 00 = 80000000 10 +04 0B1 80000000 80000001 01 = 80000000 11 +04 0B2 80000000 80000001 10 = 80000000 10 +04 0B3 80000000 80000001 11 = 80000000 11 +04 0B4 80000001 80000001 00 = 80000001 10 +04 0B5 80000001 80000001 01 = 80000001 11 +04 0B6 80000001 80000001 10 = 80000001 10 +04 0B7 80000001 80000001 11 = 80000001 11 +04 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +04 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 01 +04 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +04 0BB FFFFFFFE 80000001 11 = FFFFFFFE 01 +04 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +04 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +04 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +04 0BF FFFFFFFF 80000001 11 = FFFFFFFF 01 +04 0C0 00000000 FFFFFFFE 00 = 00000000 10 +04 0C1 00000000 FFFFFFFE 01 = 00000000 11 +04 0C2 00000000 FFFFFFFE 10 = 00000000 10 +04 0C3 00000000 FFFFFFFE 11 = 00000000 11 +04 0C4 00000001 FFFFFFFE 00 = 00000001 10 +04 0C5 00000001 FFFFFFFE 01 = 00000001 11 +04 0C6 00000001 FFFFFFFE 10 = 00000001 10 +04 0C7 00000001 FFFFFFFE 11 = 00000001 11 +04 0C8 00000002 FFFFFFFE 00 = 00000002 10 +04 0C9 00000002 FFFFFFFE 01 = 00000002 11 +04 0CA 00000002 FFFFFFFE 10 = 00000002 10 +04 0CB 00000002 FFFFFFFE 11 = 00000002 11 +04 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +04 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 01 +04 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +04 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 01 +04 0D0 80000000 FFFFFFFE 00 = 80000000 10 +04 0D1 80000000 FFFFFFFE 01 = 80000000 11 +04 0D2 80000000 FFFFFFFE 10 = 80000000 10 +04 0D3 80000000 FFFFFFFE 11 = 80000000 11 +04 0D4 80000001 FFFFFFFE 00 = 80000001 10 +04 0D5 80000001 FFFFFFFE 01 = 80000001 11 +04 0D6 80000001 FFFFFFFE 10 = 80000001 10 +04 0D7 80000001 FFFFFFFE 11 = 80000001 11 +04 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +04 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +04 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 +04 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 +04 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +04 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +04 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +04 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 01 +04 0E0 00000000 FFFFFFFF 00 = 00000000 10 +04 0E1 00000000 FFFFFFFF 01 = 00000000 11 +04 0E2 00000000 FFFFFFFF 10 = 00000000 10 +04 0E3 00000000 FFFFFFFF 11 = 00000000 11 +04 0E4 00000001 FFFFFFFF 00 = 00000001 10 +04 0E5 00000001 FFFFFFFF 01 = 00000001 11 +04 0E6 00000001 FFFFFFFF 10 = 00000001 10 +04 0E7 00000001 FFFFFFFF 11 = 00000001 11 +04 0E8 00000002 FFFFFFFF 00 = 00000002 10 +04 0E9 00000002 FFFFFFFF 01 = 00000002 11 +04 0EA 00000002 FFFFFFFF 10 = 00000002 10 +04 0EB 00000002 FFFFFFFF 11 = 00000002 11 +04 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 +04 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 +04 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +04 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +04 0F0 80000000 FFFFFFFF 00 = 80000000 00 +04 0F1 80000000 FFFFFFFF 01 = 80000000 01 +04 0F2 80000000 FFFFFFFF 10 = 80000000 00 +04 0F3 80000000 FFFFFFFF 11 = 80000000 01 +04 0F4 80000001 FFFFFFFF 00 = 80000001 00 +04 0F5 80000001 FFFFFFFF 01 = 80000001 01 +04 0F6 80000001 FFFFFFFF 10 = 80000001 00 +04 0F7 80000001 FFFFFFFF 11 = 80000001 01 +04 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +04 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +04 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 +04 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 01 +04 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +04 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +04 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +04 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 +testbn1 ---D---- ---S---- CZ = ---Q---- CZ +05 000 00000000 00000000 00 = 00000000 00 +05 001 00000000 00000000 01 = 00000000 01 +05 002 00000000 00000000 10 = 00000000 10 +05 003 00000000 00000000 11 = 00000000 11 +05 004 00000001 00000000 00 = 00000001 00 +05 005 00000001 00000000 01 = 00000001 01 +05 006 00000001 00000000 10 = 00000001 00 +05 007 00000001 00000000 11 = 00000001 01 +05 008 00000002 00000000 00 = 00000002 00 +05 009 00000002 00000000 01 = 00000002 01 +05 00A 00000002 00000000 10 = 00000002 10 +05 00B 00000002 00000000 11 = 00000002 11 +05 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +05 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +05 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 +05 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 01 +05 010 80000000 00000000 00 = 80000000 00 +05 011 80000000 00000000 01 = 80000000 01 +05 012 80000000 00000000 10 = 80000000 10 +05 013 80000000 00000000 11 = 80000000 11 +05 014 80000001 00000000 00 = 80000001 00 +05 015 80000001 00000000 01 = 80000001 01 +05 016 80000001 00000000 10 = 80000001 00 +05 017 80000001 00000000 11 = 80000001 01 +05 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +05 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +05 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +05 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +05 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +05 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +05 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 +05 01F FFFFFFFF 00000000 11 = FFFFFFFF 01 +05 020 00000000 00000001 00 = 00000000 00 +05 021 00000000 00000001 01 = 00000000 01 +05 022 00000000 00000001 10 = 00000000 10 +05 023 00000000 00000001 11 = 00000000 11 +05 024 00000001 00000001 00 = 00000001 00 +05 025 00000001 00000001 01 = 00000001 01 +05 026 00000001 00000001 10 = 00000001 10 +05 027 00000001 00000001 11 = 00000001 11 +05 028 00000002 00000001 00 = 00000002 00 +05 029 00000002 00000001 01 = 00000002 01 +05 02A 00000002 00000001 10 = 00000002 00 +05 02B 00000002 00000001 11 = 00000002 01 +05 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +05 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 01 +05 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 00 +05 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 01 +05 030 80000000 00000001 00 = 80000000 00 +05 031 80000000 00000001 01 = 80000000 01 +05 032 80000000 00000001 10 = 80000000 10 +05 033 80000000 00000001 11 = 80000000 11 +05 034 80000001 00000001 00 = 80000001 00 +05 035 80000001 00000001 01 = 80000001 01 +05 036 80000001 00000001 10 = 80000001 10 +05 037 80000001 00000001 11 = 80000001 11 +05 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +05 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 +05 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 +05 03B FFFFFFFE 00000001 11 = FFFFFFFE 01 +05 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +05 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +05 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 +05 03F FFFFFFFF 00000001 11 = FFFFFFFF 01 +05 040 00000000 00000002 00 = 00000000 00 +05 041 00000000 00000002 01 = 00000000 01 +05 042 00000000 00000002 10 = 00000000 10 +05 043 00000000 00000002 11 = 00000000 11 +05 044 00000001 00000002 00 = 00000001 00 +05 045 00000001 00000002 01 = 00000001 01 +05 046 00000001 00000002 10 = 00000001 10 +05 047 00000001 00000002 11 = 00000001 11 +05 048 00000002 00000002 00 = 00000002 00 +05 049 00000002 00000002 01 = 00000002 01 +05 04A 00000002 00000002 10 = 00000002 10 +05 04B 00000002 00000002 11 = 00000002 11 +05 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +05 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 01 +05 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 00 +05 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 01 +05 050 80000000 00000002 00 = 80000000 00 +05 051 80000000 00000002 01 = 80000000 01 +05 052 80000000 00000002 10 = 80000000 10 +05 053 80000000 00000002 11 = 80000000 11 +05 054 80000001 00000002 00 = 80000001 00 +05 055 80000001 00000002 01 = 80000001 01 +05 056 80000001 00000002 10 = 80000001 10 +05 057 80000001 00000002 11 = 80000001 11 +05 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +05 059 FFFFFFFE 00000002 01 = FFFFFFFE 01 +05 05A FFFFFFFE 00000002 10 = FFFFFFFE 00 +05 05B FFFFFFFE 00000002 11 = FFFFFFFE 01 +05 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +05 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +05 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 +05 05F FFFFFFFF 00000002 11 = FFFFFFFF 01 +05 060 00000000 7FFFFFFF 00 = 00000000 00 +05 061 00000000 7FFFFFFF 01 = 00000000 01 +05 062 00000000 7FFFFFFF 10 = 00000000 10 +05 063 00000000 7FFFFFFF 11 = 00000000 11 +05 064 00000001 7FFFFFFF 00 = 00000001 00 +05 065 00000001 7FFFFFFF 01 = 00000001 01 +05 066 00000001 7FFFFFFF 10 = 00000001 10 +05 067 00000001 7FFFFFFF 11 = 00000001 11 +05 068 00000002 7FFFFFFF 00 = 00000002 00 +05 069 00000002 7FFFFFFF 01 = 00000002 01 +05 06A 00000002 7FFFFFFF 10 = 00000002 10 +05 06B 00000002 7FFFFFFF 11 = 00000002 11 +05 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +05 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +05 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +05 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +05 070 80000000 7FFFFFFF 00 = 80000000 00 +05 071 80000000 7FFFFFFF 01 = 80000000 01 +05 072 80000000 7FFFFFFF 10 = 80000000 00 +05 073 80000000 7FFFFFFF 11 = 80000000 01 +05 074 80000001 7FFFFFFF 00 = 80000001 00 +05 075 80000001 7FFFFFFF 01 = 80000001 01 +05 076 80000001 7FFFFFFF 10 = 80000001 00 +05 077 80000001 7FFFFFFF 11 = 80000001 01 +05 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +05 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +05 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 +05 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 01 +05 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +05 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +05 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +05 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 01 +05 080 00000000 80000000 00 = 00000000 00 +05 081 00000000 80000000 01 = 00000000 01 +05 082 00000000 80000000 10 = 00000000 10 +05 083 00000000 80000000 11 = 00000000 11 +05 084 00000001 80000000 00 = 00000001 00 +05 085 00000001 80000000 01 = 00000001 01 +05 086 00000001 80000000 10 = 00000001 00 +05 087 00000001 80000000 11 = 00000001 01 +05 088 00000002 80000000 00 = 00000002 00 +05 089 00000002 80000000 01 = 00000002 01 +05 08A 00000002 80000000 10 = 00000002 10 +05 08B 00000002 80000000 11 = 00000002 11 +05 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +05 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 01 +05 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 +05 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 01 +05 090 80000000 80000000 00 = 80000000 00 +05 091 80000000 80000000 01 = 80000000 01 +05 092 80000000 80000000 10 = 80000000 10 +05 093 80000000 80000000 11 = 80000000 11 +05 094 80000001 80000000 00 = 80000001 00 +05 095 80000001 80000000 01 = 80000001 01 +05 096 80000001 80000000 10 = 80000001 00 +05 097 80000001 80000000 11 = 80000001 01 +05 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +05 099 FFFFFFFE 80000000 01 = FFFFFFFE 01 +05 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +05 09B FFFFFFFE 80000000 11 = FFFFFFFE 11 +05 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +05 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +05 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 +05 09F FFFFFFFF 80000000 11 = FFFFFFFF 01 +05 0A0 00000000 80000001 00 = 00000000 00 +05 0A1 00000000 80000001 01 = 00000000 01 +05 0A2 00000000 80000001 10 = 00000000 10 +05 0A3 00000000 80000001 11 = 00000000 11 +05 0A4 00000001 80000001 00 = 00000001 00 +05 0A5 00000001 80000001 01 = 00000001 01 +05 0A6 00000001 80000001 10 = 00000001 10 +05 0A7 00000001 80000001 11 = 00000001 11 +05 0A8 00000002 80000001 00 = 00000002 00 +05 0A9 00000002 80000001 01 = 00000002 01 +05 0AA 00000002 80000001 10 = 00000002 00 +05 0AB 00000002 80000001 11 = 00000002 01 +05 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +05 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 01 +05 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 +05 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 01 +05 0B0 80000000 80000001 00 = 80000000 00 +05 0B1 80000000 80000001 01 = 80000000 01 +05 0B2 80000000 80000001 10 = 80000000 10 +05 0B3 80000000 80000001 11 = 80000000 11 +05 0B4 80000001 80000001 00 = 80000001 00 +05 0B5 80000001 80000001 01 = 80000001 01 +05 0B6 80000001 80000001 10 = 80000001 10 +05 0B7 80000001 80000001 11 = 80000001 11 +05 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +05 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 01 +05 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 +05 0BB FFFFFFFE 80000001 11 = FFFFFFFE 01 +05 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +05 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +05 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 +05 0BF FFFFFFFF 80000001 11 = FFFFFFFF 01 +05 0C0 00000000 FFFFFFFE 00 = 00000000 00 +05 0C1 00000000 FFFFFFFE 01 = 00000000 01 +05 0C2 00000000 FFFFFFFE 10 = 00000000 10 +05 0C3 00000000 FFFFFFFE 11 = 00000000 11 +05 0C4 00000001 FFFFFFFE 00 = 00000001 00 +05 0C5 00000001 FFFFFFFE 01 = 00000001 01 +05 0C6 00000001 FFFFFFFE 10 = 00000001 10 +05 0C7 00000001 FFFFFFFE 11 = 00000001 11 +05 0C8 00000002 FFFFFFFE 00 = 00000002 00 +05 0C9 00000002 FFFFFFFE 01 = 00000002 01 +05 0CA 00000002 FFFFFFFE 10 = 00000002 10 +05 0CB 00000002 FFFFFFFE 11 = 00000002 11 +05 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +05 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 01 +05 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 +05 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 01 +05 0D0 80000000 FFFFFFFE 00 = 80000000 00 +05 0D1 80000000 FFFFFFFE 01 = 80000000 01 +05 0D2 80000000 FFFFFFFE 10 = 80000000 10 +05 0D3 80000000 FFFFFFFE 11 = 80000000 11 +05 0D4 80000001 FFFFFFFE 00 = 80000001 00 +05 0D5 80000001 FFFFFFFE 01 = 80000001 01 +05 0D6 80000001 FFFFFFFE 10 = 80000001 10 +05 0D7 80000001 FFFFFFFE 11 = 80000001 11 +05 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +05 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +05 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 00 +05 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 01 +05 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +05 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +05 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 +05 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 01 +05 0E0 00000000 FFFFFFFF 00 = 00000000 00 +05 0E1 00000000 FFFFFFFF 01 = 00000000 01 +05 0E2 00000000 FFFFFFFF 10 = 00000000 10 +05 0E3 00000000 FFFFFFFF 11 = 00000000 11 +05 0E4 00000001 FFFFFFFF 00 = 00000001 00 +05 0E5 00000001 FFFFFFFF 01 = 00000001 01 +05 0E6 00000001 FFFFFFFF 10 = 00000001 10 +05 0E7 00000001 FFFFFFFF 11 = 00000001 11 +05 0E8 00000002 FFFFFFFF 00 = 00000002 00 +05 0E9 00000002 FFFFFFFF 01 = 00000002 01 +05 0EA 00000002 FFFFFFFF 10 = 00000002 10 +05 0EB 00000002 FFFFFFFF 11 = 00000002 11 +05 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +05 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +05 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +05 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +05 0F0 80000000 FFFFFFFF 00 = 80000000 00 +05 0F1 80000000 FFFFFFFF 01 = 80000000 01 +05 0F2 80000000 FFFFFFFF 10 = 80000000 00 +05 0F3 80000000 FFFFFFFF 11 = 80000000 01 +05 0F4 80000001 FFFFFFFF 00 = 80000001 00 +05 0F5 80000001 FFFFFFFF 01 = 80000001 01 +05 0F6 80000001 FFFFFFFF 10 = 80000001 00 +05 0F7 80000001 FFFFFFFF 11 = 80000001 01 +05 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +05 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +05 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 +05 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 01 +05 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +05 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +05 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 +05 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 01 +testbn2 ---D---- ---S---- CZ = ---Q---- CZ +06 000 00000000 00000000 00 = 00000000 10 +06 001 00000000 00000000 01 = 00000000 11 +06 002 00000000 00000000 10 = 00000000 10 +06 003 00000000 00000000 11 = 00000000 11 +06 004 00000001 00000000 00 = 00000001 00 +06 005 00000001 00000000 01 = 00000001 01 +06 006 00000001 00000000 10 = 00000001 10 +06 007 00000001 00000000 11 = 00000001 11 +06 008 00000002 00000000 00 = 00000002 10 +06 009 00000002 00000000 01 = 00000002 11 +06 00A 00000002 00000000 10 = 00000002 10 +06 00B 00000002 00000000 11 = 00000002 11 +06 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +06 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +06 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +06 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +06 010 80000000 00000000 00 = 80000000 10 +06 011 80000000 00000000 01 = 80000000 11 +06 012 80000000 00000000 10 = 80000000 10 +06 013 80000000 00000000 11 = 80000000 11 +06 014 80000001 00000000 00 = 80000001 00 +06 015 80000001 00000000 01 = 80000001 01 +06 016 80000001 00000000 10 = 80000001 10 +06 017 80000001 00000000 11 = 80000001 11 +06 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +06 019 FFFFFFFE 00000000 01 = FFFFFFFE 11 +06 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +06 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +06 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +06 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +06 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +06 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +06 020 00000000 00000001 00 = 00000000 10 +06 021 00000000 00000001 01 = 00000000 11 +06 022 00000000 00000001 10 = 00000000 10 +06 023 00000000 00000001 11 = 00000000 11 +06 024 00000001 00000001 00 = 00000001 10 +06 025 00000001 00000001 01 = 00000001 11 +06 026 00000001 00000001 10 = 00000001 10 +06 027 00000001 00000001 11 = 00000001 11 +06 028 00000002 00000001 00 = 00000002 00 +06 029 00000002 00000001 01 = 00000002 01 +06 02A 00000002 00000001 10 = 00000002 10 +06 02B 00000002 00000001 11 = 00000002 11 +06 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +06 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 01 +06 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +06 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 +06 030 80000000 00000001 00 = 80000000 10 +06 031 80000000 00000001 01 = 80000000 11 +06 032 80000000 00000001 10 = 80000000 10 +06 033 80000000 00000001 11 = 80000000 11 +06 034 80000001 00000001 00 = 80000001 10 +06 035 80000001 00000001 01 = 80000001 11 +06 036 80000001 00000001 10 = 80000001 10 +06 037 80000001 00000001 11 = 80000001 11 +06 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +06 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 +06 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +06 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 +06 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +06 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +06 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +06 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +06 040 00000000 00000002 00 = 00000000 10 +06 041 00000000 00000002 01 = 00000000 11 +06 042 00000000 00000002 10 = 00000000 10 +06 043 00000000 00000002 11 = 00000000 11 +06 044 00000001 00000002 00 = 00000001 10 +06 045 00000001 00000002 01 = 00000001 11 +06 046 00000001 00000002 10 = 00000001 10 +06 047 00000001 00000002 11 = 00000001 11 +06 048 00000002 00000002 00 = 00000002 10 +06 049 00000002 00000002 01 = 00000002 11 +06 04A 00000002 00000002 10 = 00000002 10 +06 04B 00000002 00000002 11 = 00000002 11 +06 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +06 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 01 +06 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +06 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 +06 050 80000000 00000002 00 = 80000000 10 +06 051 80000000 00000002 01 = 80000000 11 +06 052 80000000 00000002 10 = 80000000 10 +06 053 80000000 00000002 11 = 80000000 11 +06 054 80000001 00000002 00 = 80000001 10 +06 055 80000001 00000002 01 = 80000001 11 +06 056 80000001 00000002 10 = 80000001 10 +06 057 80000001 00000002 11 = 80000001 11 +06 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +06 059 FFFFFFFE 00000002 01 = FFFFFFFE 01 +06 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +06 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +06 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +06 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +06 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +06 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +06 060 00000000 7FFFFFFF 00 = 00000000 10 +06 061 00000000 7FFFFFFF 01 = 00000000 11 +06 062 00000000 7FFFFFFF 10 = 00000000 10 +06 063 00000000 7FFFFFFF 11 = 00000000 11 +06 064 00000001 7FFFFFFF 00 = 00000001 10 +06 065 00000001 7FFFFFFF 01 = 00000001 11 +06 066 00000001 7FFFFFFF 10 = 00000001 10 +06 067 00000001 7FFFFFFF 11 = 00000001 11 +06 068 00000002 7FFFFFFF 00 = 00000002 10 +06 069 00000002 7FFFFFFF 01 = 00000002 11 +06 06A 00000002 7FFFFFFF 10 = 00000002 10 +06 06B 00000002 7FFFFFFF 11 = 00000002 11 +06 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +06 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 +06 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +06 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +06 070 80000000 7FFFFFFF 00 = 80000000 00 +06 071 80000000 7FFFFFFF 01 = 80000000 01 +06 072 80000000 7FFFFFFF 10 = 80000000 10 +06 073 80000000 7FFFFFFF 11 = 80000000 11 +06 074 80000001 7FFFFFFF 00 = 80000001 00 +06 075 80000001 7FFFFFFF 01 = 80000001 01 +06 076 80000001 7FFFFFFF 10 = 80000001 10 +06 077 80000001 7FFFFFFF 11 = 80000001 11 +06 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +06 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +06 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +06 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +06 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +06 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +06 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +06 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +06 080 00000000 80000000 00 = 00000000 10 +06 081 00000000 80000000 01 = 00000000 11 +06 082 00000000 80000000 10 = 00000000 10 +06 083 00000000 80000000 11 = 00000000 11 +06 084 00000001 80000000 00 = 00000001 00 +06 085 00000001 80000000 01 = 00000001 01 +06 086 00000001 80000000 10 = 00000001 10 +06 087 00000001 80000000 11 = 00000001 11 +06 088 00000002 80000000 00 = 00000002 10 +06 089 00000002 80000000 01 = 00000002 11 +06 08A 00000002 80000000 10 = 00000002 10 +06 08B 00000002 80000000 11 = 00000002 11 +06 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +06 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 01 +06 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +06 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 +06 090 80000000 80000000 00 = 80000000 10 +06 091 80000000 80000000 01 = 80000000 11 +06 092 80000000 80000000 10 = 80000000 10 +06 093 80000000 80000000 11 = 80000000 11 +06 094 80000001 80000000 00 = 80000001 00 +06 095 80000001 80000000 01 = 80000001 01 +06 096 80000001 80000000 10 = 80000001 10 +06 097 80000001 80000000 11 = 80000001 11 +06 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +06 099 FFFFFFFE 80000000 01 = FFFFFFFE 11 +06 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +06 09B FFFFFFFE 80000000 11 = FFFFFFFE 11 +06 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +06 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +06 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +06 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +06 0A0 00000000 80000001 00 = 00000000 10 +06 0A1 00000000 80000001 01 = 00000000 11 +06 0A2 00000000 80000001 10 = 00000000 10 +06 0A3 00000000 80000001 11 = 00000000 11 +06 0A4 00000001 80000001 00 = 00000001 10 +06 0A5 00000001 80000001 01 = 00000001 11 +06 0A6 00000001 80000001 10 = 00000001 10 +06 0A7 00000001 80000001 11 = 00000001 11 +06 0A8 00000002 80000001 00 = 00000002 00 +06 0A9 00000002 80000001 01 = 00000002 01 +06 0AA 00000002 80000001 10 = 00000002 10 +06 0AB 00000002 80000001 11 = 00000002 11 +06 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +06 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 01 +06 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 +06 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 +06 0B0 80000000 80000001 00 = 80000000 10 +06 0B1 80000000 80000001 01 = 80000000 11 +06 0B2 80000000 80000001 10 = 80000000 10 +06 0B3 80000000 80000001 11 = 80000000 11 +06 0B4 80000001 80000001 00 = 80000001 10 +06 0B5 80000001 80000001 01 = 80000001 11 +06 0B6 80000001 80000001 10 = 80000001 10 +06 0B7 80000001 80000001 11 = 80000001 11 +06 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +06 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 01 +06 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +06 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 +06 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +06 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +06 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +06 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +06 0C0 00000000 FFFFFFFE 00 = 00000000 10 +06 0C1 00000000 FFFFFFFE 01 = 00000000 11 +06 0C2 00000000 FFFFFFFE 10 = 00000000 10 +06 0C3 00000000 FFFFFFFE 11 = 00000000 11 +06 0C4 00000001 FFFFFFFE 00 = 00000001 10 +06 0C5 00000001 FFFFFFFE 01 = 00000001 11 +06 0C6 00000001 FFFFFFFE 10 = 00000001 10 +06 0C7 00000001 FFFFFFFE 11 = 00000001 11 +06 0C8 00000002 FFFFFFFE 00 = 00000002 10 +06 0C9 00000002 FFFFFFFE 01 = 00000002 11 +06 0CA 00000002 FFFFFFFE 10 = 00000002 10 +06 0CB 00000002 FFFFFFFE 11 = 00000002 11 +06 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +06 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 01 +06 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +06 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +06 0D0 80000000 FFFFFFFE 00 = 80000000 10 +06 0D1 80000000 FFFFFFFE 01 = 80000000 11 +06 0D2 80000000 FFFFFFFE 10 = 80000000 10 +06 0D3 80000000 FFFFFFFE 11 = 80000000 11 +06 0D4 80000001 FFFFFFFE 00 = 80000001 10 +06 0D5 80000001 FFFFFFFE 01 = 80000001 11 +06 0D6 80000001 FFFFFFFE 10 = 80000001 10 +06 0D7 80000001 FFFFFFFE 11 = 80000001 11 +06 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +06 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +06 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +06 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +06 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +06 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +06 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +06 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +06 0E0 00000000 FFFFFFFF 00 = 00000000 10 +06 0E1 00000000 FFFFFFFF 01 = 00000000 11 +06 0E2 00000000 FFFFFFFF 10 = 00000000 10 +06 0E3 00000000 FFFFFFFF 11 = 00000000 11 +06 0E4 00000001 FFFFFFFF 00 = 00000001 10 +06 0E5 00000001 FFFFFFFF 01 = 00000001 11 +06 0E6 00000001 FFFFFFFF 10 = 00000001 10 +06 0E7 00000001 FFFFFFFF 11 = 00000001 11 +06 0E8 00000002 FFFFFFFF 00 = 00000002 10 +06 0E9 00000002 FFFFFFFF 01 = 00000002 11 +06 0EA 00000002 FFFFFFFF 10 = 00000002 10 +06 0EB 00000002 FFFFFFFF 11 = 00000002 11 +06 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 +06 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 +06 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +06 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +06 0F0 80000000 FFFFFFFF 00 = 80000000 00 +06 0F1 80000000 FFFFFFFF 01 = 80000000 01 +06 0F2 80000000 FFFFFFFF 10 = 80000000 10 +06 0F3 80000000 FFFFFFFF 11 = 80000000 11 +06 0F4 80000001 FFFFFFFF 00 = 80000001 00 +06 0F5 80000001 FFFFFFFF 01 = 80000001 01 +06 0F6 80000001 FFFFFFFF 10 = 80000001 10 +06 0F7 80000001 FFFFFFFF 11 = 80000001 11 +06 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +06 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +06 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +06 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +06 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +06 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +06 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +06 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +testbn3 ---D---- ---S---- CZ = ---Q---- CZ +07 000 00000000 00000000 00 = 00000000 10 +07 001 00000000 00000000 01 = 00000000 11 +07 002 00000000 00000000 10 = 00000000 00 +07 003 00000000 00000000 11 = 00000000 01 +07 004 00000001 00000000 00 = 00000001 00 +07 005 00000001 00000000 01 = 00000001 01 +07 006 00000001 00000000 10 = 00000001 10 +07 007 00000001 00000000 11 = 00000001 11 +07 008 00000002 00000000 00 = 00000002 10 +07 009 00000002 00000000 01 = 00000002 11 +07 00A 00000002 00000000 10 = 00000002 00 +07 00B 00000002 00000000 11 = 00000002 01 +07 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +07 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +07 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +07 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +07 010 80000000 00000000 00 = 80000000 10 +07 011 80000000 00000000 01 = 80000000 11 +07 012 80000000 00000000 10 = 80000000 00 +07 013 80000000 00000000 11 = 80000000 01 +07 014 80000001 00000000 00 = 80000001 00 +07 015 80000001 00000000 01 = 80000001 01 +07 016 80000001 00000000 10 = 80000001 10 +07 017 80000001 00000000 11 = 80000001 11 +07 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +07 019 FFFFFFFE 00000000 01 = FFFFFFFE 11 +07 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 +07 01B FFFFFFFE 00000000 11 = FFFFFFFE 01 +07 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +07 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +07 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +07 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +07 020 00000000 00000001 00 = 00000000 10 +07 021 00000000 00000001 01 = 00000000 11 +07 022 00000000 00000001 10 = 00000000 00 +07 023 00000000 00000001 11 = 00000000 01 +07 024 00000001 00000001 00 = 00000001 10 +07 025 00000001 00000001 01 = 00000001 11 +07 026 00000001 00000001 10 = 00000001 00 +07 027 00000001 00000001 11 = 00000001 01 +07 028 00000002 00000001 00 = 00000002 00 +07 029 00000002 00000001 01 = 00000002 01 +07 02A 00000002 00000001 10 = 00000002 10 +07 02B 00000002 00000001 11 = 00000002 11 +07 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +07 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 01 +07 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +07 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 +07 030 80000000 00000001 00 = 80000000 10 +07 031 80000000 00000001 01 = 80000000 11 +07 032 80000000 00000001 10 = 80000000 00 +07 033 80000000 00000001 11 = 80000000 01 +07 034 80000001 00000001 00 = 80000001 10 +07 035 80000001 00000001 01 = 80000001 11 +07 036 80000001 00000001 10 = 80000001 00 +07 037 80000001 00000001 11 = 80000001 01 +07 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +07 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 +07 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +07 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 +07 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +07 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +07 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +07 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +07 040 00000000 00000002 00 = 00000000 10 +07 041 00000000 00000002 01 = 00000000 11 +07 042 00000000 00000002 10 = 00000000 00 +07 043 00000000 00000002 11 = 00000000 01 +07 044 00000001 00000002 00 = 00000001 10 +07 045 00000001 00000002 01 = 00000001 11 +07 046 00000001 00000002 10 = 00000001 00 +07 047 00000001 00000002 11 = 00000001 01 +07 048 00000002 00000002 00 = 00000002 10 +07 049 00000002 00000002 01 = 00000002 11 +07 04A 00000002 00000002 10 = 00000002 00 +07 04B 00000002 00000002 11 = 00000002 01 +07 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +07 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 01 +07 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +07 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 +07 050 80000000 00000002 00 = 80000000 10 +07 051 80000000 00000002 01 = 80000000 11 +07 052 80000000 00000002 10 = 80000000 00 +07 053 80000000 00000002 11 = 80000000 01 +07 054 80000001 00000002 00 = 80000001 10 +07 055 80000001 00000002 01 = 80000001 11 +07 056 80000001 00000002 10 = 80000001 00 +07 057 80000001 00000002 11 = 80000001 01 +07 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +07 059 FFFFFFFE 00000002 01 = FFFFFFFE 01 +07 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +07 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +07 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +07 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +07 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +07 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +07 060 00000000 7FFFFFFF 00 = 00000000 10 +07 061 00000000 7FFFFFFF 01 = 00000000 11 +07 062 00000000 7FFFFFFF 10 = 00000000 00 +07 063 00000000 7FFFFFFF 11 = 00000000 01 +07 064 00000001 7FFFFFFF 00 = 00000001 10 +07 065 00000001 7FFFFFFF 01 = 00000001 11 +07 066 00000001 7FFFFFFF 10 = 00000001 00 +07 067 00000001 7FFFFFFF 11 = 00000001 01 +07 068 00000002 7FFFFFFF 00 = 00000002 10 +07 069 00000002 7FFFFFFF 01 = 00000002 11 +07 06A 00000002 7FFFFFFF 10 = 00000002 00 +07 06B 00000002 7FFFFFFF 11 = 00000002 01 +07 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 +07 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 11 +07 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +07 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 01 +07 070 80000000 7FFFFFFF 00 = 80000000 00 +07 071 80000000 7FFFFFFF 01 = 80000000 01 +07 072 80000000 7FFFFFFF 10 = 80000000 10 +07 073 80000000 7FFFFFFF 11 = 80000000 11 +07 074 80000001 7FFFFFFF 00 = 80000001 00 +07 075 80000001 7FFFFFFF 01 = 80000001 01 +07 076 80000001 7FFFFFFF 10 = 80000001 10 +07 077 80000001 7FFFFFFF 11 = 80000001 11 +07 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +07 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +07 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +07 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +07 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +07 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +07 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +07 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +07 080 00000000 80000000 00 = 00000000 10 +07 081 00000000 80000000 01 = 00000000 11 +07 082 00000000 80000000 10 = 00000000 00 +07 083 00000000 80000000 11 = 00000000 01 +07 084 00000001 80000000 00 = 00000001 00 +07 085 00000001 80000000 01 = 00000001 01 +07 086 00000001 80000000 10 = 00000001 10 +07 087 00000001 80000000 11 = 00000001 11 +07 088 00000002 80000000 00 = 00000002 10 +07 089 00000002 80000000 01 = 00000002 11 +07 08A 00000002 80000000 10 = 00000002 00 +07 08B 00000002 80000000 11 = 00000002 01 +07 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 +07 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 01 +07 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 10 +07 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 11 +07 090 80000000 80000000 00 = 80000000 10 +07 091 80000000 80000000 01 = 80000000 11 +07 092 80000000 80000000 10 = 80000000 00 +07 093 80000000 80000000 11 = 80000000 01 +07 094 80000001 80000000 00 = 80000001 00 +07 095 80000001 80000000 01 = 80000001 01 +07 096 80000001 80000000 10 = 80000001 10 +07 097 80000001 80000000 11 = 80000001 11 +07 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 +07 099 FFFFFFFE 80000000 01 = FFFFFFFE 11 +07 09A FFFFFFFE 80000000 10 = FFFFFFFE 00 +07 09B FFFFFFFE 80000000 11 = FFFFFFFE 01 +07 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +07 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +07 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +07 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +07 0A0 00000000 80000001 00 = 00000000 10 +07 0A1 00000000 80000001 01 = 00000000 11 +07 0A2 00000000 80000001 10 = 00000000 00 +07 0A3 00000000 80000001 11 = 00000000 01 +07 0A4 00000001 80000001 00 = 00000001 10 +07 0A5 00000001 80000001 01 = 00000001 11 +07 0A6 00000001 80000001 10 = 00000001 00 +07 0A7 00000001 80000001 11 = 00000001 01 +07 0A8 00000002 80000001 00 = 00000002 00 +07 0A9 00000002 80000001 01 = 00000002 01 +07 0AA 00000002 80000001 10 = 00000002 10 +07 0AB 00000002 80000001 11 = 00000002 11 +07 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 +07 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 01 +07 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 10 +07 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 11 +07 0B0 80000000 80000001 00 = 80000000 10 +07 0B1 80000000 80000001 01 = 80000000 11 +07 0B2 80000000 80000001 10 = 80000000 00 +07 0B3 80000000 80000001 11 = 80000000 01 +07 0B4 80000001 80000001 00 = 80000001 10 +07 0B5 80000001 80000001 01 = 80000001 11 +07 0B6 80000001 80000001 10 = 80000001 00 +07 0B7 80000001 80000001 11 = 80000001 01 +07 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +07 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 01 +07 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +07 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 +07 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +07 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +07 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +07 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +07 0C0 00000000 FFFFFFFE 00 = 00000000 10 +07 0C1 00000000 FFFFFFFE 01 = 00000000 11 +07 0C2 00000000 FFFFFFFE 10 = 00000000 00 +07 0C3 00000000 FFFFFFFE 11 = 00000000 01 +07 0C4 00000001 FFFFFFFE 00 = 00000001 10 +07 0C5 00000001 FFFFFFFE 01 = 00000001 11 +07 0C6 00000001 FFFFFFFE 10 = 00000001 00 +07 0C7 00000001 FFFFFFFE 11 = 00000001 01 +07 0C8 00000002 FFFFFFFE 00 = 00000002 10 +07 0C9 00000002 FFFFFFFE 01 = 00000002 11 +07 0CA 00000002 FFFFFFFE 10 = 00000002 00 +07 0CB 00000002 FFFFFFFE 11 = 00000002 01 +07 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +07 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 01 +07 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +07 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +07 0D0 80000000 FFFFFFFE 00 = 80000000 10 +07 0D1 80000000 FFFFFFFE 01 = 80000000 11 +07 0D2 80000000 FFFFFFFE 10 = 80000000 00 +07 0D3 80000000 FFFFFFFE 11 = 80000000 01 +07 0D4 80000001 FFFFFFFE 00 = 80000001 10 +07 0D5 80000001 FFFFFFFE 01 = 80000001 11 +07 0D6 80000001 FFFFFFFE 10 = 80000001 00 +07 0D7 80000001 FFFFFFFE 11 = 80000001 01 +07 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +07 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +07 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +07 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +07 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +07 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +07 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +07 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +07 0E0 00000000 FFFFFFFF 00 = 00000000 10 +07 0E1 00000000 FFFFFFFF 01 = 00000000 11 +07 0E2 00000000 FFFFFFFF 10 = 00000000 00 +07 0E3 00000000 FFFFFFFF 11 = 00000000 01 +07 0E4 00000001 FFFFFFFF 00 = 00000001 10 +07 0E5 00000001 FFFFFFFF 01 = 00000001 11 +07 0E6 00000001 FFFFFFFF 10 = 00000001 00 +07 0E7 00000001 FFFFFFFF 11 = 00000001 01 +07 0E8 00000002 FFFFFFFF 00 = 00000002 10 +07 0E9 00000002 FFFFFFFF 01 = 00000002 11 +07 0EA 00000002 FFFFFFFF 10 = 00000002 00 +07 0EB 00000002 FFFFFFFF 11 = 00000002 01 +07 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 10 +07 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 11 +07 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +07 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 01 +07 0F0 80000000 FFFFFFFF 00 = 80000000 00 +07 0F1 80000000 FFFFFFFF 01 = 80000000 01 +07 0F2 80000000 FFFFFFFF 10 = 80000000 10 +07 0F3 80000000 FFFFFFFF 11 = 80000000 11 +07 0F4 80000001 FFFFFFFF 00 = 80000001 00 +07 0F5 80000001 FFFFFFFF 01 = 80000001 01 +07 0F6 80000001 FFFFFFFF 10 = 80000001 10 +07 0F7 80000001 FFFFFFFF 11 = 80000001 11 +07 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +07 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +07 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +07 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +07 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +07 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +07 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +07 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setnib0 ---D---- ---S---- CZ = ---Q---- CZ +08 000 00000000 00000000 00 = 00000000 00 +08 001 00000000 00000000 01 = 00000000 01 +08 002 00000000 00000000 10 = 00000000 10 +08 003 00000000 00000000 11 = 00000000 11 +08 004 00000001 00000000 00 = 00000000 00 +08 005 00000001 00000000 01 = 00000000 01 +08 006 00000001 00000000 10 = 00000000 10 +08 007 00000001 00000000 11 = 00000000 11 +08 008 00000002 00000000 00 = 00000000 00 +08 009 00000002 00000000 01 = 00000000 01 +08 00A 00000002 00000000 10 = 00000000 10 +08 00B 00000002 00000000 11 = 00000000 11 +08 00C 7FFFFFFF 00000000 00 = 7FFFFFF0 00 +08 00D 7FFFFFFF 00000000 01 = 7FFFFFF0 01 +08 00E 7FFFFFFF 00000000 10 = 7FFFFFF0 10 +08 00F 7FFFFFFF 00000000 11 = 7FFFFFF0 11 +08 010 80000000 00000000 00 = 80000000 00 +08 011 80000000 00000000 01 = 80000000 01 +08 012 80000000 00000000 10 = 80000000 10 +08 013 80000000 00000000 11 = 80000000 11 +08 014 80000001 00000000 00 = 80000000 00 +08 015 80000001 00000000 01 = 80000000 01 +08 016 80000001 00000000 10 = 80000000 10 +08 017 80000001 00000000 11 = 80000000 11 +08 018 FFFFFFFE 00000000 00 = FFFFFFF0 00 +08 019 FFFFFFFE 00000000 01 = FFFFFFF0 01 +08 01A FFFFFFFE 00000000 10 = FFFFFFF0 10 +08 01B FFFFFFFE 00000000 11 = FFFFFFF0 11 +08 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 +08 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 +08 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 +08 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 +08 020 00000000 00000001 00 = 00000001 00 +08 021 00000000 00000001 01 = 00000001 01 +08 022 00000000 00000001 10 = 00000001 10 +08 023 00000000 00000001 11 = 00000001 11 +08 024 00000001 00000001 00 = 00000001 00 +08 025 00000001 00000001 01 = 00000001 01 +08 026 00000001 00000001 10 = 00000001 10 +08 027 00000001 00000001 11 = 00000001 11 +08 028 00000002 00000001 00 = 00000001 00 +08 029 00000002 00000001 01 = 00000001 01 +08 02A 00000002 00000001 10 = 00000001 10 +08 02B 00000002 00000001 11 = 00000001 11 +08 02C 7FFFFFFF 00000001 00 = 7FFFFFF1 00 +08 02D 7FFFFFFF 00000001 01 = 7FFFFFF1 01 +08 02E 7FFFFFFF 00000001 10 = 7FFFFFF1 10 +08 02F 7FFFFFFF 00000001 11 = 7FFFFFF1 11 +08 030 80000000 00000001 00 = 80000001 00 +08 031 80000000 00000001 01 = 80000001 01 +08 032 80000000 00000001 10 = 80000001 10 +08 033 80000000 00000001 11 = 80000001 11 +08 034 80000001 00000001 00 = 80000001 00 +08 035 80000001 00000001 01 = 80000001 01 +08 036 80000001 00000001 10 = 80000001 10 +08 037 80000001 00000001 11 = 80000001 11 +08 038 FFFFFFFE 00000001 00 = FFFFFFF1 00 +08 039 FFFFFFFE 00000001 01 = FFFFFFF1 01 +08 03A FFFFFFFE 00000001 10 = FFFFFFF1 10 +08 03B FFFFFFFE 00000001 11 = FFFFFFF1 11 +08 03C FFFFFFFF 00000001 00 = FFFFFFF1 00 +08 03D FFFFFFFF 00000001 01 = FFFFFFF1 01 +08 03E FFFFFFFF 00000001 10 = FFFFFFF1 10 +08 03F FFFFFFFF 00000001 11 = FFFFFFF1 11 +08 040 00000000 00000002 00 = 00000002 00 +08 041 00000000 00000002 01 = 00000002 01 +08 042 00000000 00000002 10 = 00000002 10 +08 043 00000000 00000002 11 = 00000002 11 +08 044 00000001 00000002 00 = 00000002 00 +08 045 00000001 00000002 01 = 00000002 01 +08 046 00000001 00000002 10 = 00000002 10 +08 047 00000001 00000002 11 = 00000002 11 +08 048 00000002 00000002 00 = 00000002 00 +08 049 00000002 00000002 01 = 00000002 01 +08 04A 00000002 00000002 10 = 00000002 10 +08 04B 00000002 00000002 11 = 00000002 11 +08 04C 7FFFFFFF 00000002 00 = 7FFFFFF2 00 +08 04D 7FFFFFFF 00000002 01 = 7FFFFFF2 01 +08 04E 7FFFFFFF 00000002 10 = 7FFFFFF2 10 +08 04F 7FFFFFFF 00000002 11 = 7FFFFFF2 11 +08 050 80000000 00000002 00 = 80000002 00 +08 051 80000000 00000002 01 = 80000002 01 +08 052 80000000 00000002 10 = 80000002 10 +08 053 80000000 00000002 11 = 80000002 11 +08 054 80000001 00000002 00 = 80000002 00 +08 055 80000001 00000002 01 = 80000002 01 +08 056 80000001 00000002 10 = 80000002 10 +08 057 80000001 00000002 11 = 80000002 11 +08 058 FFFFFFFE 00000002 00 = FFFFFFF2 00 +08 059 FFFFFFFE 00000002 01 = FFFFFFF2 01 +08 05A FFFFFFFE 00000002 10 = FFFFFFF2 10 +08 05B FFFFFFFE 00000002 11 = FFFFFFF2 11 +08 05C FFFFFFFF 00000002 00 = FFFFFFF2 00 +08 05D FFFFFFFF 00000002 01 = FFFFFFF2 01 +08 05E FFFFFFFF 00000002 10 = FFFFFFF2 10 +08 05F FFFFFFFF 00000002 11 = FFFFFFF2 11 +08 060 00000000 7FFFFFFF 00 = 0000000F 00 +08 061 00000000 7FFFFFFF 01 = 0000000F 01 +08 062 00000000 7FFFFFFF 10 = 0000000F 10 +08 063 00000000 7FFFFFFF 11 = 0000000F 11 +08 064 00000001 7FFFFFFF 00 = 0000000F 00 +08 065 00000001 7FFFFFFF 01 = 0000000F 01 +08 066 00000001 7FFFFFFF 10 = 0000000F 10 +08 067 00000001 7FFFFFFF 11 = 0000000F 11 +08 068 00000002 7FFFFFFF 00 = 0000000F 00 +08 069 00000002 7FFFFFFF 01 = 0000000F 01 +08 06A 00000002 7FFFFFFF 10 = 0000000F 10 +08 06B 00000002 7FFFFFFF 11 = 0000000F 11 +08 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +08 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +08 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +08 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +08 070 80000000 7FFFFFFF 00 = 8000000F 00 +08 071 80000000 7FFFFFFF 01 = 8000000F 01 +08 072 80000000 7FFFFFFF 10 = 8000000F 10 +08 073 80000000 7FFFFFFF 11 = 8000000F 11 +08 074 80000001 7FFFFFFF 00 = 8000000F 00 +08 075 80000001 7FFFFFFF 01 = 8000000F 01 +08 076 80000001 7FFFFFFF 10 = 8000000F 10 +08 077 80000001 7FFFFFFF 11 = 8000000F 11 +08 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +08 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 +08 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +08 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 +08 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +08 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +08 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +08 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +08 080 00000000 80000000 00 = 00000000 00 +08 081 00000000 80000000 01 = 00000000 01 +08 082 00000000 80000000 10 = 00000000 10 +08 083 00000000 80000000 11 = 00000000 11 +08 084 00000001 80000000 00 = 00000000 00 +08 085 00000001 80000000 01 = 00000000 01 +08 086 00000001 80000000 10 = 00000000 10 +08 087 00000001 80000000 11 = 00000000 11 +08 088 00000002 80000000 00 = 00000000 00 +08 089 00000002 80000000 01 = 00000000 01 +08 08A 00000002 80000000 10 = 00000000 10 +08 08B 00000002 80000000 11 = 00000000 11 +08 08C 7FFFFFFF 80000000 00 = 7FFFFFF0 00 +08 08D 7FFFFFFF 80000000 01 = 7FFFFFF0 01 +08 08E 7FFFFFFF 80000000 10 = 7FFFFFF0 10 +08 08F 7FFFFFFF 80000000 11 = 7FFFFFF0 11 +08 090 80000000 80000000 00 = 80000000 00 +08 091 80000000 80000000 01 = 80000000 01 +08 092 80000000 80000000 10 = 80000000 10 +08 093 80000000 80000000 11 = 80000000 11 +08 094 80000001 80000000 00 = 80000000 00 +08 095 80000001 80000000 01 = 80000000 01 +08 096 80000001 80000000 10 = 80000000 10 +08 097 80000001 80000000 11 = 80000000 11 +08 098 FFFFFFFE 80000000 00 = FFFFFFF0 00 +08 099 FFFFFFFE 80000000 01 = FFFFFFF0 01 +08 09A FFFFFFFE 80000000 10 = FFFFFFF0 10 +08 09B FFFFFFFE 80000000 11 = FFFFFFF0 11 +08 09C FFFFFFFF 80000000 00 = FFFFFFF0 00 +08 09D FFFFFFFF 80000000 01 = FFFFFFF0 01 +08 09E FFFFFFFF 80000000 10 = FFFFFFF0 10 +08 09F FFFFFFFF 80000000 11 = FFFFFFF0 11 +08 0A0 00000000 80000001 00 = 00000001 00 +08 0A1 00000000 80000001 01 = 00000001 01 +08 0A2 00000000 80000001 10 = 00000001 10 +08 0A3 00000000 80000001 11 = 00000001 11 +08 0A4 00000001 80000001 00 = 00000001 00 +08 0A5 00000001 80000001 01 = 00000001 01 +08 0A6 00000001 80000001 10 = 00000001 10 +08 0A7 00000001 80000001 11 = 00000001 11 +08 0A8 00000002 80000001 00 = 00000001 00 +08 0A9 00000002 80000001 01 = 00000001 01 +08 0AA 00000002 80000001 10 = 00000001 10 +08 0AB 00000002 80000001 11 = 00000001 11 +08 0AC 7FFFFFFF 80000001 00 = 7FFFFFF1 00 +08 0AD 7FFFFFFF 80000001 01 = 7FFFFFF1 01 +08 0AE 7FFFFFFF 80000001 10 = 7FFFFFF1 10 +08 0AF 7FFFFFFF 80000001 11 = 7FFFFFF1 11 +08 0B0 80000000 80000001 00 = 80000001 00 +08 0B1 80000000 80000001 01 = 80000001 01 +08 0B2 80000000 80000001 10 = 80000001 10 +08 0B3 80000000 80000001 11 = 80000001 11 +08 0B4 80000001 80000001 00 = 80000001 00 +08 0B5 80000001 80000001 01 = 80000001 01 +08 0B6 80000001 80000001 10 = 80000001 10 +08 0B7 80000001 80000001 11 = 80000001 11 +08 0B8 FFFFFFFE 80000001 00 = FFFFFFF1 00 +08 0B9 FFFFFFFE 80000001 01 = FFFFFFF1 01 +08 0BA FFFFFFFE 80000001 10 = FFFFFFF1 10 +08 0BB FFFFFFFE 80000001 11 = FFFFFFF1 11 +08 0BC FFFFFFFF 80000001 00 = FFFFFFF1 00 +08 0BD FFFFFFFF 80000001 01 = FFFFFFF1 01 +08 0BE FFFFFFFF 80000001 10 = FFFFFFF1 10 +08 0BF FFFFFFFF 80000001 11 = FFFFFFF1 11 +08 0C0 00000000 FFFFFFFE 00 = 0000000E 00 +08 0C1 00000000 FFFFFFFE 01 = 0000000E 01 +08 0C2 00000000 FFFFFFFE 10 = 0000000E 10 +08 0C3 00000000 FFFFFFFE 11 = 0000000E 11 +08 0C4 00000001 FFFFFFFE 00 = 0000000E 00 +08 0C5 00000001 FFFFFFFE 01 = 0000000E 01 +08 0C6 00000001 FFFFFFFE 10 = 0000000E 10 +08 0C7 00000001 FFFFFFFE 11 = 0000000E 11 +08 0C8 00000002 FFFFFFFE 00 = 0000000E 00 +08 0C9 00000002 FFFFFFFE 01 = 0000000E 01 +08 0CA 00000002 FFFFFFFE 10 = 0000000E 10 +08 0CB 00000002 FFFFFFFE 11 = 0000000E 11 +08 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 +08 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 01 +08 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 10 +08 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 11 +08 0D0 80000000 FFFFFFFE 00 = 8000000E 00 +08 0D1 80000000 FFFFFFFE 01 = 8000000E 01 +08 0D2 80000000 FFFFFFFE 10 = 8000000E 10 +08 0D3 80000000 FFFFFFFE 11 = 8000000E 11 +08 0D4 80000001 FFFFFFFE 00 = 8000000E 00 +08 0D5 80000001 FFFFFFFE 01 = 8000000E 01 +08 0D6 80000001 FFFFFFFE 10 = 8000000E 10 +08 0D7 80000001 FFFFFFFE 11 = 8000000E 11 +08 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +08 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +08 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +08 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +08 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +08 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +08 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +08 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +08 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +08 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +08 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +08 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +08 0E4 00000001 FFFFFFFF 00 = 0000000F 00 +08 0E5 00000001 FFFFFFFF 01 = 0000000F 01 +08 0E6 00000001 FFFFFFFF 10 = 0000000F 10 +08 0E7 00000001 FFFFFFFF 11 = 0000000F 11 +08 0E8 00000002 FFFFFFFF 00 = 0000000F 00 +08 0E9 00000002 FFFFFFFF 01 = 0000000F 01 +08 0EA 00000002 FFFFFFFF 10 = 0000000F 10 +08 0EB 00000002 FFFFFFFF 11 = 0000000F 11 +08 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +08 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +08 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +08 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +08 0F0 80000000 FFFFFFFF 00 = 8000000F 00 +08 0F1 80000000 FFFFFFFF 01 = 8000000F 01 +08 0F2 80000000 FFFFFFFF 10 = 8000000F 10 +08 0F3 80000000 FFFFFFFF 11 = 8000000F 11 +08 0F4 80000001 FFFFFFFF 00 = 8000000F 00 +08 0F5 80000001 FFFFFFFF 01 = 8000000F 01 +08 0F6 80000001 FFFFFFFF 10 = 8000000F 10 +08 0F7 80000001 FFFFFFFF 11 = 8000000F 11 +08 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +08 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +08 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +08 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +08 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +08 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +08 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +08 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setnib1 ---D---- ---S---- CZ = ---Q---- CZ +09 000 00000000 00000000 00 = 00000000 00 +09 001 00000000 00000000 01 = 00000000 01 +09 002 00000000 00000000 10 = 00000000 10 +09 003 00000000 00000000 11 = 00000000 11 +09 004 00000001 00000000 00 = 00000001 00 +09 005 00000001 00000000 01 = 00000001 01 +09 006 00000001 00000000 10 = 00000001 10 +09 007 00000001 00000000 11 = 00000001 11 +09 008 00000002 00000000 00 = 00000002 00 +09 009 00000002 00000000 01 = 00000002 01 +09 00A 00000002 00000000 10 = 00000002 10 +09 00B 00000002 00000000 11 = 00000002 11 +09 00C 7FFFFFFF 00000000 00 = 7FFFFF0F 00 +09 00D 7FFFFFFF 00000000 01 = 7FFFFF0F 01 +09 00E 7FFFFFFF 00000000 10 = 7FFFFF0F 10 +09 00F 7FFFFFFF 00000000 11 = 7FFFFF0F 11 +09 010 80000000 00000000 00 = 80000000 00 +09 011 80000000 00000000 01 = 80000000 01 +09 012 80000000 00000000 10 = 80000000 10 +09 013 80000000 00000000 11 = 80000000 11 +09 014 80000001 00000000 00 = 80000001 00 +09 015 80000001 00000000 01 = 80000001 01 +09 016 80000001 00000000 10 = 80000001 10 +09 017 80000001 00000000 11 = 80000001 11 +09 018 FFFFFFFE 00000000 00 = FFFFFF0E 00 +09 019 FFFFFFFE 00000000 01 = FFFFFF0E 01 +09 01A FFFFFFFE 00000000 10 = FFFFFF0E 10 +09 01B FFFFFFFE 00000000 11 = FFFFFF0E 11 +09 01C FFFFFFFF 00000000 00 = FFFFFF0F 00 +09 01D FFFFFFFF 00000000 01 = FFFFFF0F 01 +09 01E FFFFFFFF 00000000 10 = FFFFFF0F 10 +09 01F FFFFFFFF 00000000 11 = FFFFFF0F 11 +09 020 00000000 00000001 00 = 00000010 00 +09 021 00000000 00000001 01 = 00000010 01 +09 022 00000000 00000001 10 = 00000010 10 +09 023 00000000 00000001 11 = 00000010 11 +09 024 00000001 00000001 00 = 00000011 00 +09 025 00000001 00000001 01 = 00000011 01 +09 026 00000001 00000001 10 = 00000011 10 +09 027 00000001 00000001 11 = 00000011 11 +09 028 00000002 00000001 00 = 00000012 00 +09 029 00000002 00000001 01 = 00000012 01 +09 02A 00000002 00000001 10 = 00000012 10 +09 02B 00000002 00000001 11 = 00000012 11 +09 02C 7FFFFFFF 00000001 00 = 7FFFFF1F 00 +09 02D 7FFFFFFF 00000001 01 = 7FFFFF1F 01 +09 02E 7FFFFFFF 00000001 10 = 7FFFFF1F 10 +09 02F 7FFFFFFF 00000001 11 = 7FFFFF1F 11 +09 030 80000000 00000001 00 = 80000010 00 +09 031 80000000 00000001 01 = 80000010 01 +09 032 80000000 00000001 10 = 80000010 10 +09 033 80000000 00000001 11 = 80000010 11 +09 034 80000001 00000001 00 = 80000011 00 +09 035 80000001 00000001 01 = 80000011 01 +09 036 80000001 00000001 10 = 80000011 10 +09 037 80000001 00000001 11 = 80000011 11 +09 038 FFFFFFFE 00000001 00 = FFFFFF1E 00 +09 039 FFFFFFFE 00000001 01 = FFFFFF1E 01 +09 03A FFFFFFFE 00000001 10 = FFFFFF1E 10 +09 03B FFFFFFFE 00000001 11 = FFFFFF1E 11 +09 03C FFFFFFFF 00000001 00 = FFFFFF1F 00 +09 03D FFFFFFFF 00000001 01 = FFFFFF1F 01 +09 03E FFFFFFFF 00000001 10 = FFFFFF1F 10 +09 03F FFFFFFFF 00000001 11 = FFFFFF1F 11 +09 040 00000000 00000002 00 = 00000020 00 +09 041 00000000 00000002 01 = 00000020 01 +09 042 00000000 00000002 10 = 00000020 10 +09 043 00000000 00000002 11 = 00000020 11 +09 044 00000001 00000002 00 = 00000021 00 +09 045 00000001 00000002 01 = 00000021 01 +09 046 00000001 00000002 10 = 00000021 10 +09 047 00000001 00000002 11 = 00000021 11 +09 048 00000002 00000002 00 = 00000022 00 +09 049 00000002 00000002 01 = 00000022 01 +09 04A 00000002 00000002 10 = 00000022 10 +09 04B 00000002 00000002 11 = 00000022 11 +09 04C 7FFFFFFF 00000002 00 = 7FFFFF2F 00 +09 04D 7FFFFFFF 00000002 01 = 7FFFFF2F 01 +09 04E 7FFFFFFF 00000002 10 = 7FFFFF2F 10 +09 04F 7FFFFFFF 00000002 11 = 7FFFFF2F 11 +09 050 80000000 00000002 00 = 80000020 00 +09 051 80000000 00000002 01 = 80000020 01 +09 052 80000000 00000002 10 = 80000020 10 +09 053 80000000 00000002 11 = 80000020 11 +09 054 80000001 00000002 00 = 80000021 00 +09 055 80000001 00000002 01 = 80000021 01 +09 056 80000001 00000002 10 = 80000021 10 +09 057 80000001 00000002 11 = 80000021 11 +09 058 FFFFFFFE 00000002 00 = FFFFFF2E 00 +09 059 FFFFFFFE 00000002 01 = FFFFFF2E 01 +09 05A FFFFFFFE 00000002 10 = FFFFFF2E 10 +09 05B FFFFFFFE 00000002 11 = FFFFFF2E 11 +09 05C FFFFFFFF 00000002 00 = FFFFFF2F 00 +09 05D FFFFFFFF 00000002 01 = FFFFFF2F 01 +09 05E FFFFFFFF 00000002 10 = FFFFFF2F 10 +09 05F FFFFFFFF 00000002 11 = FFFFFF2F 11 +09 060 00000000 7FFFFFFF 00 = 000000F0 00 +09 061 00000000 7FFFFFFF 01 = 000000F0 01 +09 062 00000000 7FFFFFFF 10 = 000000F0 10 +09 063 00000000 7FFFFFFF 11 = 000000F0 11 +09 064 00000001 7FFFFFFF 00 = 000000F1 00 +09 065 00000001 7FFFFFFF 01 = 000000F1 01 +09 066 00000001 7FFFFFFF 10 = 000000F1 10 +09 067 00000001 7FFFFFFF 11 = 000000F1 11 +09 068 00000002 7FFFFFFF 00 = 000000F2 00 +09 069 00000002 7FFFFFFF 01 = 000000F2 01 +09 06A 00000002 7FFFFFFF 10 = 000000F2 10 +09 06B 00000002 7FFFFFFF 11 = 000000F2 11 +09 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +09 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +09 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +09 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +09 070 80000000 7FFFFFFF 00 = 800000F0 00 +09 071 80000000 7FFFFFFF 01 = 800000F0 01 +09 072 80000000 7FFFFFFF 10 = 800000F0 10 +09 073 80000000 7FFFFFFF 11 = 800000F0 11 +09 074 80000001 7FFFFFFF 00 = 800000F1 00 +09 075 80000001 7FFFFFFF 01 = 800000F1 01 +09 076 80000001 7FFFFFFF 10 = 800000F1 10 +09 077 80000001 7FFFFFFF 11 = 800000F1 11 +09 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +09 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +09 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +09 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +09 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +09 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +09 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +09 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +09 080 00000000 80000000 00 = 00000000 00 +09 081 00000000 80000000 01 = 00000000 01 +09 082 00000000 80000000 10 = 00000000 10 +09 083 00000000 80000000 11 = 00000000 11 +09 084 00000001 80000000 00 = 00000001 00 +09 085 00000001 80000000 01 = 00000001 01 +09 086 00000001 80000000 10 = 00000001 10 +09 087 00000001 80000000 11 = 00000001 11 +09 088 00000002 80000000 00 = 00000002 00 +09 089 00000002 80000000 01 = 00000002 01 +09 08A 00000002 80000000 10 = 00000002 10 +09 08B 00000002 80000000 11 = 00000002 11 +09 08C 7FFFFFFF 80000000 00 = 7FFFFF0F 00 +09 08D 7FFFFFFF 80000000 01 = 7FFFFF0F 01 +09 08E 7FFFFFFF 80000000 10 = 7FFFFF0F 10 +09 08F 7FFFFFFF 80000000 11 = 7FFFFF0F 11 +09 090 80000000 80000000 00 = 80000000 00 +09 091 80000000 80000000 01 = 80000000 01 +09 092 80000000 80000000 10 = 80000000 10 +09 093 80000000 80000000 11 = 80000000 11 +09 094 80000001 80000000 00 = 80000001 00 +09 095 80000001 80000000 01 = 80000001 01 +09 096 80000001 80000000 10 = 80000001 10 +09 097 80000001 80000000 11 = 80000001 11 +09 098 FFFFFFFE 80000000 00 = FFFFFF0E 00 +09 099 FFFFFFFE 80000000 01 = FFFFFF0E 01 +09 09A FFFFFFFE 80000000 10 = FFFFFF0E 10 +09 09B FFFFFFFE 80000000 11 = FFFFFF0E 11 +09 09C FFFFFFFF 80000000 00 = FFFFFF0F 00 +09 09D FFFFFFFF 80000000 01 = FFFFFF0F 01 +09 09E FFFFFFFF 80000000 10 = FFFFFF0F 10 +09 09F FFFFFFFF 80000000 11 = FFFFFF0F 11 +09 0A0 00000000 80000001 00 = 00000010 00 +09 0A1 00000000 80000001 01 = 00000010 01 +09 0A2 00000000 80000001 10 = 00000010 10 +09 0A3 00000000 80000001 11 = 00000010 11 +09 0A4 00000001 80000001 00 = 00000011 00 +09 0A5 00000001 80000001 01 = 00000011 01 +09 0A6 00000001 80000001 10 = 00000011 10 +09 0A7 00000001 80000001 11 = 00000011 11 +09 0A8 00000002 80000001 00 = 00000012 00 +09 0A9 00000002 80000001 01 = 00000012 01 +09 0AA 00000002 80000001 10 = 00000012 10 +09 0AB 00000002 80000001 11 = 00000012 11 +09 0AC 7FFFFFFF 80000001 00 = 7FFFFF1F 00 +09 0AD 7FFFFFFF 80000001 01 = 7FFFFF1F 01 +09 0AE 7FFFFFFF 80000001 10 = 7FFFFF1F 10 +09 0AF 7FFFFFFF 80000001 11 = 7FFFFF1F 11 +09 0B0 80000000 80000001 00 = 80000010 00 +09 0B1 80000000 80000001 01 = 80000010 01 +09 0B2 80000000 80000001 10 = 80000010 10 +09 0B3 80000000 80000001 11 = 80000010 11 +09 0B4 80000001 80000001 00 = 80000011 00 +09 0B5 80000001 80000001 01 = 80000011 01 +09 0B6 80000001 80000001 10 = 80000011 10 +09 0B7 80000001 80000001 11 = 80000011 11 +09 0B8 FFFFFFFE 80000001 00 = FFFFFF1E 00 +09 0B9 FFFFFFFE 80000001 01 = FFFFFF1E 01 +09 0BA FFFFFFFE 80000001 10 = FFFFFF1E 10 +09 0BB FFFFFFFE 80000001 11 = FFFFFF1E 11 +09 0BC FFFFFFFF 80000001 00 = FFFFFF1F 00 +09 0BD FFFFFFFF 80000001 01 = FFFFFF1F 01 +09 0BE FFFFFFFF 80000001 10 = FFFFFF1F 10 +09 0BF FFFFFFFF 80000001 11 = FFFFFF1F 11 +09 0C0 00000000 FFFFFFFE 00 = 000000E0 00 +09 0C1 00000000 FFFFFFFE 01 = 000000E0 01 +09 0C2 00000000 FFFFFFFE 10 = 000000E0 10 +09 0C3 00000000 FFFFFFFE 11 = 000000E0 11 +09 0C4 00000001 FFFFFFFE 00 = 000000E1 00 +09 0C5 00000001 FFFFFFFE 01 = 000000E1 01 +09 0C6 00000001 FFFFFFFE 10 = 000000E1 10 +09 0C7 00000001 FFFFFFFE 11 = 000000E1 11 +09 0C8 00000002 FFFFFFFE 00 = 000000E2 00 +09 0C9 00000002 FFFFFFFE 01 = 000000E2 01 +09 0CA 00000002 FFFFFFFE 10 = 000000E2 10 +09 0CB 00000002 FFFFFFFE 11 = 000000E2 11 +09 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFEF 00 +09 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFEF 01 +09 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFEF 10 +09 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFEF 11 +09 0D0 80000000 FFFFFFFE 00 = 800000E0 00 +09 0D1 80000000 FFFFFFFE 01 = 800000E0 01 +09 0D2 80000000 FFFFFFFE 10 = 800000E0 10 +09 0D3 80000000 FFFFFFFE 11 = 800000E0 11 +09 0D4 80000001 FFFFFFFE 00 = 800000E1 00 +09 0D5 80000001 FFFFFFFE 01 = 800000E1 01 +09 0D6 80000001 FFFFFFFE 10 = 800000E1 10 +09 0D7 80000001 FFFFFFFE 11 = 800000E1 11 +09 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEE 00 +09 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEE 01 +09 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEE 10 +09 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEE 11 +09 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFEF 00 +09 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFEF 01 +09 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFEF 10 +09 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFEF 11 +09 0E0 00000000 FFFFFFFF 00 = 000000F0 00 +09 0E1 00000000 FFFFFFFF 01 = 000000F0 01 +09 0E2 00000000 FFFFFFFF 10 = 000000F0 10 +09 0E3 00000000 FFFFFFFF 11 = 000000F0 11 +09 0E4 00000001 FFFFFFFF 00 = 000000F1 00 +09 0E5 00000001 FFFFFFFF 01 = 000000F1 01 +09 0E6 00000001 FFFFFFFF 10 = 000000F1 10 +09 0E7 00000001 FFFFFFFF 11 = 000000F1 11 +09 0E8 00000002 FFFFFFFF 00 = 000000F2 00 +09 0E9 00000002 FFFFFFFF 01 = 000000F2 01 +09 0EA 00000002 FFFFFFFF 10 = 000000F2 10 +09 0EB 00000002 FFFFFFFF 11 = 000000F2 11 +09 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +09 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +09 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +09 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +09 0F0 80000000 FFFFFFFF 00 = 800000F0 00 +09 0F1 80000000 FFFFFFFF 01 = 800000F0 01 +09 0F2 80000000 FFFFFFFF 10 = 800000F0 10 +09 0F3 80000000 FFFFFFFF 11 = 800000F0 11 +09 0F4 80000001 FFFFFFFF 00 = 800000F1 00 +09 0F5 80000001 FFFFFFFF 01 = 800000F1 01 +09 0F6 80000001 FFFFFFFF 10 = 800000F1 10 +09 0F7 80000001 FFFFFFFF 11 = 800000F1 11 +09 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +09 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +09 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +09 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +09 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +09 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +09 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +09 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setnib2 ---D---- ---S---- CZ = ---Q---- CZ +0A 000 00000000 00000000 00 = 00000000 00 +0A 001 00000000 00000000 01 = 00000000 01 +0A 002 00000000 00000000 10 = 00000000 10 +0A 003 00000000 00000000 11 = 00000000 11 +0A 004 00000001 00000000 00 = 00000001 00 +0A 005 00000001 00000000 01 = 00000001 01 +0A 006 00000001 00000000 10 = 00000001 10 +0A 007 00000001 00000000 11 = 00000001 11 +0A 008 00000002 00000000 00 = 00000002 00 +0A 009 00000002 00000000 01 = 00000002 01 +0A 00A 00000002 00000000 10 = 00000002 10 +0A 00B 00000002 00000000 11 = 00000002 11 +0A 00C 7FFFFFFF 00000000 00 = 7FFFF0FF 00 +0A 00D 7FFFFFFF 00000000 01 = 7FFFF0FF 01 +0A 00E 7FFFFFFF 00000000 10 = 7FFFF0FF 10 +0A 00F 7FFFFFFF 00000000 11 = 7FFFF0FF 11 +0A 010 80000000 00000000 00 = 80000000 00 +0A 011 80000000 00000000 01 = 80000000 01 +0A 012 80000000 00000000 10 = 80000000 10 +0A 013 80000000 00000000 11 = 80000000 11 +0A 014 80000001 00000000 00 = 80000001 00 +0A 015 80000001 00000000 01 = 80000001 01 +0A 016 80000001 00000000 10 = 80000001 10 +0A 017 80000001 00000000 11 = 80000001 11 +0A 018 FFFFFFFE 00000000 00 = FFFFF0FE 00 +0A 019 FFFFFFFE 00000000 01 = FFFFF0FE 01 +0A 01A FFFFFFFE 00000000 10 = FFFFF0FE 10 +0A 01B FFFFFFFE 00000000 11 = FFFFF0FE 11 +0A 01C FFFFFFFF 00000000 00 = FFFFF0FF 00 +0A 01D FFFFFFFF 00000000 01 = FFFFF0FF 01 +0A 01E FFFFFFFF 00000000 10 = FFFFF0FF 10 +0A 01F FFFFFFFF 00000000 11 = FFFFF0FF 11 +0A 020 00000000 00000001 00 = 00000100 00 +0A 021 00000000 00000001 01 = 00000100 01 +0A 022 00000000 00000001 10 = 00000100 10 +0A 023 00000000 00000001 11 = 00000100 11 +0A 024 00000001 00000001 00 = 00000101 00 +0A 025 00000001 00000001 01 = 00000101 01 +0A 026 00000001 00000001 10 = 00000101 10 +0A 027 00000001 00000001 11 = 00000101 11 +0A 028 00000002 00000001 00 = 00000102 00 +0A 029 00000002 00000001 01 = 00000102 01 +0A 02A 00000002 00000001 10 = 00000102 10 +0A 02B 00000002 00000001 11 = 00000102 11 +0A 02C 7FFFFFFF 00000001 00 = 7FFFF1FF 00 +0A 02D 7FFFFFFF 00000001 01 = 7FFFF1FF 01 +0A 02E 7FFFFFFF 00000001 10 = 7FFFF1FF 10 +0A 02F 7FFFFFFF 00000001 11 = 7FFFF1FF 11 +0A 030 80000000 00000001 00 = 80000100 00 +0A 031 80000000 00000001 01 = 80000100 01 +0A 032 80000000 00000001 10 = 80000100 10 +0A 033 80000000 00000001 11 = 80000100 11 +0A 034 80000001 00000001 00 = 80000101 00 +0A 035 80000001 00000001 01 = 80000101 01 +0A 036 80000001 00000001 10 = 80000101 10 +0A 037 80000001 00000001 11 = 80000101 11 +0A 038 FFFFFFFE 00000001 00 = FFFFF1FE 00 +0A 039 FFFFFFFE 00000001 01 = FFFFF1FE 01 +0A 03A FFFFFFFE 00000001 10 = FFFFF1FE 10 +0A 03B FFFFFFFE 00000001 11 = FFFFF1FE 11 +0A 03C FFFFFFFF 00000001 00 = FFFFF1FF 00 +0A 03D FFFFFFFF 00000001 01 = FFFFF1FF 01 +0A 03E FFFFFFFF 00000001 10 = FFFFF1FF 10 +0A 03F FFFFFFFF 00000001 11 = FFFFF1FF 11 +0A 040 00000000 00000002 00 = 00000200 00 +0A 041 00000000 00000002 01 = 00000200 01 +0A 042 00000000 00000002 10 = 00000200 10 +0A 043 00000000 00000002 11 = 00000200 11 +0A 044 00000001 00000002 00 = 00000201 00 +0A 045 00000001 00000002 01 = 00000201 01 +0A 046 00000001 00000002 10 = 00000201 10 +0A 047 00000001 00000002 11 = 00000201 11 +0A 048 00000002 00000002 00 = 00000202 00 +0A 049 00000002 00000002 01 = 00000202 01 +0A 04A 00000002 00000002 10 = 00000202 10 +0A 04B 00000002 00000002 11 = 00000202 11 +0A 04C 7FFFFFFF 00000002 00 = 7FFFF2FF 00 +0A 04D 7FFFFFFF 00000002 01 = 7FFFF2FF 01 +0A 04E 7FFFFFFF 00000002 10 = 7FFFF2FF 10 +0A 04F 7FFFFFFF 00000002 11 = 7FFFF2FF 11 +0A 050 80000000 00000002 00 = 80000200 00 +0A 051 80000000 00000002 01 = 80000200 01 +0A 052 80000000 00000002 10 = 80000200 10 +0A 053 80000000 00000002 11 = 80000200 11 +0A 054 80000001 00000002 00 = 80000201 00 +0A 055 80000001 00000002 01 = 80000201 01 +0A 056 80000001 00000002 10 = 80000201 10 +0A 057 80000001 00000002 11 = 80000201 11 +0A 058 FFFFFFFE 00000002 00 = FFFFF2FE 00 +0A 059 FFFFFFFE 00000002 01 = FFFFF2FE 01 +0A 05A FFFFFFFE 00000002 10 = FFFFF2FE 10 +0A 05B FFFFFFFE 00000002 11 = FFFFF2FE 11 +0A 05C FFFFFFFF 00000002 00 = FFFFF2FF 00 +0A 05D FFFFFFFF 00000002 01 = FFFFF2FF 01 +0A 05E FFFFFFFF 00000002 10 = FFFFF2FF 10 +0A 05F FFFFFFFF 00000002 11 = FFFFF2FF 11 +0A 060 00000000 7FFFFFFF 00 = 00000F00 00 +0A 061 00000000 7FFFFFFF 01 = 00000F00 01 +0A 062 00000000 7FFFFFFF 10 = 00000F00 10 +0A 063 00000000 7FFFFFFF 11 = 00000F00 11 +0A 064 00000001 7FFFFFFF 00 = 00000F01 00 +0A 065 00000001 7FFFFFFF 01 = 00000F01 01 +0A 066 00000001 7FFFFFFF 10 = 00000F01 10 +0A 067 00000001 7FFFFFFF 11 = 00000F01 11 +0A 068 00000002 7FFFFFFF 00 = 00000F02 00 +0A 069 00000002 7FFFFFFF 01 = 00000F02 01 +0A 06A 00000002 7FFFFFFF 10 = 00000F02 10 +0A 06B 00000002 7FFFFFFF 11 = 00000F02 11 +0A 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +0A 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +0A 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +0A 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +0A 070 80000000 7FFFFFFF 00 = 80000F00 00 +0A 071 80000000 7FFFFFFF 01 = 80000F00 01 +0A 072 80000000 7FFFFFFF 10 = 80000F00 10 +0A 073 80000000 7FFFFFFF 11 = 80000F00 11 +0A 074 80000001 7FFFFFFF 00 = 80000F01 00 +0A 075 80000001 7FFFFFFF 01 = 80000F01 01 +0A 076 80000001 7FFFFFFF 10 = 80000F01 10 +0A 077 80000001 7FFFFFFF 11 = 80000F01 11 +0A 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +0A 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +0A 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +0A 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +0A 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +0A 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +0A 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +0A 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +0A 080 00000000 80000000 00 = 00000000 00 +0A 081 00000000 80000000 01 = 00000000 01 +0A 082 00000000 80000000 10 = 00000000 10 +0A 083 00000000 80000000 11 = 00000000 11 +0A 084 00000001 80000000 00 = 00000001 00 +0A 085 00000001 80000000 01 = 00000001 01 +0A 086 00000001 80000000 10 = 00000001 10 +0A 087 00000001 80000000 11 = 00000001 11 +0A 088 00000002 80000000 00 = 00000002 00 +0A 089 00000002 80000000 01 = 00000002 01 +0A 08A 00000002 80000000 10 = 00000002 10 +0A 08B 00000002 80000000 11 = 00000002 11 +0A 08C 7FFFFFFF 80000000 00 = 7FFFF0FF 00 +0A 08D 7FFFFFFF 80000000 01 = 7FFFF0FF 01 +0A 08E 7FFFFFFF 80000000 10 = 7FFFF0FF 10 +0A 08F 7FFFFFFF 80000000 11 = 7FFFF0FF 11 +0A 090 80000000 80000000 00 = 80000000 00 +0A 091 80000000 80000000 01 = 80000000 01 +0A 092 80000000 80000000 10 = 80000000 10 +0A 093 80000000 80000000 11 = 80000000 11 +0A 094 80000001 80000000 00 = 80000001 00 +0A 095 80000001 80000000 01 = 80000001 01 +0A 096 80000001 80000000 10 = 80000001 10 +0A 097 80000001 80000000 11 = 80000001 11 +0A 098 FFFFFFFE 80000000 00 = FFFFF0FE 00 +0A 099 FFFFFFFE 80000000 01 = FFFFF0FE 01 +0A 09A FFFFFFFE 80000000 10 = FFFFF0FE 10 +0A 09B FFFFFFFE 80000000 11 = FFFFF0FE 11 +0A 09C FFFFFFFF 80000000 00 = FFFFF0FF 00 +0A 09D FFFFFFFF 80000000 01 = FFFFF0FF 01 +0A 09E FFFFFFFF 80000000 10 = FFFFF0FF 10 +0A 09F FFFFFFFF 80000000 11 = FFFFF0FF 11 +0A 0A0 00000000 80000001 00 = 00000100 00 +0A 0A1 00000000 80000001 01 = 00000100 01 +0A 0A2 00000000 80000001 10 = 00000100 10 +0A 0A3 00000000 80000001 11 = 00000100 11 +0A 0A4 00000001 80000001 00 = 00000101 00 +0A 0A5 00000001 80000001 01 = 00000101 01 +0A 0A6 00000001 80000001 10 = 00000101 10 +0A 0A7 00000001 80000001 11 = 00000101 11 +0A 0A8 00000002 80000001 00 = 00000102 00 +0A 0A9 00000002 80000001 01 = 00000102 01 +0A 0AA 00000002 80000001 10 = 00000102 10 +0A 0AB 00000002 80000001 11 = 00000102 11 +0A 0AC 7FFFFFFF 80000001 00 = 7FFFF1FF 00 +0A 0AD 7FFFFFFF 80000001 01 = 7FFFF1FF 01 +0A 0AE 7FFFFFFF 80000001 10 = 7FFFF1FF 10 +0A 0AF 7FFFFFFF 80000001 11 = 7FFFF1FF 11 +0A 0B0 80000000 80000001 00 = 80000100 00 +0A 0B1 80000000 80000001 01 = 80000100 01 +0A 0B2 80000000 80000001 10 = 80000100 10 +0A 0B3 80000000 80000001 11 = 80000100 11 +0A 0B4 80000001 80000001 00 = 80000101 00 +0A 0B5 80000001 80000001 01 = 80000101 01 +0A 0B6 80000001 80000001 10 = 80000101 10 +0A 0B7 80000001 80000001 11 = 80000101 11 +0A 0B8 FFFFFFFE 80000001 00 = FFFFF1FE 00 +0A 0B9 FFFFFFFE 80000001 01 = FFFFF1FE 01 +0A 0BA FFFFFFFE 80000001 10 = FFFFF1FE 10 +0A 0BB FFFFFFFE 80000001 11 = FFFFF1FE 11 +0A 0BC FFFFFFFF 80000001 00 = FFFFF1FF 00 +0A 0BD FFFFFFFF 80000001 01 = FFFFF1FF 01 +0A 0BE FFFFFFFF 80000001 10 = FFFFF1FF 10 +0A 0BF FFFFFFFF 80000001 11 = FFFFF1FF 11 +0A 0C0 00000000 FFFFFFFE 00 = 00000E00 00 +0A 0C1 00000000 FFFFFFFE 01 = 00000E00 01 +0A 0C2 00000000 FFFFFFFE 10 = 00000E00 10 +0A 0C3 00000000 FFFFFFFE 11 = 00000E00 11 +0A 0C4 00000001 FFFFFFFE 00 = 00000E01 00 +0A 0C5 00000001 FFFFFFFE 01 = 00000E01 01 +0A 0C6 00000001 FFFFFFFE 10 = 00000E01 10 +0A 0C7 00000001 FFFFFFFE 11 = 00000E01 11 +0A 0C8 00000002 FFFFFFFE 00 = 00000E02 00 +0A 0C9 00000002 FFFFFFFE 01 = 00000E02 01 +0A 0CA 00000002 FFFFFFFE 10 = 00000E02 10 +0A 0CB 00000002 FFFFFFFE 11 = 00000E02 11 +0A 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFEFF 00 +0A 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFEFF 01 +0A 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFEFF 10 +0A 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFEFF 11 +0A 0D0 80000000 FFFFFFFE 00 = 80000E00 00 +0A 0D1 80000000 FFFFFFFE 01 = 80000E00 01 +0A 0D2 80000000 FFFFFFFE 10 = 80000E00 10 +0A 0D3 80000000 FFFFFFFE 11 = 80000E00 11 +0A 0D4 80000001 FFFFFFFE 00 = 80000E01 00 +0A 0D5 80000001 FFFFFFFE 01 = 80000E01 01 +0A 0D6 80000001 FFFFFFFE 10 = 80000E01 10 +0A 0D7 80000001 FFFFFFFE 11 = 80000E01 11 +0A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFEFE 00 +0A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFEFE 01 +0A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFEFE 10 +0A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFEFE 11 +0A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFEFF 00 +0A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFEFF 01 +0A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFEFF 10 +0A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFEFF 11 +0A 0E0 00000000 FFFFFFFF 00 = 00000F00 00 +0A 0E1 00000000 FFFFFFFF 01 = 00000F00 01 +0A 0E2 00000000 FFFFFFFF 10 = 00000F00 10 +0A 0E3 00000000 FFFFFFFF 11 = 00000F00 11 +0A 0E4 00000001 FFFFFFFF 00 = 00000F01 00 +0A 0E5 00000001 FFFFFFFF 01 = 00000F01 01 +0A 0E6 00000001 FFFFFFFF 10 = 00000F01 10 +0A 0E7 00000001 FFFFFFFF 11 = 00000F01 11 +0A 0E8 00000002 FFFFFFFF 00 = 00000F02 00 +0A 0E9 00000002 FFFFFFFF 01 = 00000F02 01 +0A 0EA 00000002 FFFFFFFF 10 = 00000F02 10 +0A 0EB 00000002 FFFFFFFF 11 = 00000F02 11 +0A 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +0A 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +0A 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +0A 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +0A 0F0 80000000 FFFFFFFF 00 = 80000F00 00 +0A 0F1 80000000 FFFFFFFF 01 = 80000F00 01 +0A 0F2 80000000 FFFFFFFF 10 = 80000F00 10 +0A 0F3 80000000 FFFFFFFF 11 = 80000F00 11 +0A 0F4 80000001 FFFFFFFF 00 = 80000F01 00 +0A 0F5 80000001 FFFFFFFF 01 = 80000F01 01 +0A 0F6 80000001 FFFFFFFF 10 = 80000F01 10 +0A 0F7 80000001 FFFFFFFF 11 = 80000F01 11 +0A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +0A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +0A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +0A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +0A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +0A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +0A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +0A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setnib3 ---D---- ---S---- CZ = ---Q---- CZ +0B 000 00000000 00000000 00 = 00000000 00 +0B 001 00000000 00000000 01 = 00000000 01 +0B 002 00000000 00000000 10 = 00000000 10 +0B 003 00000000 00000000 11 = 00000000 11 +0B 004 00000001 00000000 00 = 00000001 00 +0B 005 00000001 00000000 01 = 00000001 01 +0B 006 00000001 00000000 10 = 00000001 10 +0B 007 00000001 00000000 11 = 00000001 11 +0B 008 00000002 00000000 00 = 00000002 00 +0B 009 00000002 00000000 01 = 00000002 01 +0B 00A 00000002 00000000 10 = 00000002 10 +0B 00B 00000002 00000000 11 = 00000002 11 +0B 00C 7FFFFFFF 00000000 00 = 7FFF0FFF 00 +0B 00D 7FFFFFFF 00000000 01 = 7FFF0FFF 01 +0B 00E 7FFFFFFF 00000000 10 = 7FFF0FFF 10 +0B 00F 7FFFFFFF 00000000 11 = 7FFF0FFF 11 +0B 010 80000000 00000000 00 = 80000000 00 +0B 011 80000000 00000000 01 = 80000000 01 +0B 012 80000000 00000000 10 = 80000000 10 +0B 013 80000000 00000000 11 = 80000000 11 +0B 014 80000001 00000000 00 = 80000001 00 +0B 015 80000001 00000000 01 = 80000001 01 +0B 016 80000001 00000000 10 = 80000001 10 +0B 017 80000001 00000000 11 = 80000001 11 +0B 018 FFFFFFFE 00000000 00 = FFFF0FFE 00 +0B 019 FFFFFFFE 00000000 01 = FFFF0FFE 01 +0B 01A FFFFFFFE 00000000 10 = FFFF0FFE 10 +0B 01B FFFFFFFE 00000000 11 = FFFF0FFE 11 +0B 01C FFFFFFFF 00000000 00 = FFFF0FFF 00 +0B 01D FFFFFFFF 00000000 01 = FFFF0FFF 01 +0B 01E FFFFFFFF 00000000 10 = FFFF0FFF 10 +0B 01F FFFFFFFF 00000000 11 = FFFF0FFF 11 +0B 020 00000000 00000001 00 = 00001000 00 +0B 021 00000000 00000001 01 = 00001000 01 +0B 022 00000000 00000001 10 = 00001000 10 +0B 023 00000000 00000001 11 = 00001000 11 +0B 024 00000001 00000001 00 = 00001001 00 +0B 025 00000001 00000001 01 = 00001001 01 +0B 026 00000001 00000001 10 = 00001001 10 +0B 027 00000001 00000001 11 = 00001001 11 +0B 028 00000002 00000001 00 = 00001002 00 +0B 029 00000002 00000001 01 = 00001002 01 +0B 02A 00000002 00000001 10 = 00001002 10 +0B 02B 00000002 00000001 11 = 00001002 11 +0B 02C 7FFFFFFF 00000001 00 = 7FFF1FFF 00 +0B 02D 7FFFFFFF 00000001 01 = 7FFF1FFF 01 +0B 02E 7FFFFFFF 00000001 10 = 7FFF1FFF 10 +0B 02F 7FFFFFFF 00000001 11 = 7FFF1FFF 11 +0B 030 80000000 00000001 00 = 80001000 00 +0B 031 80000000 00000001 01 = 80001000 01 +0B 032 80000000 00000001 10 = 80001000 10 +0B 033 80000000 00000001 11 = 80001000 11 +0B 034 80000001 00000001 00 = 80001001 00 +0B 035 80000001 00000001 01 = 80001001 01 +0B 036 80000001 00000001 10 = 80001001 10 +0B 037 80000001 00000001 11 = 80001001 11 +0B 038 FFFFFFFE 00000001 00 = FFFF1FFE 00 +0B 039 FFFFFFFE 00000001 01 = FFFF1FFE 01 +0B 03A FFFFFFFE 00000001 10 = FFFF1FFE 10 +0B 03B FFFFFFFE 00000001 11 = FFFF1FFE 11 +0B 03C FFFFFFFF 00000001 00 = FFFF1FFF 00 +0B 03D FFFFFFFF 00000001 01 = FFFF1FFF 01 +0B 03E FFFFFFFF 00000001 10 = FFFF1FFF 10 +0B 03F FFFFFFFF 00000001 11 = FFFF1FFF 11 +0B 040 00000000 00000002 00 = 00002000 00 +0B 041 00000000 00000002 01 = 00002000 01 +0B 042 00000000 00000002 10 = 00002000 10 +0B 043 00000000 00000002 11 = 00002000 11 +0B 044 00000001 00000002 00 = 00002001 00 +0B 045 00000001 00000002 01 = 00002001 01 +0B 046 00000001 00000002 10 = 00002001 10 +0B 047 00000001 00000002 11 = 00002001 11 +0B 048 00000002 00000002 00 = 00002002 00 +0B 049 00000002 00000002 01 = 00002002 01 +0B 04A 00000002 00000002 10 = 00002002 10 +0B 04B 00000002 00000002 11 = 00002002 11 +0B 04C 7FFFFFFF 00000002 00 = 7FFF2FFF 00 +0B 04D 7FFFFFFF 00000002 01 = 7FFF2FFF 01 +0B 04E 7FFFFFFF 00000002 10 = 7FFF2FFF 10 +0B 04F 7FFFFFFF 00000002 11 = 7FFF2FFF 11 +0B 050 80000000 00000002 00 = 80002000 00 +0B 051 80000000 00000002 01 = 80002000 01 +0B 052 80000000 00000002 10 = 80002000 10 +0B 053 80000000 00000002 11 = 80002000 11 +0B 054 80000001 00000002 00 = 80002001 00 +0B 055 80000001 00000002 01 = 80002001 01 +0B 056 80000001 00000002 10 = 80002001 10 +0B 057 80000001 00000002 11 = 80002001 11 +0B 058 FFFFFFFE 00000002 00 = FFFF2FFE 00 +0B 059 FFFFFFFE 00000002 01 = FFFF2FFE 01 +0B 05A FFFFFFFE 00000002 10 = FFFF2FFE 10 +0B 05B FFFFFFFE 00000002 11 = FFFF2FFE 11 +0B 05C FFFFFFFF 00000002 00 = FFFF2FFF 00 +0B 05D FFFFFFFF 00000002 01 = FFFF2FFF 01 +0B 05E FFFFFFFF 00000002 10 = FFFF2FFF 10 +0B 05F FFFFFFFF 00000002 11 = FFFF2FFF 11 +0B 060 00000000 7FFFFFFF 00 = 0000F000 00 +0B 061 00000000 7FFFFFFF 01 = 0000F000 01 +0B 062 00000000 7FFFFFFF 10 = 0000F000 10 +0B 063 00000000 7FFFFFFF 11 = 0000F000 11 +0B 064 00000001 7FFFFFFF 00 = 0000F001 00 +0B 065 00000001 7FFFFFFF 01 = 0000F001 01 +0B 066 00000001 7FFFFFFF 10 = 0000F001 10 +0B 067 00000001 7FFFFFFF 11 = 0000F001 11 +0B 068 00000002 7FFFFFFF 00 = 0000F002 00 +0B 069 00000002 7FFFFFFF 01 = 0000F002 01 +0B 06A 00000002 7FFFFFFF 10 = 0000F002 10 +0B 06B 00000002 7FFFFFFF 11 = 0000F002 11 +0B 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +0B 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +0B 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +0B 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +0B 070 80000000 7FFFFFFF 00 = 8000F000 00 +0B 071 80000000 7FFFFFFF 01 = 8000F000 01 +0B 072 80000000 7FFFFFFF 10 = 8000F000 10 +0B 073 80000000 7FFFFFFF 11 = 8000F000 11 +0B 074 80000001 7FFFFFFF 00 = 8000F001 00 +0B 075 80000001 7FFFFFFF 01 = 8000F001 01 +0B 076 80000001 7FFFFFFF 10 = 8000F001 10 +0B 077 80000001 7FFFFFFF 11 = 8000F001 11 +0B 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +0B 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +0B 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +0B 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +0B 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +0B 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +0B 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +0B 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +0B 080 00000000 80000000 00 = 00000000 00 +0B 081 00000000 80000000 01 = 00000000 01 +0B 082 00000000 80000000 10 = 00000000 10 +0B 083 00000000 80000000 11 = 00000000 11 +0B 084 00000001 80000000 00 = 00000001 00 +0B 085 00000001 80000000 01 = 00000001 01 +0B 086 00000001 80000000 10 = 00000001 10 +0B 087 00000001 80000000 11 = 00000001 11 +0B 088 00000002 80000000 00 = 00000002 00 +0B 089 00000002 80000000 01 = 00000002 01 +0B 08A 00000002 80000000 10 = 00000002 10 +0B 08B 00000002 80000000 11 = 00000002 11 +0B 08C 7FFFFFFF 80000000 00 = 7FFF0FFF 00 +0B 08D 7FFFFFFF 80000000 01 = 7FFF0FFF 01 +0B 08E 7FFFFFFF 80000000 10 = 7FFF0FFF 10 +0B 08F 7FFFFFFF 80000000 11 = 7FFF0FFF 11 +0B 090 80000000 80000000 00 = 80000000 00 +0B 091 80000000 80000000 01 = 80000000 01 +0B 092 80000000 80000000 10 = 80000000 10 +0B 093 80000000 80000000 11 = 80000000 11 +0B 094 80000001 80000000 00 = 80000001 00 +0B 095 80000001 80000000 01 = 80000001 01 +0B 096 80000001 80000000 10 = 80000001 10 +0B 097 80000001 80000000 11 = 80000001 11 +0B 098 FFFFFFFE 80000000 00 = FFFF0FFE 00 +0B 099 FFFFFFFE 80000000 01 = FFFF0FFE 01 +0B 09A FFFFFFFE 80000000 10 = FFFF0FFE 10 +0B 09B FFFFFFFE 80000000 11 = FFFF0FFE 11 +0B 09C FFFFFFFF 80000000 00 = FFFF0FFF 00 +0B 09D FFFFFFFF 80000000 01 = FFFF0FFF 01 +0B 09E FFFFFFFF 80000000 10 = FFFF0FFF 10 +0B 09F FFFFFFFF 80000000 11 = FFFF0FFF 11 +0B 0A0 00000000 80000001 00 = 00001000 00 +0B 0A1 00000000 80000001 01 = 00001000 01 +0B 0A2 00000000 80000001 10 = 00001000 10 +0B 0A3 00000000 80000001 11 = 00001000 11 +0B 0A4 00000001 80000001 00 = 00001001 00 +0B 0A5 00000001 80000001 01 = 00001001 01 +0B 0A6 00000001 80000001 10 = 00001001 10 +0B 0A7 00000001 80000001 11 = 00001001 11 +0B 0A8 00000002 80000001 00 = 00001002 00 +0B 0A9 00000002 80000001 01 = 00001002 01 +0B 0AA 00000002 80000001 10 = 00001002 10 +0B 0AB 00000002 80000001 11 = 00001002 11 +0B 0AC 7FFFFFFF 80000001 00 = 7FFF1FFF 00 +0B 0AD 7FFFFFFF 80000001 01 = 7FFF1FFF 01 +0B 0AE 7FFFFFFF 80000001 10 = 7FFF1FFF 10 +0B 0AF 7FFFFFFF 80000001 11 = 7FFF1FFF 11 +0B 0B0 80000000 80000001 00 = 80001000 00 +0B 0B1 80000000 80000001 01 = 80001000 01 +0B 0B2 80000000 80000001 10 = 80001000 10 +0B 0B3 80000000 80000001 11 = 80001000 11 +0B 0B4 80000001 80000001 00 = 80001001 00 +0B 0B5 80000001 80000001 01 = 80001001 01 +0B 0B6 80000001 80000001 10 = 80001001 10 +0B 0B7 80000001 80000001 11 = 80001001 11 +0B 0B8 FFFFFFFE 80000001 00 = FFFF1FFE 00 +0B 0B9 FFFFFFFE 80000001 01 = FFFF1FFE 01 +0B 0BA FFFFFFFE 80000001 10 = FFFF1FFE 10 +0B 0BB FFFFFFFE 80000001 11 = FFFF1FFE 11 +0B 0BC FFFFFFFF 80000001 00 = FFFF1FFF 00 +0B 0BD FFFFFFFF 80000001 01 = FFFF1FFF 01 +0B 0BE FFFFFFFF 80000001 10 = FFFF1FFF 10 +0B 0BF FFFFFFFF 80000001 11 = FFFF1FFF 11 +0B 0C0 00000000 FFFFFFFE 00 = 0000E000 00 +0B 0C1 00000000 FFFFFFFE 01 = 0000E000 01 +0B 0C2 00000000 FFFFFFFE 10 = 0000E000 10 +0B 0C3 00000000 FFFFFFFE 11 = 0000E000 11 +0B 0C4 00000001 FFFFFFFE 00 = 0000E001 00 +0B 0C5 00000001 FFFFFFFE 01 = 0000E001 01 +0B 0C6 00000001 FFFFFFFE 10 = 0000E001 10 +0B 0C7 00000001 FFFFFFFE 11 = 0000E001 11 +0B 0C8 00000002 FFFFFFFE 00 = 0000E002 00 +0B 0C9 00000002 FFFFFFFE 01 = 0000E002 01 +0B 0CA 00000002 FFFFFFFE 10 = 0000E002 10 +0B 0CB 00000002 FFFFFFFE 11 = 0000E002 11 +0B 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFEFFF 00 +0B 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFEFFF 01 +0B 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFEFFF 10 +0B 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFEFFF 11 +0B 0D0 80000000 FFFFFFFE 00 = 8000E000 00 +0B 0D1 80000000 FFFFFFFE 01 = 8000E000 01 +0B 0D2 80000000 FFFFFFFE 10 = 8000E000 10 +0B 0D3 80000000 FFFFFFFE 11 = 8000E000 11 +0B 0D4 80000001 FFFFFFFE 00 = 8000E001 00 +0B 0D5 80000001 FFFFFFFE 01 = 8000E001 01 +0B 0D6 80000001 FFFFFFFE 10 = 8000E001 10 +0B 0D7 80000001 FFFFFFFE 11 = 8000E001 11 +0B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFEFFE 00 +0B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFEFFE 01 +0B 0DA FFFFFFFE FFFFFFFE 10 = FFFFEFFE 10 +0B 0DB FFFFFFFE FFFFFFFE 11 = FFFFEFFE 11 +0B 0DC FFFFFFFF FFFFFFFE 00 = FFFFEFFF 00 +0B 0DD FFFFFFFF FFFFFFFE 01 = FFFFEFFF 01 +0B 0DE FFFFFFFF FFFFFFFE 10 = FFFFEFFF 10 +0B 0DF FFFFFFFF FFFFFFFE 11 = FFFFEFFF 11 +0B 0E0 00000000 FFFFFFFF 00 = 0000F000 00 +0B 0E1 00000000 FFFFFFFF 01 = 0000F000 01 +0B 0E2 00000000 FFFFFFFF 10 = 0000F000 10 +0B 0E3 00000000 FFFFFFFF 11 = 0000F000 11 +0B 0E4 00000001 FFFFFFFF 00 = 0000F001 00 +0B 0E5 00000001 FFFFFFFF 01 = 0000F001 01 +0B 0E6 00000001 FFFFFFFF 10 = 0000F001 10 +0B 0E7 00000001 FFFFFFFF 11 = 0000F001 11 +0B 0E8 00000002 FFFFFFFF 00 = 0000F002 00 +0B 0E9 00000002 FFFFFFFF 01 = 0000F002 01 +0B 0EA 00000002 FFFFFFFF 10 = 0000F002 10 +0B 0EB 00000002 FFFFFFFF 11 = 0000F002 11 +0B 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +0B 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +0B 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +0B 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +0B 0F0 80000000 FFFFFFFF 00 = 8000F000 00 +0B 0F1 80000000 FFFFFFFF 01 = 8000F000 01 +0B 0F2 80000000 FFFFFFFF 10 = 8000F000 10 +0B 0F3 80000000 FFFFFFFF 11 = 8000F000 11 +0B 0F4 80000001 FFFFFFFF 00 = 8000F001 00 +0B 0F5 80000001 FFFFFFFF 01 = 8000F001 01 +0B 0F6 80000001 FFFFFFFF 10 = 8000F001 10 +0B 0F7 80000001 FFFFFFFF 11 = 8000F001 11 +0B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +0B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +0B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +0B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +0B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +0B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +0B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +0B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setnib4 ---D---- ---S---- CZ = ---Q---- CZ +0C 000 00000000 00000000 00 = 00000000 00 +0C 001 00000000 00000000 01 = 00000000 01 +0C 002 00000000 00000000 10 = 00000000 10 +0C 003 00000000 00000000 11 = 00000000 11 +0C 004 00000001 00000000 00 = 00000001 00 +0C 005 00000001 00000000 01 = 00000001 01 +0C 006 00000001 00000000 10 = 00000001 10 +0C 007 00000001 00000000 11 = 00000001 11 +0C 008 00000002 00000000 00 = 00000002 00 +0C 009 00000002 00000000 01 = 00000002 01 +0C 00A 00000002 00000000 10 = 00000002 10 +0C 00B 00000002 00000000 11 = 00000002 11 +0C 00C 7FFFFFFF 00000000 00 = 7FF0FFFF 00 +0C 00D 7FFFFFFF 00000000 01 = 7FF0FFFF 01 +0C 00E 7FFFFFFF 00000000 10 = 7FF0FFFF 10 +0C 00F 7FFFFFFF 00000000 11 = 7FF0FFFF 11 +0C 010 80000000 00000000 00 = 80000000 00 +0C 011 80000000 00000000 01 = 80000000 01 +0C 012 80000000 00000000 10 = 80000000 10 +0C 013 80000000 00000000 11 = 80000000 11 +0C 014 80000001 00000000 00 = 80000001 00 +0C 015 80000001 00000000 01 = 80000001 01 +0C 016 80000001 00000000 10 = 80000001 10 +0C 017 80000001 00000000 11 = 80000001 11 +0C 018 FFFFFFFE 00000000 00 = FFF0FFFE 00 +0C 019 FFFFFFFE 00000000 01 = FFF0FFFE 01 +0C 01A FFFFFFFE 00000000 10 = FFF0FFFE 10 +0C 01B FFFFFFFE 00000000 11 = FFF0FFFE 11 +0C 01C FFFFFFFF 00000000 00 = FFF0FFFF 00 +0C 01D FFFFFFFF 00000000 01 = FFF0FFFF 01 +0C 01E FFFFFFFF 00000000 10 = FFF0FFFF 10 +0C 01F FFFFFFFF 00000000 11 = FFF0FFFF 11 +0C 020 00000000 00000001 00 = 00010000 00 +0C 021 00000000 00000001 01 = 00010000 01 +0C 022 00000000 00000001 10 = 00010000 10 +0C 023 00000000 00000001 11 = 00010000 11 +0C 024 00000001 00000001 00 = 00010001 00 +0C 025 00000001 00000001 01 = 00010001 01 +0C 026 00000001 00000001 10 = 00010001 10 +0C 027 00000001 00000001 11 = 00010001 11 +0C 028 00000002 00000001 00 = 00010002 00 +0C 029 00000002 00000001 01 = 00010002 01 +0C 02A 00000002 00000001 10 = 00010002 10 +0C 02B 00000002 00000001 11 = 00010002 11 +0C 02C 7FFFFFFF 00000001 00 = 7FF1FFFF 00 +0C 02D 7FFFFFFF 00000001 01 = 7FF1FFFF 01 +0C 02E 7FFFFFFF 00000001 10 = 7FF1FFFF 10 +0C 02F 7FFFFFFF 00000001 11 = 7FF1FFFF 11 +0C 030 80000000 00000001 00 = 80010000 00 +0C 031 80000000 00000001 01 = 80010000 01 +0C 032 80000000 00000001 10 = 80010000 10 +0C 033 80000000 00000001 11 = 80010000 11 +0C 034 80000001 00000001 00 = 80010001 00 +0C 035 80000001 00000001 01 = 80010001 01 +0C 036 80000001 00000001 10 = 80010001 10 +0C 037 80000001 00000001 11 = 80010001 11 +0C 038 FFFFFFFE 00000001 00 = FFF1FFFE 00 +0C 039 FFFFFFFE 00000001 01 = FFF1FFFE 01 +0C 03A FFFFFFFE 00000001 10 = FFF1FFFE 10 +0C 03B FFFFFFFE 00000001 11 = FFF1FFFE 11 +0C 03C FFFFFFFF 00000001 00 = FFF1FFFF 00 +0C 03D FFFFFFFF 00000001 01 = FFF1FFFF 01 +0C 03E FFFFFFFF 00000001 10 = FFF1FFFF 10 +0C 03F FFFFFFFF 00000001 11 = FFF1FFFF 11 +0C 040 00000000 00000002 00 = 00020000 00 +0C 041 00000000 00000002 01 = 00020000 01 +0C 042 00000000 00000002 10 = 00020000 10 +0C 043 00000000 00000002 11 = 00020000 11 +0C 044 00000001 00000002 00 = 00020001 00 +0C 045 00000001 00000002 01 = 00020001 01 +0C 046 00000001 00000002 10 = 00020001 10 +0C 047 00000001 00000002 11 = 00020001 11 +0C 048 00000002 00000002 00 = 00020002 00 +0C 049 00000002 00000002 01 = 00020002 01 +0C 04A 00000002 00000002 10 = 00020002 10 +0C 04B 00000002 00000002 11 = 00020002 11 +0C 04C 7FFFFFFF 00000002 00 = 7FF2FFFF 00 +0C 04D 7FFFFFFF 00000002 01 = 7FF2FFFF 01 +0C 04E 7FFFFFFF 00000002 10 = 7FF2FFFF 10 +0C 04F 7FFFFFFF 00000002 11 = 7FF2FFFF 11 +0C 050 80000000 00000002 00 = 80020000 00 +0C 051 80000000 00000002 01 = 80020000 01 +0C 052 80000000 00000002 10 = 80020000 10 +0C 053 80000000 00000002 11 = 80020000 11 +0C 054 80000001 00000002 00 = 80020001 00 +0C 055 80000001 00000002 01 = 80020001 01 +0C 056 80000001 00000002 10 = 80020001 10 +0C 057 80000001 00000002 11 = 80020001 11 +0C 058 FFFFFFFE 00000002 00 = FFF2FFFE 00 +0C 059 FFFFFFFE 00000002 01 = FFF2FFFE 01 +0C 05A FFFFFFFE 00000002 10 = FFF2FFFE 10 +0C 05B FFFFFFFE 00000002 11 = FFF2FFFE 11 +0C 05C FFFFFFFF 00000002 00 = FFF2FFFF 00 +0C 05D FFFFFFFF 00000002 01 = FFF2FFFF 01 +0C 05E FFFFFFFF 00000002 10 = FFF2FFFF 10 +0C 05F FFFFFFFF 00000002 11 = FFF2FFFF 11 +0C 060 00000000 7FFFFFFF 00 = 000F0000 00 +0C 061 00000000 7FFFFFFF 01 = 000F0000 01 +0C 062 00000000 7FFFFFFF 10 = 000F0000 10 +0C 063 00000000 7FFFFFFF 11 = 000F0000 11 +0C 064 00000001 7FFFFFFF 00 = 000F0001 00 +0C 065 00000001 7FFFFFFF 01 = 000F0001 01 +0C 066 00000001 7FFFFFFF 10 = 000F0001 10 +0C 067 00000001 7FFFFFFF 11 = 000F0001 11 +0C 068 00000002 7FFFFFFF 00 = 000F0002 00 +0C 069 00000002 7FFFFFFF 01 = 000F0002 01 +0C 06A 00000002 7FFFFFFF 10 = 000F0002 10 +0C 06B 00000002 7FFFFFFF 11 = 000F0002 11 +0C 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +0C 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +0C 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +0C 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +0C 070 80000000 7FFFFFFF 00 = 800F0000 00 +0C 071 80000000 7FFFFFFF 01 = 800F0000 01 +0C 072 80000000 7FFFFFFF 10 = 800F0000 10 +0C 073 80000000 7FFFFFFF 11 = 800F0000 11 +0C 074 80000001 7FFFFFFF 00 = 800F0001 00 +0C 075 80000001 7FFFFFFF 01 = 800F0001 01 +0C 076 80000001 7FFFFFFF 10 = 800F0001 10 +0C 077 80000001 7FFFFFFF 11 = 800F0001 11 +0C 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +0C 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +0C 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +0C 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +0C 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +0C 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +0C 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +0C 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +0C 080 00000000 80000000 00 = 00000000 00 +0C 081 00000000 80000000 01 = 00000000 01 +0C 082 00000000 80000000 10 = 00000000 10 +0C 083 00000000 80000000 11 = 00000000 11 +0C 084 00000001 80000000 00 = 00000001 00 +0C 085 00000001 80000000 01 = 00000001 01 +0C 086 00000001 80000000 10 = 00000001 10 +0C 087 00000001 80000000 11 = 00000001 11 +0C 088 00000002 80000000 00 = 00000002 00 +0C 089 00000002 80000000 01 = 00000002 01 +0C 08A 00000002 80000000 10 = 00000002 10 +0C 08B 00000002 80000000 11 = 00000002 11 +0C 08C 7FFFFFFF 80000000 00 = 7FF0FFFF 00 +0C 08D 7FFFFFFF 80000000 01 = 7FF0FFFF 01 +0C 08E 7FFFFFFF 80000000 10 = 7FF0FFFF 10 +0C 08F 7FFFFFFF 80000000 11 = 7FF0FFFF 11 +0C 090 80000000 80000000 00 = 80000000 00 +0C 091 80000000 80000000 01 = 80000000 01 +0C 092 80000000 80000000 10 = 80000000 10 +0C 093 80000000 80000000 11 = 80000000 11 +0C 094 80000001 80000000 00 = 80000001 00 +0C 095 80000001 80000000 01 = 80000001 01 +0C 096 80000001 80000000 10 = 80000001 10 +0C 097 80000001 80000000 11 = 80000001 11 +0C 098 FFFFFFFE 80000000 00 = FFF0FFFE 00 +0C 099 FFFFFFFE 80000000 01 = FFF0FFFE 01 +0C 09A FFFFFFFE 80000000 10 = FFF0FFFE 10 +0C 09B FFFFFFFE 80000000 11 = FFF0FFFE 11 +0C 09C FFFFFFFF 80000000 00 = FFF0FFFF 00 +0C 09D FFFFFFFF 80000000 01 = FFF0FFFF 01 +0C 09E FFFFFFFF 80000000 10 = FFF0FFFF 10 +0C 09F FFFFFFFF 80000000 11 = FFF0FFFF 11 +0C 0A0 00000000 80000001 00 = 00010000 00 +0C 0A1 00000000 80000001 01 = 00010000 01 +0C 0A2 00000000 80000001 10 = 00010000 10 +0C 0A3 00000000 80000001 11 = 00010000 11 +0C 0A4 00000001 80000001 00 = 00010001 00 +0C 0A5 00000001 80000001 01 = 00010001 01 +0C 0A6 00000001 80000001 10 = 00010001 10 +0C 0A7 00000001 80000001 11 = 00010001 11 +0C 0A8 00000002 80000001 00 = 00010002 00 +0C 0A9 00000002 80000001 01 = 00010002 01 +0C 0AA 00000002 80000001 10 = 00010002 10 +0C 0AB 00000002 80000001 11 = 00010002 11 +0C 0AC 7FFFFFFF 80000001 00 = 7FF1FFFF 00 +0C 0AD 7FFFFFFF 80000001 01 = 7FF1FFFF 01 +0C 0AE 7FFFFFFF 80000001 10 = 7FF1FFFF 10 +0C 0AF 7FFFFFFF 80000001 11 = 7FF1FFFF 11 +0C 0B0 80000000 80000001 00 = 80010000 00 +0C 0B1 80000000 80000001 01 = 80010000 01 +0C 0B2 80000000 80000001 10 = 80010000 10 +0C 0B3 80000000 80000001 11 = 80010000 11 +0C 0B4 80000001 80000001 00 = 80010001 00 +0C 0B5 80000001 80000001 01 = 80010001 01 +0C 0B6 80000001 80000001 10 = 80010001 10 +0C 0B7 80000001 80000001 11 = 80010001 11 +0C 0B8 FFFFFFFE 80000001 00 = FFF1FFFE 00 +0C 0B9 FFFFFFFE 80000001 01 = FFF1FFFE 01 +0C 0BA FFFFFFFE 80000001 10 = FFF1FFFE 10 +0C 0BB FFFFFFFE 80000001 11 = FFF1FFFE 11 +0C 0BC FFFFFFFF 80000001 00 = FFF1FFFF 00 +0C 0BD FFFFFFFF 80000001 01 = FFF1FFFF 01 +0C 0BE FFFFFFFF 80000001 10 = FFF1FFFF 10 +0C 0BF FFFFFFFF 80000001 11 = FFF1FFFF 11 +0C 0C0 00000000 FFFFFFFE 00 = 000E0000 00 +0C 0C1 00000000 FFFFFFFE 01 = 000E0000 01 +0C 0C2 00000000 FFFFFFFE 10 = 000E0000 10 +0C 0C3 00000000 FFFFFFFE 11 = 000E0000 11 +0C 0C4 00000001 FFFFFFFE 00 = 000E0001 00 +0C 0C5 00000001 FFFFFFFE 01 = 000E0001 01 +0C 0C6 00000001 FFFFFFFE 10 = 000E0001 10 +0C 0C7 00000001 FFFFFFFE 11 = 000E0001 11 +0C 0C8 00000002 FFFFFFFE 00 = 000E0002 00 +0C 0C9 00000002 FFFFFFFE 01 = 000E0002 01 +0C 0CA 00000002 FFFFFFFE 10 = 000E0002 10 +0C 0CB 00000002 FFFFFFFE 11 = 000E0002 11 +0C 0CC 7FFFFFFF FFFFFFFE 00 = 7FFEFFFF 00 +0C 0CD 7FFFFFFF FFFFFFFE 01 = 7FFEFFFF 01 +0C 0CE 7FFFFFFF FFFFFFFE 10 = 7FFEFFFF 10 +0C 0CF 7FFFFFFF FFFFFFFE 11 = 7FFEFFFF 11 +0C 0D0 80000000 FFFFFFFE 00 = 800E0000 00 +0C 0D1 80000000 FFFFFFFE 01 = 800E0000 01 +0C 0D2 80000000 FFFFFFFE 10 = 800E0000 10 +0C 0D3 80000000 FFFFFFFE 11 = 800E0000 11 +0C 0D4 80000001 FFFFFFFE 00 = 800E0001 00 +0C 0D5 80000001 FFFFFFFE 01 = 800E0001 01 +0C 0D6 80000001 FFFFFFFE 10 = 800E0001 10 +0C 0D7 80000001 FFFFFFFE 11 = 800E0001 11 +0C 0D8 FFFFFFFE FFFFFFFE 00 = FFFEFFFE 00 +0C 0D9 FFFFFFFE FFFFFFFE 01 = FFFEFFFE 01 +0C 0DA FFFFFFFE FFFFFFFE 10 = FFFEFFFE 10 +0C 0DB FFFFFFFE FFFFFFFE 11 = FFFEFFFE 11 +0C 0DC FFFFFFFF FFFFFFFE 00 = FFFEFFFF 00 +0C 0DD FFFFFFFF FFFFFFFE 01 = FFFEFFFF 01 +0C 0DE FFFFFFFF FFFFFFFE 10 = FFFEFFFF 10 +0C 0DF FFFFFFFF FFFFFFFE 11 = FFFEFFFF 11 +0C 0E0 00000000 FFFFFFFF 00 = 000F0000 00 +0C 0E1 00000000 FFFFFFFF 01 = 000F0000 01 +0C 0E2 00000000 FFFFFFFF 10 = 000F0000 10 +0C 0E3 00000000 FFFFFFFF 11 = 000F0000 11 +0C 0E4 00000001 FFFFFFFF 00 = 000F0001 00 +0C 0E5 00000001 FFFFFFFF 01 = 000F0001 01 +0C 0E6 00000001 FFFFFFFF 10 = 000F0001 10 +0C 0E7 00000001 FFFFFFFF 11 = 000F0001 11 +0C 0E8 00000002 FFFFFFFF 00 = 000F0002 00 +0C 0E9 00000002 FFFFFFFF 01 = 000F0002 01 +0C 0EA 00000002 FFFFFFFF 10 = 000F0002 10 +0C 0EB 00000002 FFFFFFFF 11 = 000F0002 11 +0C 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +0C 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +0C 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +0C 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +0C 0F0 80000000 FFFFFFFF 00 = 800F0000 00 +0C 0F1 80000000 FFFFFFFF 01 = 800F0000 01 +0C 0F2 80000000 FFFFFFFF 10 = 800F0000 10 +0C 0F3 80000000 FFFFFFFF 11 = 800F0000 11 +0C 0F4 80000001 FFFFFFFF 00 = 800F0001 00 +0C 0F5 80000001 FFFFFFFF 01 = 800F0001 01 +0C 0F6 80000001 FFFFFFFF 10 = 800F0001 10 +0C 0F7 80000001 FFFFFFFF 11 = 800F0001 11 +0C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +0C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +0C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +0C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +0C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +0C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +0C 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +0C 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setnib5 ---D---- ---S---- CZ = ---Q---- CZ +0D 000 00000000 00000000 00 = 00000000 00 +0D 001 00000000 00000000 01 = 00000000 01 +0D 002 00000000 00000000 10 = 00000000 10 +0D 003 00000000 00000000 11 = 00000000 11 +0D 004 00000001 00000000 00 = 00000001 00 +0D 005 00000001 00000000 01 = 00000001 01 +0D 006 00000001 00000000 10 = 00000001 10 +0D 007 00000001 00000000 11 = 00000001 11 +0D 008 00000002 00000000 00 = 00000002 00 +0D 009 00000002 00000000 01 = 00000002 01 +0D 00A 00000002 00000000 10 = 00000002 10 +0D 00B 00000002 00000000 11 = 00000002 11 +0D 00C 7FFFFFFF 00000000 00 = 7F0FFFFF 00 +0D 00D 7FFFFFFF 00000000 01 = 7F0FFFFF 01 +0D 00E 7FFFFFFF 00000000 10 = 7F0FFFFF 10 +0D 00F 7FFFFFFF 00000000 11 = 7F0FFFFF 11 +0D 010 80000000 00000000 00 = 80000000 00 +0D 011 80000000 00000000 01 = 80000000 01 +0D 012 80000000 00000000 10 = 80000000 10 +0D 013 80000000 00000000 11 = 80000000 11 +0D 014 80000001 00000000 00 = 80000001 00 +0D 015 80000001 00000000 01 = 80000001 01 +0D 016 80000001 00000000 10 = 80000001 10 +0D 017 80000001 00000000 11 = 80000001 11 +0D 018 FFFFFFFE 00000000 00 = FF0FFFFE 00 +0D 019 FFFFFFFE 00000000 01 = FF0FFFFE 01 +0D 01A FFFFFFFE 00000000 10 = FF0FFFFE 10 +0D 01B FFFFFFFE 00000000 11 = FF0FFFFE 11 +0D 01C FFFFFFFF 00000000 00 = FF0FFFFF 00 +0D 01D FFFFFFFF 00000000 01 = FF0FFFFF 01 +0D 01E FFFFFFFF 00000000 10 = FF0FFFFF 10 +0D 01F FFFFFFFF 00000000 11 = FF0FFFFF 11 +0D 020 00000000 00000001 00 = 00100000 00 +0D 021 00000000 00000001 01 = 00100000 01 +0D 022 00000000 00000001 10 = 00100000 10 +0D 023 00000000 00000001 11 = 00100000 11 +0D 024 00000001 00000001 00 = 00100001 00 +0D 025 00000001 00000001 01 = 00100001 01 +0D 026 00000001 00000001 10 = 00100001 10 +0D 027 00000001 00000001 11 = 00100001 11 +0D 028 00000002 00000001 00 = 00100002 00 +0D 029 00000002 00000001 01 = 00100002 01 +0D 02A 00000002 00000001 10 = 00100002 10 +0D 02B 00000002 00000001 11 = 00100002 11 +0D 02C 7FFFFFFF 00000001 00 = 7F1FFFFF 00 +0D 02D 7FFFFFFF 00000001 01 = 7F1FFFFF 01 +0D 02E 7FFFFFFF 00000001 10 = 7F1FFFFF 10 +0D 02F 7FFFFFFF 00000001 11 = 7F1FFFFF 11 +0D 030 80000000 00000001 00 = 80100000 00 +0D 031 80000000 00000001 01 = 80100000 01 +0D 032 80000000 00000001 10 = 80100000 10 +0D 033 80000000 00000001 11 = 80100000 11 +0D 034 80000001 00000001 00 = 80100001 00 +0D 035 80000001 00000001 01 = 80100001 01 +0D 036 80000001 00000001 10 = 80100001 10 +0D 037 80000001 00000001 11 = 80100001 11 +0D 038 FFFFFFFE 00000001 00 = FF1FFFFE 00 +0D 039 FFFFFFFE 00000001 01 = FF1FFFFE 01 +0D 03A FFFFFFFE 00000001 10 = FF1FFFFE 10 +0D 03B FFFFFFFE 00000001 11 = FF1FFFFE 11 +0D 03C FFFFFFFF 00000001 00 = FF1FFFFF 00 +0D 03D FFFFFFFF 00000001 01 = FF1FFFFF 01 +0D 03E FFFFFFFF 00000001 10 = FF1FFFFF 10 +0D 03F FFFFFFFF 00000001 11 = FF1FFFFF 11 +0D 040 00000000 00000002 00 = 00200000 00 +0D 041 00000000 00000002 01 = 00200000 01 +0D 042 00000000 00000002 10 = 00200000 10 +0D 043 00000000 00000002 11 = 00200000 11 +0D 044 00000001 00000002 00 = 00200001 00 +0D 045 00000001 00000002 01 = 00200001 01 +0D 046 00000001 00000002 10 = 00200001 10 +0D 047 00000001 00000002 11 = 00200001 11 +0D 048 00000002 00000002 00 = 00200002 00 +0D 049 00000002 00000002 01 = 00200002 01 +0D 04A 00000002 00000002 10 = 00200002 10 +0D 04B 00000002 00000002 11 = 00200002 11 +0D 04C 7FFFFFFF 00000002 00 = 7F2FFFFF 00 +0D 04D 7FFFFFFF 00000002 01 = 7F2FFFFF 01 +0D 04E 7FFFFFFF 00000002 10 = 7F2FFFFF 10 +0D 04F 7FFFFFFF 00000002 11 = 7F2FFFFF 11 +0D 050 80000000 00000002 00 = 80200000 00 +0D 051 80000000 00000002 01 = 80200000 01 +0D 052 80000000 00000002 10 = 80200000 10 +0D 053 80000000 00000002 11 = 80200000 11 +0D 054 80000001 00000002 00 = 80200001 00 +0D 055 80000001 00000002 01 = 80200001 01 +0D 056 80000001 00000002 10 = 80200001 10 +0D 057 80000001 00000002 11 = 80200001 11 +0D 058 FFFFFFFE 00000002 00 = FF2FFFFE 00 +0D 059 FFFFFFFE 00000002 01 = FF2FFFFE 01 +0D 05A FFFFFFFE 00000002 10 = FF2FFFFE 10 +0D 05B FFFFFFFE 00000002 11 = FF2FFFFE 11 +0D 05C FFFFFFFF 00000002 00 = FF2FFFFF 00 +0D 05D FFFFFFFF 00000002 01 = FF2FFFFF 01 +0D 05E FFFFFFFF 00000002 10 = FF2FFFFF 10 +0D 05F FFFFFFFF 00000002 11 = FF2FFFFF 11 +0D 060 00000000 7FFFFFFF 00 = 00F00000 00 +0D 061 00000000 7FFFFFFF 01 = 00F00000 01 +0D 062 00000000 7FFFFFFF 10 = 00F00000 10 +0D 063 00000000 7FFFFFFF 11 = 00F00000 11 +0D 064 00000001 7FFFFFFF 00 = 00F00001 00 +0D 065 00000001 7FFFFFFF 01 = 00F00001 01 +0D 066 00000001 7FFFFFFF 10 = 00F00001 10 +0D 067 00000001 7FFFFFFF 11 = 00F00001 11 +0D 068 00000002 7FFFFFFF 00 = 00F00002 00 +0D 069 00000002 7FFFFFFF 01 = 00F00002 01 +0D 06A 00000002 7FFFFFFF 10 = 00F00002 10 +0D 06B 00000002 7FFFFFFF 11 = 00F00002 11 +0D 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +0D 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +0D 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +0D 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +0D 070 80000000 7FFFFFFF 00 = 80F00000 00 +0D 071 80000000 7FFFFFFF 01 = 80F00000 01 +0D 072 80000000 7FFFFFFF 10 = 80F00000 10 +0D 073 80000000 7FFFFFFF 11 = 80F00000 11 +0D 074 80000001 7FFFFFFF 00 = 80F00001 00 +0D 075 80000001 7FFFFFFF 01 = 80F00001 01 +0D 076 80000001 7FFFFFFF 10 = 80F00001 10 +0D 077 80000001 7FFFFFFF 11 = 80F00001 11 +0D 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +0D 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +0D 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +0D 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +0D 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +0D 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +0D 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +0D 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +0D 080 00000000 80000000 00 = 00000000 00 +0D 081 00000000 80000000 01 = 00000000 01 +0D 082 00000000 80000000 10 = 00000000 10 +0D 083 00000000 80000000 11 = 00000000 11 +0D 084 00000001 80000000 00 = 00000001 00 +0D 085 00000001 80000000 01 = 00000001 01 +0D 086 00000001 80000000 10 = 00000001 10 +0D 087 00000001 80000000 11 = 00000001 11 +0D 088 00000002 80000000 00 = 00000002 00 +0D 089 00000002 80000000 01 = 00000002 01 +0D 08A 00000002 80000000 10 = 00000002 10 +0D 08B 00000002 80000000 11 = 00000002 11 +0D 08C 7FFFFFFF 80000000 00 = 7F0FFFFF 00 +0D 08D 7FFFFFFF 80000000 01 = 7F0FFFFF 01 +0D 08E 7FFFFFFF 80000000 10 = 7F0FFFFF 10 +0D 08F 7FFFFFFF 80000000 11 = 7F0FFFFF 11 +0D 090 80000000 80000000 00 = 80000000 00 +0D 091 80000000 80000000 01 = 80000000 01 +0D 092 80000000 80000000 10 = 80000000 10 +0D 093 80000000 80000000 11 = 80000000 11 +0D 094 80000001 80000000 00 = 80000001 00 +0D 095 80000001 80000000 01 = 80000001 01 +0D 096 80000001 80000000 10 = 80000001 10 +0D 097 80000001 80000000 11 = 80000001 11 +0D 098 FFFFFFFE 80000000 00 = FF0FFFFE 00 +0D 099 FFFFFFFE 80000000 01 = FF0FFFFE 01 +0D 09A FFFFFFFE 80000000 10 = FF0FFFFE 10 +0D 09B FFFFFFFE 80000000 11 = FF0FFFFE 11 +0D 09C FFFFFFFF 80000000 00 = FF0FFFFF 00 +0D 09D FFFFFFFF 80000000 01 = FF0FFFFF 01 +0D 09E FFFFFFFF 80000000 10 = FF0FFFFF 10 +0D 09F FFFFFFFF 80000000 11 = FF0FFFFF 11 +0D 0A0 00000000 80000001 00 = 00100000 00 +0D 0A1 00000000 80000001 01 = 00100000 01 +0D 0A2 00000000 80000001 10 = 00100000 10 +0D 0A3 00000000 80000001 11 = 00100000 11 +0D 0A4 00000001 80000001 00 = 00100001 00 +0D 0A5 00000001 80000001 01 = 00100001 01 +0D 0A6 00000001 80000001 10 = 00100001 10 +0D 0A7 00000001 80000001 11 = 00100001 11 +0D 0A8 00000002 80000001 00 = 00100002 00 +0D 0A9 00000002 80000001 01 = 00100002 01 +0D 0AA 00000002 80000001 10 = 00100002 10 +0D 0AB 00000002 80000001 11 = 00100002 11 +0D 0AC 7FFFFFFF 80000001 00 = 7F1FFFFF 00 +0D 0AD 7FFFFFFF 80000001 01 = 7F1FFFFF 01 +0D 0AE 7FFFFFFF 80000001 10 = 7F1FFFFF 10 +0D 0AF 7FFFFFFF 80000001 11 = 7F1FFFFF 11 +0D 0B0 80000000 80000001 00 = 80100000 00 +0D 0B1 80000000 80000001 01 = 80100000 01 +0D 0B2 80000000 80000001 10 = 80100000 10 +0D 0B3 80000000 80000001 11 = 80100000 11 +0D 0B4 80000001 80000001 00 = 80100001 00 +0D 0B5 80000001 80000001 01 = 80100001 01 +0D 0B6 80000001 80000001 10 = 80100001 10 +0D 0B7 80000001 80000001 11 = 80100001 11 +0D 0B8 FFFFFFFE 80000001 00 = FF1FFFFE 00 +0D 0B9 FFFFFFFE 80000001 01 = FF1FFFFE 01 +0D 0BA FFFFFFFE 80000001 10 = FF1FFFFE 10 +0D 0BB FFFFFFFE 80000001 11 = FF1FFFFE 11 +0D 0BC FFFFFFFF 80000001 00 = FF1FFFFF 00 +0D 0BD FFFFFFFF 80000001 01 = FF1FFFFF 01 +0D 0BE FFFFFFFF 80000001 10 = FF1FFFFF 10 +0D 0BF FFFFFFFF 80000001 11 = FF1FFFFF 11 +0D 0C0 00000000 FFFFFFFE 00 = 00E00000 00 +0D 0C1 00000000 FFFFFFFE 01 = 00E00000 01 +0D 0C2 00000000 FFFFFFFE 10 = 00E00000 10 +0D 0C3 00000000 FFFFFFFE 11 = 00E00000 11 +0D 0C4 00000001 FFFFFFFE 00 = 00E00001 00 +0D 0C5 00000001 FFFFFFFE 01 = 00E00001 01 +0D 0C6 00000001 FFFFFFFE 10 = 00E00001 10 +0D 0C7 00000001 FFFFFFFE 11 = 00E00001 11 +0D 0C8 00000002 FFFFFFFE 00 = 00E00002 00 +0D 0C9 00000002 FFFFFFFE 01 = 00E00002 01 +0D 0CA 00000002 FFFFFFFE 10 = 00E00002 10 +0D 0CB 00000002 FFFFFFFE 11 = 00E00002 11 +0D 0CC 7FFFFFFF FFFFFFFE 00 = 7FEFFFFF 00 +0D 0CD 7FFFFFFF FFFFFFFE 01 = 7FEFFFFF 01 +0D 0CE 7FFFFFFF FFFFFFFE 10 = 7FEFFFFF 10 +0D 0CF 7FFFFFFF FFFFFFFE 11 = 7FEFFFFF 11 +0D 0D0 80000000 FFFFFFFE 00 = 80E00000 00 +0D 0D1 80000000 FFFFFFFE 01 = 80E00000 01 +0D 0D2 80000000 FFFFFFFE 10 = 80E00000 10 +0D 0D3 80000000 FFFFFFFE 11 = 80E00000 11 +0D 0D4 80000001 FFFFFFFE 00 = 80E00001 00 +0D 0D5 80000001 FFFFFFFE 01 = 80E00001 01 +0D 0D6 80000001 FFFFFFFE 10 = 80E00001 10 +0D 0D7 80000001 FFFFFFFE 11 = 80E00001 11 +0D 0D8 FFFFFFFE FFFFFFFE 00 = FFEFFFFE 00 +0D 0D9 FFFFFFFE FFFFFFFE 01 = FFEFFFFE 01 +0D 0DA FFFFFFFE FFFFFFFE 10 = FFEFFFFE 10 +0D 0DB FFFFFFFE FFFFFFFE 11 = FFEFFFFE 11 +0D 0DC FFFFFFFF FFFFFFFE 00 = FFEFFFFF 00 +0D 0DD FFFFFFFF FFFFFFFE 01 = FFEFFFFF 01 +0D 0DE FFFFFFFF FFFFFFFE 10 = FFEFFFFF 10 +0D 0DF FFFFFFFF FFFFFFFE 11 = FFEFFFFF 11 +0D 0E0 00000000 FFFFFFFF 00 = 00F00000 00 +0D 0E1 00000000 FFFFFFFF 01 = 00F00000 01 +0D 0E2 00000000 FFFFFFFF 10 = 00F00000 10 +0D 0E3 00000000 FFFFFFFF 11 = 00F00000 11 +0D 0E4 00000001 FFFFFFFF 00 = 00F00001 00 +0D 0E5 00000001 FFFFFFFF 01 = 00F00001 01 +0D 0E6 00000001 FFFFFFFF 10 = 00F00001 10 +0D 0E7 00000001 FFFFFFFF 11 = 00F00001 11 +0D 0E8 00000002 FFFFFFFF 00 = 00F00002 00 +0D 0E9 00000002 FFFFFFFF 01 = 00F00002 01 +0D 0EA 00000002 FFFFFFFF 10 = 00F00002 10 +0D 0EB 00000002 FFFFFFFF 11 = 00F00002 11 +0D 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +0D 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +0D 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +0D 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +0D 0F0 80000000 FFFFFFFF 00 = 80F00000 00 +0D 0F1 80000000 FFFFFFFF 01 = 80F00000 01 +0D 0F2 80000000 FFFFFFFF 10 = 80F00000 10 +0D 0F3 80000000 FFFFFFFF 11 = 80F00000 11 +0D 0F4 80000001 FFFFFFFF 00 = 80F00001 00 +0D 0F5 80000001 FFFFFFFF 01 = 80F00001 01 +0D 0F6 80000001 FFFFFFFF 10 = 80F00001 10 +0D 0F7 80000001 FFFFFFFF 11 = 80F00001 11 +0D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +0D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +0D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +0D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +0D 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +0D 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +0D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +0D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setnib6 ---D---- ---S---- CZ = ---Q---- CZ +0E 000 00000000 00000000 00 = 00000000 00 +0E 001 00000000 00000000 01 = 00000000 01 +0E 002 00000000 00000000 10 = 00000000 10 +0E 003 00000000 00000000 11 = 00000000 11 +0E 004 00000001 00000000 00 = 00000001 00 +0E 005 00000001 00000000 01 = 00000001 01 +0E 006 00000001 00000000 10 = 00000001 10 +0E 007 00000001 00000000 11 = 00000001 11 +0E 008 00000002 00000000 00 = 00000002 00 +0E 009 00000002 00000000 01 = 00000002 01 +0E 00A 00000002 00000000 10 = 00000002 10 +0E 00B 00000002 00000000 11 = 00000002 11 +0E 00C 7FFFFFFF 00000000 00 = 70FFFFFF 00 +0E 00D 7FFFFFFF 00000000 01 = 70FFFFFF 01 +0E 00E 7FFFFFFF 00000000 10 = 70FFFFFF 10 +0E 00F 7FFFFFFF 00000000 11 = 70FFFFFF 11 +0E 010 80000000 00000000 00 = 80000000 00 +0E 011 80000000 00000000 01 = 80000000 01 +0E 012 80000000 00000000 10 = 80000000 10 +0E 013 80000000 00000000 11 = 80000000 11 +0E 014 80000001 00000000 00 = 80000001 00 +0E 015 80000001 00000000 01 = 80000001 01 +0E 016 80000001 00000000 10 = 80000001 10 +0E 017 80000001 00000000 11 = 80000001 11 +0E 018 FFFFFFFE 00000000 00 = F0FFFFFE 00 +0E 019 FFFFFFFE 00000000 01 = F0FFFFFE 01 +0E 01A FFFFFFFE 00000000 10 = F0FFFFFE 10 +0E 01B FFFFFFFE 00000000 11 = F0FFFFFE 11 +0E 01C FFFFFFFF 00000000 00 = F0FFFFFF 00 +0E 01D FFFFFFFF 00000000 01 = F0FFFFFF 01 +0E 01E FFFFFFFF 00000000 10 = F0FFFFFF 10 +0E 01F FFFFFFFF 00000000 11 = F0FFFFFF 11 +0E 020 00000000 00000001 00 = 01000000 00 +0E 021 00000000 00000001 01 = 01000000 01 +0E 022 00000000 00000001 10 = 01000000 10 +0E 023 00000000 00000001 11 = 01000000 11 +0E 024 00000001 00000001 00 = 01000001 00 +0E 025 00000001 00000001 01 = 01000001 01 +0E 026 00000001 00000001 10 = 01000001 10 +0E 027 00000001 00000001 11 = 01000001 11 +0E 028 00000002 00000001 00 = 01000002 00 +0E 029 00000002 00000001 01 = 01000002 01 +0E 02A 00000002 00000001 10 = 01000002 10 +0E 02B 00000002 00000001 11 = 01000002 11 +0E 02C 7FFFFFFF 00000001 00 = 71FFFFFF 00 +0E 02D 7FFFFFFF 00000001 01 = 71FFFFFF 01 +0E 02E 7FFFFFFF 00000001 10 = 71FFFFFF 10 +0E 02F 7FFFFFFF 00000001 11 = 71FFFFFF 11 +0E 030 80000000 00000001 00 = 81000000 00 +0E 031 80000000 00000001 01 = 81000000 01 +0E 032 80000000 00000001 10 = 81000000 10 +0E 033 80000000 00000001 11 = 81000000 11 +0E 034 80000001 00000001 00 = 81000001 00 +0E 035 80000001 00000001 01 = 81000001 01 +0E 036 80000001 00000001 10 = 81000001 10 +0E 037 80000001 00000001 11 = 81000001 11 +0E 038 FFFFFFFE 00000001 00 = F1FFFFFE 00 +0E 039 FFFFFFFE 00000001 01 = F1FFFFFE 01 +0E 03A FFFFFFFE 00000001 10 = F1FFFFFE 10 +0E 03B FFFFFFFE 00000001 11 = F1FFFFFE 11 +0E 03C FFFFFFFF 00000001 00 = F1FFFFFF 00 +0E 03D FFFFFFFF 00000001 01 = F1FFFFFF 01 +0E 03E FFFFFFFF 00000001 10 = F1FFFFFF 10 +0E 03F FFFFFFFF 00000001 11 = F1FFFFFF 11 +0E 040 00000000 00000002 00 = 02000000 00 +0E 041 00000000 00000002 01 = 02000000 01 +0E 042 00000000 00000002 10 = 02000000 10 +0E 043 00000000 00000002 11 = 02000000 11 +0E 044 00000001 00000002 00 = 02000001 00 +0E 045 00000001 00000002 01 = 02000001 01 +0E 046 00000001 00000002 10 = 02000001 10 +0E 047 00000001 00000002 11 = 02000001 11 +0E 048 00000002 00000002 00 = 02000002 00 +0E 049 00000002 00000002 01 = 02000002 01 +0E 04A 00000002 00000002 10 = 02000002 10 +0E 04B 00000002 00000002 11 = 02000002 11 +0E 04C 7FFFFFFF 00000002 00 = 72FFFFFF 00 +0E 04D 7FFFFFFF 00000002 01 = 72FFFFFF 01 +0E 04E 7FFFFFFF 00000002 10 = 72FFFFFF 10 +0E 04F 7FFFFFFF 00000002 11 = 72FFFFFF 11 +0E 050 80000000 00000002 00 = 82000000 00 +0E 051 80000000 00000002 01 = 82000000 01 +0E 052 80000000 00000002 10 = 82000000 10 +0E 053 80000000 00000002 11 = 82000000 11 +0E 054 80000001 00000002 00 = 82000001 00 +0E 055 80000001 00000002 01 = 82000001 01 +0E 056 80000001 00000002 10 = 82000001 10 +0E 057 80000001 00000002 11 = 82000001 11 +0E 058 FFFFFFFE 00000002 00 = F2FFFFFE 00 +0E 059 FFFFFFFE 00000002 01 = F2FFFFFE 01 +0E 05A FFFFFFFE 00000002 10 = F2FFFFFE 10 +0E 05B FFFFFFFE 00000002 11 = F2FFFFFE 11 +0E 05C FFFFFFFF 00000002 00 = F2FFFFFF 00 +0E 05D FFFFFFFF 00000002 01 = F2FFFFFF 01 +0E 05E FFFFFFFF 00000002 10 = F2FFFFFF 10 +0E 05F FFFFFFFF 00000002 11 = F2FFFFFF 11 +0E 060 00000000 7FFFFFFF 00 = 0F000000 00 +0E 061 00000000 7FFFFFFF 01 = 0F000000 01 +0E 062 00000000 7FFFFFFF 10 = 0F000000 10 +0E 063 00000000 7FFFFFFF 11 = 0F000000 11 +0E 064 00000001 7FFFFFFF 00 = 0F000001 00 +0E 065 00000001 7FFFFFFF 01 = 0F000001 01 +0E 066 00000001 7FFFFFFF 10 = 0F000001 10 +0E 067 00000001 7FFFFFFF 11 = 0F000001 11 +0E 068 00000002 7FFFFFFF 00 = 0F000002 00 +0E 069 00000002 7FFFFFFF 01 = 0F000002 01 +0E 06A 00000002 7FFFFFFF 10 = 0F000002 10 +0E 06B 00000002 7FFFFFFF 11 = 0F000002 11 +0E 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +0E 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +0E 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +0E 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +0E 070 80000000 7FFFFFFF 00 = 8F000000 00 +0E 071 80000000 7FFFFFFF 01 = 8F000000 01 +0E 072 80000000 7FFFFFFF 10 = 8F000000 10 +0E 073 80000000 7FFFFFFF 11 = 8F000000 11 +0E 074 80000001 7FFFFFFF 00 = 8F000001 00 +0E 075 80000001 7FFFFFFF 01 = 8F000001 01 +0E 076 80000001 7FFFFFFF 10 = 8F000001 10 +0E 077 80000001 7FFFFFFF 11 = 8F000001 11 +0E 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +0E 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +0E 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +0E 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +0E 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +0E 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +0E 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +0E 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +0E 080 00000000 80000000 00 = 00000000 00 +0E 081 00000000 80000000 01 = 00000000 01 +0E 082 00000000 80000000 10 = 00000000 10 +0E 083 00000000 80000000 11 = 00000000 11 +0E 084 00000001 80000000 00 = 00000001 00 +0E 085 00000001 80000000 01 = 00000001 01 +0E 086 00000001 80000000 10 = 00000001 10 +0E 087 00000001 80000000 11 = 00000001 11 +0E 088 00000002 80000000 00 = 00000002 00 +0E 089 00000002 80000000 01 = 00000002 01 +0E 08A 00000002 80000000 10 = 00000002 10 +0E 08B 00000002 80000000 11 = 00000002 11 +0E 08C 7FFFFFFF 80000000 00 = 70FFFFFF 00 +0E 08D 7FFFFFFF 80000000 01 = 70FFFFFF 01 +0E 08E 7FFFFFFF 80000000 10 = 70FFFFFF 10 +0E 08F 7FFFFFFF 80000000 11 = 70FFFFFF 11 +0E 090 80000000 80000000 00 = 80000000 00 +0E 091 80000000 80000000 01 = 80000000 01 +0E 092 80000000 80000000 10 = 80000000 10 +0E 093 80000000 80000000 11 = 80000000 11 +0E 094 80000001 80000000 00 = 80000001 00 +0E 095 80000001 80000000 01 = 80000001 01 +0E 096 80000001 80000000 10 = 80000001 10 +0E 097 80000001 80000000 11 = 80000001 11 +0E 098 FFFFFFFE 80000000 00 = F0FFFFFE 00 +0E 099 FFFFFFFE 80000000 01 = F0FFFFFE 01 +0E 09A FFFFFFFE 80000000 10 = F0FFFFFE 10 +0E 09B FFFFFFFE 80000000 11 = F0FFFFFE 11 +0E 09C FFFFFFFF 80000000 00 = F0FFFFFF 00 +0E 09D FFFFFFFF 80000000 01 = F0FFFFFF 01 +0E 09E FFFFFFFF 80000000 10 = F0FFFFFF 10 +0E 09F FFFFFFFF 80000000 11 = F0FFFFFF 11 +0E 0A0 00000000 80000001 00 = 01000000 00 +0E 0A1 00000000 80000001 01 = 01000000 01 +0E 0A2 00000000 80000001 10 = 01000000 10 +0E 0A3 00000000 80000001 11 = 01000000 11 +0E 0A4 00000001 80000001 00 = 01000001 00 +0E 0A5 00000001 80000001 01 = 01000001 01 +0E 0A6 00000001 80000001 10 = 01000001 10 +0E 0A7 00000001 80000001 11 = 01000001 11 +0E 0A8 00000002 80000001 00 = 01000002 00 +0E 0A9 00000002 80000001 01 = 01000002 01 +0E 0AA 00000002 80000001 10 = 01000002 10 +0E 0AB 00000002 80000001 11 = 01000002 11 +0E 0AC 7FFFFFFF 80000001 00 = 71FFFFFF 00 +0E 0AD 7FFFFFFF 80000001 01 = 71FFFFFF 01 +0E 0AE 7FFFFFFF 80000001 10 = 71FFFFFF 10 +0E 0AF 7FFFFFFF 80000001 11 = 71FFFFFF 11 +0E 0B0 80000000 80000001 00 = 81000000 00 +0E 0B1 80000000 80000001 01 = 81000000 01 +0E 0B2 80000000 80000001 10 = 81000000 10 +0E 0B3 80000000 80000001 11 = 81000000 11 +0E 0B4 80000001 80000001 00 = 81000001 00 +0E 0B5 80000001 80000001 01 = 81000001 01 +0E 0B6 80000001 80000001 10 = 81000001 10 +0E 0B7 80000001 80000001 11 = 81000001 11 +0E 0B8 FFFFFFFE 80000001 00 = F1FFFFFE 00 +0E 0B9 FFFFFFFE 80000001 01 = F1FFFFFE 01 +0E 0BA FFFFFFFE 80000001 10 = F1FFFFFE 10 +0E 0BB FFFFFFFE 80000001 11 = F1FFFFFE 11 +0E 0BC FFFFFFFF 80000001 00 = F1FFFFFF 00 +0E 0BD FFFFFFFF 80000001 01 = F1FFFFFF 01 +0E 0BE FFFFFFFF 80000001 10 = F1FFFFFF 10 +0E 0BF FFFFFFFF 80000001 11 = F1FFFFFF 11 +0E 0C0 00000000 FFFFFFFE 00 = 0E000000 00 +0E 0C1 00000000 FFFFFFFE 01 = 0E000000 01 +0E 0C2 00000000 FFFFFFFE 10 = 0E000000 10 +0E 0C3 00000000 FFFFFFFE 11 = 0E000000 11 +0E 0C4 00000001 FFFFFFFE 00 = 0E000001 00 +0E 0C5 00000001 FFFFFFFE 01 = 0E000001 01 +0E 0C6 00000001 FFFFFFFE 10 = 0E000001 10 +0E 0C7 00000001 FFFFFFFE 11 = 0E000001 11 +0E 0C8 00000002 FFFFFFFE 00 = 0E000002 00 +0E 0C9 00000002 FFFFFFFE 01 = 0E000002 01 +0E 0CA 00000002 FFFFFFFE 10 = 0E000002 10 +0E 0CB 00000002 FFFFFFFE 11 = 0E000002 11 +0E 0CC 7FFFFFFF FFFFFFFE 00 = 7EFFFFFF 00 +0E 0CD 7FFFFFFF FFFFFFFE 01 = 7EFFFFFF 01 +0E 0CE 7FFFFFFF FFFFFFFE 10 = 7EFFFFFF 10 +0E 0CF 7FFFFFFF FFFFFFFE 11 = 7EFFFFFF 11 +0E 0D0 80000000 FFFFFFFE 00 = 8E000000 00 +0E 0D1 80000000 FFFFFFFE 01 = 8E000000 01 +0E 0D2 80000000 FFFFFFFE 10 = 8E000000 10 +0E 0D3 80000000 FFFFFFFE 11 = 8E000000 11 +0E 0D4 80000001 FFFFFFFE 00 = 8E000001 00 +0E 0D5 80000001 FFFFFFFE 01 = 8E000001 01 +0E 0D6 80000001 FFFFFFFE 10 = 8E000001 10 +0E 0D7 80000001 FFFFFFFE 11 = 8E000001 11 +0E 0D8 FFFFFFFE FFFFFFFE 00 = FEFFFFFE 00 +0E 0D9 FFFFFFFE FFFFFFFE 01 = FEFFFFFE 01 +0E 0DA FFFFFFFE FFFFFFFE 10 = FEFFFFFE 10 +0E 0DB FFFFFFFE FFFFFFFE 11 = FEFFFFFE 11 +0E 0DC FFFFFFFF FFFFFFFE 00 = FEFFFFFF 00 +0E 0DD FFFFFFFF FFFFFFFE 01 = FEFFFFFF 01 +0E 0DE FFFFFFFF FFFFFFFE 10 = FEFFFFFF 10 +0E 0DF FFFFFFFF FFFFFFFE 11 = FEFFFFFF 11 +0E 0E0 00000000 FFFFFFFF 00 = 0F000000 00 +0E 0E1 00000000 FFFFFFFF 01 = 0F000000 01 +0E 0E2 00000000 FFFFFFFF 10 = 0F000000 10 +0E 0E3 00000000 FFFFFFFF 11 = 0F000000 11 +0E 0E4 00000001 FFFFFFFF 00 = 0F000001 00 +0E 0E5 00000001 FFFFFFFF 01 = 0F000001 01 +0E 0E6 00000001 FFFFFFFF 10 = 0F000001 10 +0E 0E7 00000001 FFFFFFFF 11 = 0F000001 11 +0E 0E8 00000002 FFFFFFFF 00 = 0F000002 00 +0E 0E9 00000002 FFFFFFFF 01 = 0F000002 01 +0E 0EA 00000002 FFFFFFFF 10 = 0F000002 10 +0E 0EB 00000002 FFFFFFFF 11 = 0F000002 11 +0E 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +0E 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +0E 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +0E 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +0E 0F0 80000000 FFFFFFFF 00 = 8F000000 00 +0E 0F1 80000000 FFFFFFFF 01 = 8F000000 01 +0E 0F2 80000000 FFFFFFFF 10 = 8F000000 10 +0E 0F3 80000000 FFFFFFFF 11 = 8F000000 11 +0E 0F4 80000001 FFFFFFFF 00 = 8F000001 00 +0E 0F5 80000001 FFFFFFFF 01 = 8F000001 01 +0E 0F6 80000001 FFFFFFFF 10 = 8F000001 10 +0E 0F7 80000001 FFFFFFFF 11 = 8F000001 11 +0E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +0E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +0E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +0E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +0E 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +0E 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +0E 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +0E 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setnib7 ---D---- ---S---- CZ = ---Q---- CZ +0F 000 00000000 00000000 00 = 00000000 00 +0F 001 00000000 00000000 01 = 00000000 01 +0F 002 00000000 00000000 10 = 00000000 10 +0F 003 00000000 00000000 11 = 00000000 11 +0F 004 00000001 00000000 00 = 00000001 00 +0F 005 00000001 00000000 01 = 00000001 01 +0F 006 00000001 00000000 10 = 00000001 10 +0F 007 00000001 00000000 11 = 00000001 11 +0F 008 00000002 00000000 00 = 00000002 00 +0F 009 00000002 00000000 01 = 00000002 01 +0F 00A 00000002 00000000 10 = 00000002 10 +0F 00B 00000002 00000000 11 = 00000002 11 +0F 00C 7FFFFFFF 00000000 00 = 0FFFFFFF 00 +0F 00D 7FFFFFFF 00000000 01 = 0FFFFFFF 01 +0F 00E 7FFFFFFF 00000000 10 = 0FFFFFFF 10 +0F 00F 7FFFFFFF 00000000 11 = 0FFFFFFF 11 +0F 010 80000000 00000000 00 = 00000000 00 +0F 011 80000000 00000000 01 = 00000000 01 +0F 012 80000000 00000000 10 = 00000000 10 +0F 013 80000000 00000000 11 = 00000000 11 +0F 014 80000001 00000000 00 = 00000001 00 +0F 015 80000001 00000000 01 = 00000001 01 +0F 016 80000001 00000000 10 = 00000001 10 +0F 017 80000001 00000000 11 = 00000001 11 +0F 018 FFFFFFFE 00000000 00 = 0FFFFFFE 00 +0F 019 FFFFFFFE 00000000 01 = 0FFFFFFE 01 +0F 01A FFFFFFFE 00000000 10 = 0FFFFFFE 10 +0F 01B FFFFFFFE 00000000 11 = 0FFFFFFE 11 +0F 01C FFFFFFFF 00000000 00 = 0FFFFFFF 00 +0F 01D FFFFFFFF 00000000 01 = 0FFFFFFF 01 +0F 01E FFFFFFFF 00000000 10 = 0FFFFFFF 10 +0F 01F FFFFFFFF 00000000 11 = 0FFFFFFF 11 +0F 020 00000000 00000001 00 = 10000000 00 +0F 021 00000000 00000001 01 = 10000000 01 +0F 022 00000000 00000001 10 = 10000000 10 +0F 023 00000000 00000001 11 = 10000000 11 +0F 024 00000001 00000001 00 = 10000001 00 +0F 025 00000001 00000001 01 = 10000001 01 +0F 026 00000001 00000001 10 = 10000001 10 +0F 027 00000001 00000001 11 = 10000001 11 +0F 028 00000002 00000001 00 = 10000002 00 +0F 029 00000002 00000001 01 = 10000002 01 +0F 02A 00000002 00000001 10 = 10000002 10 +0F 02B 00000002 00000001 11 = 10000002 11 +0F 02C 7FFFFFFF 00000001 00 = 1FFFFFFF 00 +0F 02D 7FFFFFFF 00000001 01 = 1FFFFFFF 01 +0F 02E 7FFFFFFF 00000001 10 = 1FFFFFFF 10 +0F 02F 7FFFFFFF 00000001 11 = 1FFFFFFF 11 +0F 030 80000000 00000001 00 = 10000000 00 +0F 031 80000000 00000001 01 = 10000000 01 +0F 032 80000000 00000001 10 = 10000000 10 +0F 033 80000000 00000001 11 = 10000000 11 +0F 034 80000001 00000001 00 = 10000001 00 +0F 035 80000001 00000001 01 = 10000001 01 +0F 036 80000001 00000001 10 = 10000001 10 +0F 037 80000001 00000001 11 = 10000001 11 +0F 038 FFFFFFFE 00000001 00 = 1FFFFFFE 00 +0F 039 FFFFFFFE 00000001 01 = 1FFFFFFE 01 +0F 03A FFFFFFFE 00000001 10 = 1FFFFFFE 10 +0F 03B FFFFFFFE 00000001 11 = 1FFFFFFE 11 +0F 03C FFFFFFFF 00000001 00 = 1FFFFFFF 00 +0F 03D FFFFFFFF 00000001 01 = 1FFFFFFF 01 +0F 03E FFFFFFFF 00000001 10 = 1FFFFFFF 10 +0F 03F FFFFFFFF 00000001 11 = 1FFFFFFF 11 +0F 040 00000000 00000002 00 = 20000000 00 +0F 041 00000000 00000002 01 = 20000000 01 +0F 042 00000000 00000002 10 = 20000000 10 +0F 043 00000000 00000002 11 = 20000000 11 +0F 044 00000001 00000002 00 = 20000001 00 +0F 045 00000001 00000002 01 = 20000001 01 +0F 046 00000001 00000002 10 = 20000001 10 +0F 047 00000001 00000002 11 = 20000001 11 +0F 048 00000002 00000002 00 = 20000002 00 +0F 049 00000002 00000002 01 = 20000002 01 +0F 04A 00000002 00000002 10 = 20000002 10 +0F 04B 00000002 00000002 11 = 20000002 11 +0F 04C 7FFFFFFF 00000002 00 = 2FFFFFFF 00 +0F 04D 7FFFFFFF 00000002 01 = 2FFFFFFF 01 +0F 04E 7FFFFFFF 00000002 10 = 2FFFFFFF 10 +0F 04F 7FFFFFFF 00000002 11 = 2FFFFFFF 11 +0F 050 80000000 00000002 00 = 20000000 00 +0F 051 80000000 00000002 01 = 20000000 01 +0F 052 80000000 00000002 10 = 20000000 10 +0F 053 80000000 00000002 11 = 20000000 11 +0F 054 80000001 00000002 00 = 20000001 00 +0F 055 80000001 00000002 01 = 20000001 01 +0F 056 80000001 00000002 10 = 20000001 10 +0F 057 80000001 00000002 11 = 20000001 11 +0F 058 FFFFFFFE 00000002 00 = 2FFFFFFE 00 +0F 059 FFFFFFFE 00000002 01 = 2FFFFFFE 01 +0F 05A FFFFFFFE 00000002 10 = 2FFFFFFE 10 +0F 05B FFFFFFFE 00000002 11 = 2FFFFFFE 11 +0F 05C FFFFFFFF 00000002 00 = 2FFFFFFF 00 +0F 05D FFFFFFFF 00000002 01 = 2FFFFFFF 01 +0F 05E FFFFFFFF 00000002 10 = 2FFFFFFF 10 +0F 05F FFFFFFFF 00000002 11 = 2FFFFFFF 11 +0F 060 00000000 7FFFFFFF 00 = F0000000 00 +0F 061 00000000 7FFFFFFF 01 = F0000000 01 +0F 062 00000000 7FFFFFFF 10 = F0000000 10 +0F 063 00000000 7FFFFFFF 11 = F0000000 11 +0F 064 00000001 7FFFFFFF 00 = F0000001 00 +0F 065 00000001 7FFFFFFF 01 = F0000001 01 +0F 066 00000001 7FFFFFFF 10 = F0000001 10 +0F 067 00000001 7FFFFFFF 11 = F0000001 11 +0F 068 00000002 7FFFFFFF 00 = F0000002 00 +0F 069 00000002 7FFFFFFF 01 = F0000002 01 +0F 06A 00000002 7FFFFFFF 10 = F0000002 10 +0F 06B 00000002 7FFFFFFF 11 = F0000002 11 +0F 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +0F 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +0F 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +0F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +0F 070 80000000 7FFFFFFF 00 = F0000000 00 +0F 071 80000000 7FFFFFFF 01 = F0000000 01 +0F 072 80000000 7FFFFFFF 10 = F0000000 10 +0F 073 80000000 7FFFFFFF 11 = F0000000 11 +0F 074 80000001 7FFFFFFF 00 = F0000001 00 +0F 075 80000001 7FFFFFFF 01 = F0000001 01 +0F 076 80000001 7FFFFFFF 10 = F0000001 10 +0F 077 80000001 7FFFFFFF 11 = F0000001 11 +0F 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +0F 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +0F 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +0F 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +0F 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +0F 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +0F 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +0F 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +0F 080 00000000 80000000 00 = 00000000 00 +0F 081 00000000 80000000 01 = 00000000 01 +0F 082 00000000 80000000 10 = 00000000 10 +0F 083 00000000 80000000 11 = 00000000 11 +0F 084 00000001 80000000 00 = 00000001 00 +0F 085 00000001 80000000 01 = 00000001 01 +0F 086 00000001 80000000 10 = 00000001 10 +0F 087 00000001 80000000 11 = 00000001 11 +0F 088 00000002 80000000 00 = 00000002 00 +0F 089 00000002 80000000 01 = 00000002 01 +0F 08A 00000002 80000000 10 = 00000002 10 +0F 08B 00000002 80000000 11 = 00000002 11 +0F 08C 7FFFFFFF 80000000 00 = 0FFFFFFF 00 +0F 08D 7FFFFFFF 80000000 01 = 0FFFFFFF 01 +0F 08E 7FFFFFFF 80000000 10 = 0FFFFFFF 10 +0F 08F 7FFFFFFF 80000000 11 = 0FFFFFFF 11 +0F 090 80000000 80000000 00 = 00000000 00 +0F 091 80000000 80000000 01 = 00000000 01 +0F 092 80000000 80000000 10 = 00000000 10 +0F 093 80000000 80000000 11 = 00000000 11 +0F 094 80000001 80000000 00 = 00000001 00 +0F 095 80000001 80000000 01 = 00000001 01 +0F 096 80000001 80000000 10 = 00000001 10 +0F 097 80000001 80000000 11 = 00000001 11 +0F 098 FFFFFFFE 80000000 00 = 0FFFFFFE 00 +0F 099 FFFFFFFE 80000000 01 = 0FFFFFFE 01 +0F 09A FFFFFFFE 80000000 10 = 0FFFFFFE 10 +0F 09B FFFFFFFE 80000000 11 = 0FFFFFFE 11 +0F 09C FFFFFFFF 80000000 00 = 0FFFFFFF 00 +0F 09D FFFFFFFF 80000000 01 = 0FFFFFFF 01 +0F 09E FFFFFFFF 80000000 10 = 0FFFFFFF 10 +0F 09F FFFFFFFF 80000000 11 = 0FFFFFFF 11 +0F 0A0 00000000 80000001 00 = 10000000 00 +0F 0A1 00000000 80000001 01 = 10000000 01 +0F 0A2 00000000 80000001 10 = 10000000 10 +0F 0A3 00000000 80000001 11 = 10000000 11 +0F 0A4 00000001 80000001 00 = 10000001 00 +0F 0A5 00000001 80000001 01 = 10000001 01 +0F 0A6 00000001 80000001 10 = 10000001 10 +0F 0A7 00000001 80000001 11 = 10000001 11 +0F 0A8 00000002 80000001 00 = 10000002 00 +0F 0A9 00000002 80000001 01 = 10000002 01 +0F 0AA 00000002 80000001 10 = 10000002 10 +0F 0AB 00000002 80000001 11 = 10000002 11 +0F 0AC 7FFFFFFF 80000001 00 = 1FFFFFFF 00 +0F 0AD 7FFFFFFF 80000001 01 = 1FFFFFFF 01 +0F 0AE 7FFFFFFF 80000001 10 = 1FFFFFFF 10 +0F 0AF 7FFFFFFF 80000001 11 = 1FFFFFFF 11 +0F 0B0 80000000 80000001 00 = 10000000 00 +0F 0B1 80000000 80000001 01 = 10000000 01 +0F 0B2 80000000 80000001 10 = 10000000 10 +0F 0B3 80000000 80000001 11 = 10000000 11 +0F 0B4 80000001 80000001 00 = 10000001 00 +0F 0B5 80000001 80000001 01 = 10000001 01 +0F 0B6 80000001 80000001 10 = 10000001 10 +0F 0B7 80000001 80000001 11 = 10000001 11 +0F 0B8 FFFFFFFE 80000001 00 = 1FFFFFFE 00 +0F 0B9 FFFFFFFE 80000001 01 = 1FFFFFFE 01 +0F 0BA FFFFFFFE 80000001 10 = 1FFFFFFE 10 +0F 0BB FFFFFFFE 80000001 11 = 1FFFFFFE 11 +0F 0BC FFFFFFFF 80000001 00 = 1FFFFFFF 00 +0F 0BD FFFFFFFF 80000001 01 = 1FFFFFFF 01 +0F 0BE FFFFFFFF 80000001 10 = 1FFFFFFF 10 +0F 0BF FFFFFFFF 80000001 11 = 1FFFFFFF 11 +0F 0C0 00000000 FFFFFFFE 00 = E0000000 00 +0F 0C1 00000000 FFFFFFFE 01 = E0000000 01 +0F 0C2 00000000 FFFFFFFE 10 = E0000000 10 +0F 0C3 00000000 FFFFFFFE 11 = E0000000 11 +0F 0C4 00000001 FFFFFFFE 00 = E0000001 00 +0F 0C5 00000001 FFFFFFFE 01 = E0000001 01 +0F 0C6 00000001 FFFFFFFE 10 = E0000001 10 +0F 0C7 00000001 FFFFFFFE 11 = E0000001 11 +0F 0C8 00000002 FFFFFFFE 00 = E0000002 00 +0F 0C9 00000002 FFFFFFFE 01 = E0000002 01 +0F 0CA 00000002 FFFFFFFE 10 = E0000002 10 +0F 0CB 00000002 FFFFFFFE 11 = E0000002 11 +0F 0CC 7FFFFFFF FFFFFFFE 00 = EFFFFFFF 00 +0F 0CD 7FFFFFFF FFFFFFFE 01 = EFFFFFFF 01 +0F 0CE 7FFFFFFF FFFFFFFE 10 = EFFFFFFF 10 +0F 0CF 7FFFFFFF FFFFFFFE 11 = EFFFFFFF 11 +0F 0D0 80000000 FFFFFFFE 00 = E0000000 00 +0F 0D1 80000000 FFFFFFFE 01 = E0000000 01 +0F 0D2 80000000 FFFFFFFE 10 = E0000000 10 +0F 0D3 80000000 FFFFFFFE 11 = E0000000 11 +0F 0D4 80000001 FFFFFFFE 00 = E0000001 00 +0F 0D5 80000001 FFFFFFFE 01 = E0000001 01 +0F 0D6 80000001 FFFFFFFE 10 = E0000001 10 +0F 0D7 80000001 FFFFFFFE 11 = E0000001 11 +0F 0D8 FFFFFFFE FFFFFFFE 00 = EFFFFFFE 00 +0F 0D9 FFFFFFFE FFFFFFFE 01 = EFFFFFFE 01 +0F 0DA FFFFFFFE FFFFFFFE 10 = EFFFFFFE 10 +0F 0DB FFFFFFFE FFFFFFFE 11 = EFFFFFFE 11 +0F 0DC FFFFFFFF FFFFFFFE 00 = EFFFFFFF 00 +0F 0DD FFFFFFFF FFFFFFFE 01 = EFFFFFFF 01 +0F 0DE FFFFFFFF FFFFFFFE 10 = EFFFFFFF 10 +0F 0DF FFFFFFFF FFFFFFFE 11 = EFFFFFFF 11 +0F 0E0 00000000 FFFFFFFF 00 = F0000000 00 +0F 0E1 00000000 FFFFFFFF 01 = F0000000 01 +0F 0E2 00000000 FFFFFFFF 10 = F0000000 10 +0F 0E3 00000000 FFFFFFFF 11 = F0000000 11 +0F 0E4 00000001 FFFFFFFF 00 = F0000001 00 +0F 0E5 00000001 FFFFFFFF 01 = F0000001 01 +0F 0E6 00000001 FFFFFFFF 10 = F0000001 10 +0F 0E7 00000001 FFFFFFFF 11 = F0000001 11 +0F 0E8 00000002 FFFFFFFF 00 = F0000002 00 +0F 0E9 00000002 FFFFFFFF 01 = F0000002 01 +0F 0EA 00000002 FFFFFFFF 10 = F0000002 10 +0F 0EB 00000002 FFFFFFFF 11 = F0000002 11 +0F 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +0F 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +0F 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +0F 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +0F 0F0 80000000 FFFFFFFF 00 = F0000000 00 +0F 0F1 80000000 FFFFFFFF 01 = F0000000 01 +0F 0F2 80000000 FFFFFFFF 10 = F0000000 10 +0F 0F3 80000000 FFFFFFFF 11 = F0000000 11 +0F 0F4 80000001 FFFFFFFF 00 = F0000001 00 +0F 0F5 80000001 FFFFFFFF 01 = F0000001 01 +0F 0F6 80000001 FFFFFFFF 10 = F0000001 10 +0F 0F7 80000001 FFFFFFFF 11 = F0000001 11 +0F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +0F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +0F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +0F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +0F 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +0F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +0F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +0F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +getnib0 ---D---- ---S---- CZ = ---Q---- CZ +10 000 00000000 00000000 00 = 00000000 00 +10 001 00000000 00000000 01 = 00000000 01 +10 002 00000000 00000000 10 = 00000000 10 +10 003 00000000 00000000 11 = 00000000 11 +10 004 00000001 00000000 00 = 00000000 00 +10 005 00000001 00000000 01 = 00000000 01 +10 006 00000001 00000000 10 = 00000000 10 +10 007 00000001 00000000 11 = 00000000 11 +10 008 00000002 00000000 00 = 00000000 00 +10 009 00000002 00000000 01 = 00000000 01 +10 00A 00000002 00000000 10 = 00000000 10 +10 00B 00000002 00000000 11 = 00000000 11 +10 00C 7FFFFFFF 00000000 00 = 00000000 00 +10 00D 7FFFFFFF 00000000 01 = 00000000 01 +10 00E 7FFFFFFF 00000000 10 = 00000000 10 +10 00F 7FFFFFFF 00000000 11 = 00000000 11 +10 010 80000000 00000000 00 = 00000000 00 +10 011 80000000 00000000 01 = 00000000 01 +10 012 80000000 00000000 10 = 00000000 10 +10 013 80000000 00000000 11 = 00000000 11 +10 014 80000001 00000000 00 = 00000000 00 +10 015 80000001 00000000 01 = 00000000 01 +10 016 80000001 00000000 10 = 00000000 10 +10 017 80000001 00000000 11 = 00000000 11 +10 018 FFFFFFFE 00000000 00 = 00000000 00 +10 019 FFFFFFFE 00000000 01 = 00000000 01 +10 01A FFFFFFFE 00000000 10 = 00000000 10 +10 01B FFFFFFFE 00000000 11 = 00000000 11 +10 01C FFFFFFFF 00000000 00 = 00000000 00 +10 01D FFFFFFFF 00000000 01 = 00000000 01 +10 01E FFFFFFFF 00000000 10 = 00000000 10 +10 01F FFFFFFFF 00000000 11 = 00000000 11 +10 020 00000000 00000001 00 = 00000001 00 +10 021 00000000 00000001 01 = 00000001 01 +10 022 00000000 00000001 10 = 00000001 10 +10 023 00000000 00000001 11 = 00000001 11 +10 024 00000001 00000001 00 = 00000001 00 +10 025 00000001 00000001 01 = 00000001 01 +10 026 00000001 00000001 10 = 00000001 10 +10 027 00000001 00000001 11 = 00000001 11 +10 028 00000002 00000001 00 = 00000001 00 +10 029 00000002 00000001 01 = 00000001 01 +10 02A 00000002 00000001 10 = 00000001 10 +10 02B 00000002 00000001 11 = 00000001 11 +10 02C 7FFFFFFF 00000001 00 = 00000001 00 +10 02D 7FFFFFFF 00000001 01 = 00000001 01 +10 02E 7FFFFFFF 00000001 10 = 00000001 10 +10 02F 7FFFFFFF 00000001 11 = 00000001 11 +10 030 80000000 00000001 00 = 00000001 00 +10 031 80000000 00000001 01 = 00000001 01 +10 032 80000000 00000001 10 = 00000001 10 +10 033 80000000 00000001 11 = 00000001 11 +10 034 80000001 00000001 00 = 00000001 00 +10 035 80000001 00000001 01 = 00000001 01 +10 036 80000001 00000001 10 = 00000001 10 +10 037 80000001 00000001 11 = 00000001 11 +10 038 FFFFFFFE 00000001 00 = 00000001 00 +10 039 FFFFFFFE 00000001 01 = 00000001 01 +10 03A FFFFFFFE 00000001 10 = 00000001 10 +10 03B FFFFFFFE 00000001 11 = 00000001 11 +10 03C FFFFFFFF 00000001 00 = 00000001 00 +10 03D FFFFFFFF 00000001 01 = 00000001 01 +10 03E FFFFFFFF 00000001 10 = 00000001 10 +10 03F FFFFFFFF 00000001 11 = 00000001 11 +10 040 00000000 00000002 00 = 00000002 00 +10 041 00000000 00000002 01 = 00000002 01 +10 042 00000000 00000002 10 = 00000002 10 +10 043 00000000 00000002 11 = 00000002 11 +10 044 00000001 00000002 00 = 00000002 00 +10 045 00000001 00000002 01 = 00000002 01 +10 046 00000001 00000002 10 = 00000002 10 +10 047 00000001 00000002 11 = 00000002 11 +10 048 00000002 00000002 00 = 00000002 00 +10 049 00000002 00000002 01 = 00000002 01 +10 04A 00000002 00000002 10 = 00000002 10 +10 04B 00000002 00000002 11 = 00000002 11 +10 04C 7FFFFFFF 00000002 00 = 00000002 00 +10 04D 7FFFFFFF 00000002 01 = 00000002 01 +10 04E 7FFFFFFF 00000002 10 = 00000002 10 +10 04F 7FFFFFFF 00000002 11 = 00000002 11 +10 050 80000000 00000002 00 = 00000002 00 +10 051 80000000 00000002 01 = 00000002 01 +10 052 80000000 00000002 10 = 00000002 10 +10 053 80000000 00000002 11 = 00000002 11 +10 054 80000001 00000002 00 = 00000002 00 +10 055 80000001 00000002 01 = 00000002 01 +10 056 80000001 00000002 10 = 00000002 10 +10 057 80000001 00000002 11 = 00000002 11 +10 058 FFFFFFFE 00000002 00 = 00000002 00 +10 059 FFFFFFFE 00000002 01 = 00000002 01 +10 05A FFFFFFFE 00000002 10 = 00000002 10 +10 05B FFFFFFFE 00000002 11 = 00000002 11 +10 05C FFFFFFFF 00000002 00 = 00000002 00 +10 05D FFFFFFFF 00000002 01 = 00000002 01 +10 05E FFFFFFFF 00000002 10 = 00000002 10 +10 05F FFFFFFFF 00000002 11 = 00000002 11 +10 060 00000000 7FFFFFFF 00 = 0000000F 00 +10 061 00000000 7FFFFFFF 01 = 0000000F 01 +10 062 00000000 7FFFFFFF 10 = 0000000F 10 +10 063 00000000 7FFFFFFF 11 = 0000000F 11 +10 064 00000001 7FFFFFFF 00 = 0000000F 00 +10 065 00000001 7FFFFFFF 01 = 0000000F 01 +10 066 00000001 7FFFFFFF 10 = 0000000F 10 +10 067 00000001 7FFFFFFF 11 = 0000000F 11 +10 068 00000002 7FFFFFFF 00 = 0000000F 00 +10 069 00000002 7FFFFFFF 01 = 0000000F 01 +10 06A 00000002 7FFFFFFF 10 = 0000000F 10 +10 06B 00000002 7FFFFFFF 11 = 0000000F 11 +10 06C 7FFFFFFF 7FFFFFFF 00 = 0000000F 00 +10 06D 7FFFFFFF 7FFFFFFF 01 = 0000000F 01 +10 06E 7FFFFFFF 7FFFFFFF 10 = 0000000F 10 +10 06F 7FFFFFFF 7FFFFFFF 11 = 0000000F 11 +10 070 80000000 7FFFFFFF 00 = 0000000F 00 +10 071 80000000 7FFFFFFF 01 = 0000000F 01 +10 072 80000000 7FFFFFFF 10 = 0000000F 10 +10 073 80000000 7FFFFFFF 11 = 0000000F 11 +10 074 80000001 7FFFFFFF 00 = 0000000F 00 +10 075 80000001 7FFFFFFF 01 = 0000000F 01 +10 076 80000001 7FFFFFFF 10 = 0000000F 10 +10 077 80000001 7FFFFFFF 11 = 0000000F 11 +10 078 FFFFFFFE 7FFFFFFF 00 = 0000000F 00 +10 079 FFFFFFFE 7FFFFFFF 01 = 0000000F 01 +10 07A FFFFFFFE 7FFFFFFF 10 = 0000000F 10 +10 07B FFFFFFFE 7FFFFFFF 11 = 0000000F 11 +10 07C FFFFFFFF 7FFFFFFF 00 = 0000000F 00 +10 07D FFFFFFFF 7FFFFFFF 01 = 0000000F 01 +10 07E FFFFFFFF 7FFFFFFF 10 = 0000000F 10 +10 07F FFFFFFFF 7FFFFFFF 11 = 0000000F 11 +10 080 00000000 80000000 00 = 00000000 00 +10 081 00000000 80000000 01 = 00000000 01 +10 082 00000000 80000000 10 = 00000000 10 +10 083 00000000 80000000 11 = 00000000 11 +10 084 00000001 80000000 00 = 00000000 00 +10 085 00000001 80000000 01 = 00000000 01 +10 086 00000001 80000000 10 = 00000000 10 +10 087 00000001 80000000 11 = 00000000 11 +10 088 00000002 80000000 00 = 00000000 00 +10 089 00000002 80000000 01 = 00000000 01 +10 08A 00000002 80000000 10 = 00000000 10 +10 08B 00000002 80000000 11 = 00000000 11 +10 08C 7FFFFFFF 80000000 00 = 00000000 00 +10 08D 7FFFFFFF 80000000 01 = 00000000 01 +10 08E 7FFFFFFF 80000000 10 = 00000000 10 +10 08F 7FFFFFFF 80000000 11 = 00000000 11 +10 090 80000000 80000000 00 = 00000000 00 +10 091 80000000 80000000 01 = 00000000 01 +10 092 80000000 80000000 10 = 00000000 10 +10 093 80000000 80000000 11 = 00000000 11 +10 094 80000001 80000000 00 = 00000000 00 +10 095 80000001 80000000 01 = 00000000 01 +10 096 80000001 80000000 10 = 00000000 10 +10 097 80000001 80000000 11 = 00000000 11 +10 098 FFFFFFFE 80000000 00 = 00000000 00 +10 099 FFFFFFFE 80000000 01 = 00000000 01 +10 09A FFFFFFFE 80000000 10 = 00000000 10 +10 09B FFFFFFFE 80000000 11 = 00000000 11 +10 09C FFFFFFFF 80000000 00 = 00000000 00 +10 09D FFFFFFFF 80000000 01 = 00000000 01 +10 09E FFFFFFFF 80000000 10 = 00000000 10 +10 09F FFFFFFFF 80000000 11 = 00000000 11 +10 0A0 00000000 80000001 00 = 00000001 00 +10 0A1 00000000 80000001 01 = 00000001 01 +10 0A2 00000000 80000001 10 = 00000001 10 +10 0A3 00000000 80000001 11 = 00000001 11 +10 0A4 00000001 80000001 00 = 00000001 00 +10 0A5 00000001 80000001 01 = 00000001 01 +10 0A6 00000001 80000001 10 = 00000001 10 +10 0A7 00000001 80000001 11 = 00000001 11 +10 0A8 00000002 80000001 00 = 00000001 00 +10 0A9 00000002 80000001 01 = 00000001 01 +10 0AA 00000002 80000001 10 = 00000001 10 +10 0AB 00000002 80000001 11 = 00000001 11 +10 0AC 7FFFFFFF 80000001 00 = 00000001 00 +10 0AD 7FFFFFFF 80000001 01 = 00000001 01 +10 0AE 7FFFFFFF 80000001 10 = 00000001 10 +10 0AF 7FFFFFFF 80000001 11 = 00000001 11 +10 0B0 80000000 80000001 00 = 00000001 00 +10 0B1 80000000 80000001 01 = 00000001 01 +10 0B2 80000000 80000001 10 = 00000001 10 +10 0B3 80000000 80000001 11 = 00000001 11 +10 0B4 80000001 80000001 00 = 00000001 00 +10 0B5 80000001 80000001 01 = 00000001 01 +10 0B6 80000001 80000001 10 = 00000001 10 +10 0B7 80000001 80000001 11 = 00000001 11 +10 0B8 FFFFFFFE 80000001 00 = 00000001 00 +10 0B9 FFFFFFFE 80000001 01 = 00000001 01 +10 0BA FFFFFFFE 80000001 10 = 00000001 10 +10 0BB FFFFFFFE 80000001 11 = 00000001 11 +10 0BC FFFFFFFF 80000001 00 = 00000001 00 +10 0BD FFFFFFFF 80000001 01 = 00000001 01 +10 0BE FFFFFFFF 80000001 10 = 00000001 10 +10 0BF FFFFFFFF 80000001 11 = 00000001 11 +10 0C0 00000000 FFFFFFFE 00 = 0000000E 00 +10 0C1 00000000 FFFFFFFE 01 = 0000000E 01 +10 0C2 00000000 FFFFFFFE 10 = 0000000E 10 +10 0C3 00000000 FFFFFFFE 11 = 0000000E 11 +10 0C4 00000001 FFFFFFFE 00 = 0000000E 00 +10 0C5 00000001 FFFFFFFE 01 = 0000000E 01 +10 0C6 00000001 FFFFFFFE 10 = 0000000E 10 +10 0C7 00000001 FFFFFFFE 11 = 0000000E 11 +10 0C8 00000002 FFFFFFFE 00 = 0000000E 00 +10 0C9 00000002 FFFFFFFE 01 = 0000000E 01 +10 0CA 00000002 FFFFFFFE 10 = 0000000E 10 +10 0CB 00000002 FFFFFFFE 11 = 0000000E 11 +10 0CC 7FFFFFFF FFFFFFFE 00 = 0000000E 00 +10 0CD 7FFFFFFF FFFFFFFE 01 = 0000000E 01 +10 0CE 7FFFFFFF FFFFFFFE 10 = 0000000E 10 +10 0CF 7FFFFFFF FFFFFFFE 11 = 0000000E 11 +10 0D0 80000000 FFFFFFFE 00 = 0000000E 00 +10 0D1 80000000 FFFFFFFE 01 = 0000000E 01 +10 0D2 80000000 FFFFFFFE 10 = 0000000E 10 +10 0D3 80000000 FFFFFFFE 11 = 0000000E 11 +10 0D4 80000001 FFFFFFFE 00 = 0000000E 00 +10 0D5 80000001 FFFFFFFE 01 = 0000000E 01 +10 0D6 80000001 FFFFFFFE 10 = 0000000E 10 +10 0D7 80000001 FFFFFFFE 11 = 0000000E 11 +10 0D8 FFFFFFFE FFFFFFFE 00 = 0000000E 00 +10 0D9 FFFFFFFE FFFFFFFE 01 = 0000000E 01 +10 0DA FFFFFFFE FFFFFFFE 10 = 0000000E 10 +10 0DB FFFFFFFE FFFFFFFE 11 = 0000000E 11 +10 0DC FFFFFFFF FFFFFFFE 00 = 0000000E 00 +10 0DD FFFFFFFF FFFFFFFE 01 = 0000000E 01 +10 0DE FFFFFFFF FFFFFFFE 10 = 0000000E 10 +10 0DF FFFFFFFF FFFFFFFE 11 = 0000000E 11 +10 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +10 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +10 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +10 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +10 0E4 00000001 FFFFFFFF 00 = 0000000F 00 +10 0E5 00000001 FFFFFFFF 01 = 0000000F 01 +10 0E6 00000001 FFFFFFFF 10 = 0000000F 10 +10 0E7 00000001 FFFFFFFF 11 = 0000000F 11 +10 0E8 00000002 FFFFFFFF 00 = 0000000F 00 +10 0E9 00000002 FFFFFFFF 01 = 0000000F 01 +10 0EA 00000002 FFFFFFFF 10 = 0000000F 10 +10 0EB 00000002 FFFFFFFF 11 = 0000000F 11 +10 0EC 7FFFFFFF FFFFFFFF 00 = 0000000F 00 +10 0ED 7FFFFFFF FFFFFFFF 01 = 0000000F 01 +10 0EE 7FFFFFFF FFFFFFFF 10 = 0000000F 10 +10 0EF 7FFFFFFF FFFFFFFF 11 = 0000000F 11 +10 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +10 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +10 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +10 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +10 0F4 80000001 FFFFFFFF 00 = 0000000F 00 +10 0F5 80000001 FFFFFFFF 01 = 0000000F 01 +10 0F6 80000001 FFFFFFFF 10 = 0000000F 10 +10 0F7 80000001 FFFFFFFF 11 = 0000000F 11 +10 0F8 FFFFFFFE FFFFFFFF 00 = 0000000F 00 +10 0F9 FFFFFFFE FFFFFFFF 01 = 0000000F 01 +10 0FA FFFFFFFE FFFFFFFF 10 = 0000000F 10 +10 0FB FFFFFFFE FFFFFFFF 11 = 0000000F 11 +10 0FC FFFFFFFF FFFFFFFF 00 = 0000000F 00 +10 0FD FFFFFFFF FFFFFFFF 01 = 0000000F 01 +10 0FE FFFFFFFF FFFFFFFF 10 = 0000000F 10 +10 0FF FFFFFFFF FFFFFFFF 11 = 0000000F 11 +getnib1 ---D---- ---S---- CZ = ---Q---- CZ +11 000 00000000 00000000 00 = 00000000 00 +11 001 00000000 00000000 01 = 00000000 01 +11 002 00000000 00000000 10 = 00000000 10 +11 003 00000000 00000000 11 = 00000000 11 +11 004 00000001 00000000 00 = 00000000 00 +11 005 00000001 00000000 01 = 00000000 01 +11 006 00000001 00000000 10 = 00000000 10 +11 007 00000001 00000000 11 = 00000000 11 +11 008 00000002 00000000 00 = 00000000 00 +11 009 00000002 00000000 01 = 00000000 01 +11 00A 00000002 00000000 10 = 00000000 10 +11 00B 00000002 00000000 11 = 00000000 11 +11 00C 7FFFFFFF 00000000 00 = 00000000 00 +11 00D 7FFFFFFF 00000000 01 = 00000000 01 +11 00E 7FFFFFFF 00000000 10 = 00000000 10 +11 00F 7FFFFFFF 00000000 11 = 00000000 11 +11 010 80000000 00000000 00 = 00000000 00 +11 011 80000000 00000000 01 = 00000000 01 +11 012 80000000 00000000 10 = 00000000 10 +11 013 80000000 00000000 11 = 00000000 11 +11 014 80000001 00000000 00 = 00000000 00 +11 015 80000001 00000000 01 = 00000000 01 +11 016 80000001 00000000 10 = 00000000 10 +11 017 80000001 00000000 11 = 00000000 11 +11 018 FFFFFFFE 00000000 00 = 00000000 00 +11 019 FFFFFFFE 00000000 01 = 00000000 01 +11 01A FFFFFFFE 00000000 10 = 00000000 10 +11 01B FFFFFFFE 00000000 11 = 00000000 11 +11 01C FFFFFFFF 00000000 00 = 00000000 00 +11 01D FFFFFFFF 00000000 01 = 00000000 01 +11 01E FFFFFFFF 00000000 10 = 00000000 10 +11 01F FFFFFFFF 00000000 11 = 00000000 11 +11 020 00000000 00000001 00 = 00000000 00 +11 021 00000000 00000001 01 = 00000000 01 +11 022 00000000 00000001 10 = 00000000 10 +11 023 00000000 00000001 11 = 00000000 11 +11 024 00000001 00000001 00 = 00000000 00 +11 025 00000001 00000001 01 = 00000000 01 +11 026 00000001 00000001 10 = 00000000 10 +11 027 00000001 00000001 11 = 00000000 11 +11 028 00000002 00000001 00 = 00000000 00 +11 029 00000002 00000001 01 = 00000000 01 +11 02A 00000002 00000001 10 = 00000000 10 +11 02B 00000002 00000001 11 = 00000000 11 +11 02C 7FFFFFFF 00000001 00 = 00000000 00 +11 02D 7FFFFFFF 00000001 01 = 00000000 01 +11 02E 7FFFFFFF 00000001 10 = 00000000 10 +11 02F 7FFFFFFF 00000001 11 = 00000000 11 +11 030 80000000 00000001 00 = 00000000 00 +11 031 80000000 00000001 01 = 00000000 01 +11 032 80000000 00000001 10 = 00000000 10 +11 033 80000000 00000001 11 = 00000000 11 +11 034 80000001 00000001 00 = 00000000 00 +11 035 80000001 00000001 01 = 00000000 01 +11 036 80000001 00000001 10 = 00000000 10 +11 037 80000001 00000001 11 = 00000000 11 +11 038 FFFFFFFE 00000001 00 = 00000000 00 +11 039 FFFFFFFE 00000001 01 = 00000000 01 +11 03A FFFFFFFE 00000001 10 = 00000000 10 +11 03B FFFFFFFE 00000001 11 = 00000000 11 +11 03C FFFFFFFF 00000001 00 = 00000000 00 +11 03D FFFFFFFF 00000001 01 = 00000000 01 +11 03E FFFFFFFF 00000001 10 = 00000000 10 +11 03F FFFFFFFF 00000001 11 = 00000000 11 +11 040 00000000 00000002 00 = 00000000 00 +11 041 00000000 00000002 01 = 00000000 01 +11 042 00000000 00000002 10 = 00000000 10 +11 043 00000000 00000002 11 = 00000000 11 +11 044 00000001 00000002 00 = 00000000 00 +11 045 00000001 00000002 01 = 00000000 01 +11 046 00000001 00000002 10 = 00000000 10 +11 047 00000001 00000002 11 = 00000000 11 +11 048 00000002 00000002 00 = 00000000 00 +11 049 00000002 00000002 01 = 00000000 01 +11 04A 00000002 00000002 10 = 00000000 10 +11 04B 00000002 00000002 11 = 00000000 11 +11 04C 7FFFFFFF 00000002 00 = 00000000 00 +11 04D 7FFFFFFF 00000002 01 = 00000000 01 +11 04E 7FFFFFFF 00000002 10 = 00000000 10 +11 04F 7FFFFFFF 00000002 11 = 00000000 11 +11 050 80000000 00000002 00 = 00000000 00 +11 051 80000000 00000002 01 = 00000000 01 +11 052 80000000 00000002 10 = 00000000 10 +11 053 80000000 00000002 11 = 00000000 11 +11 054 80000001 00000002 00 = 00000000 00 +11 055 80000001 00000002 01 = 00000000 01 +11 056 80000001 00000002 10 = 00000000 10 +11 057 80000001 00000002 11 = 00000000 11 +11 058 FFFFFFFE 00000002 00 = 00000000 00 +11 059 FFFFFFFE 00000002 01 = 00000000 01 +11 05A FFFFFFFE 00000002 10 = 00000000 10 +11 05B FFFFFFFE 00000002 11 = 00000000 11 +11 05C FFFFFFFF 00000002 00 = 00000000 00 +11 05D FFFFFFFF 00000002 01 = 00000000 01 +11 05E FFFFFFFF 00000002 10 = 00000000 10 +11 05F FFFFFFFF 00000002 11 = 00000000 11 +11 060 00000000 7FFFFFFF 00 = 0000000F 00 +11 061 00000000 7FFFFFFF 01 = 0000000F 01 +11 062 00000000 7FFFFFFF 10 = 0000000F 10 +11 063 00000000 7FFFFFFF 11 = 0000000F 11 +11 064 00000001 7FFFFFFF 00 = 0000000F 00 +11 065 00000001 7FFFFFFF 01 = 0000000F 01 +11 066 00000001 7FFFFFFF 10 = 0000000F 10 +11 067 00000001 7FFFFFFF 11 = 0000000F 11 +11 068 00000002 7FFFFFFF 00 = 0000000F 00 +11 069 00000002 7FFFFFFF 01 = 0000000F 01 +11 06A 00000002 7FFFFFFF 10 = 0000000F 10 +11 06B 00000002 7FFFFFFF 11 = 0000000F 11 +11 06C 7FFFFFFF 7FFFFFFF 00 = 0000000F 00 +11 06D 7FFFFFFF 7FFFFFFF 01 = 0000000F 01 +11 06E 7FFFFFFF 7FFFFFFF 10 = 0000000F 10 +11 06F 7FFFFFFF 7FFFFFFF 11 = 0000000F 11 +11 070 80000000 7FFFFFFF 00 = 0000000F 00 +11 071 80000000 7FFFFFFF 01 = 0000000F 01 +11 072 80000000 7FFFFFFF 10 = 0000000F 10 +11 073 80000000 7FFFFFFF 11 = 0000000F 11 +11 074 80000001 7FFFFFFF 00 = 0000000F 00 +11 075 80000001 7FFFFFFF 01 = 0000000F 01 +11 076 80000001 7FFFFFFF 10 = 0000000F 10 +11 077 80000001 7FFFFFFF 11 = 0000000F 11 +11 078 FFFFFFFE 7FFFFFFF 00 = 0000000F 00 +11 079 FFFFFFFE 7FFFFFFF 01 = 0000000F 01 +11 07A FFFFFFFE 7FFFFFFF 10 = 0000000F 10 +11 07B FFFFFFFE 7FFFFFFF 11 = 0000000F 11 +11 07C FFFFFFFF 7FFFFFFF 00 = 0000000F 00 +11 07D FFFFFFFF 7FFFFFFF 01 = 0000000F 01 +11 07E FFFFFFFF 7FFFFFFF 10 = 0000000F 10 +11 07F FFFFFFFF 7FFFFFFF 11 = 0000000F 11 +11 080 00000000 80000000 00 = 00000000 00 +11 081 00000000 80000000 01 = 00000000 01 +11 082 00000000 80000000 10 = 00000000 10 +11 083 00000000 80000000 11 = 00000000 11 +11 084 00000001 80000000 00 = 00000000 00 +11 085 00000001 80000000 01 = 00000000 01 +11 086 00000001 80000000 10 = 00000000 10 +11 087 00000001 80000000 11 = 00000000 11 +11 088 00000002 80000000 00 = 00000000 00 +11 089 00000002 80000000 01 = 00000000 01 +11 08A 00000002 80000000 10 = 00000000 10 +11 08B 00000002 80000000 11 = 00000000 11 +11 08C 7FFFFFFF 80000000 00 = 00000000 00 +11 08D 7FFFFFFF 80000000 01 = 00000000 01 +11 08E 7FFFFFFF 80000000 10 = 00000000 10 +11 08F 7FFFFFFF 80000000 11 = 00000000 11 +11 090 80000000 80000000 00 = 00000000 00 +11 091 80000000 80000000 01 = 00000000 01 +11 092 80000000 80000000 10 = 00000000 10 +11 093 80000000 80000000 11 = 00000000 11 +11 094 80000001 80000000 00 = 00000000 00 +11 095 80000001 80000000 01 = 00000000 01 +11 096 80000001 80000000 10 = 00000000 10 +11 097 80000001 80000000 11 = 00000000 11 +11 098 FFFFFFFE 80000000 00 = 00000000 00 +11 099 FFFFFFFE 80000000 01 = 00000000 01 +11 09A FFFFFFFE 80000000 10 = 00000000 10 +11 09B FFFFFFFE 80000000 11 = 00000000 11 +11 09C FFFFFFFF 80000000 00 = 00000000 00 +11 09D FFFFFFFF 80000000 01 = 00000000 01 +11 09E FFFFFFFF 80000000 10 = 00000000 10 +11 09F FFFFFFFF 80000000 11 = 00000000 11 +11 0A0 00000000 80000001 00 = 00000000 00 +11 0A1 00000000 80000001 01 = 00000000 01 +11 0A2 00000000 80000001 10 = 00000000 10 +11 0A3 00000000 80000001 11 = 00000000 11 +11 0A4 00000001 80000001 00 = 00000000 00 +11 0A5 00000001 80000001 01 = 00000000 01 +11 0A6 00000001 80000001 10 = 00000000 10 +11 0A7 00000001 80000001 11 = 00000000 11 +11 0A8 00000002 80000001 00 = 00000000 00 +11 0A9 00000002 80000001 01 = 00000000 01 +11 0AA 00000002 80000001 10 = 00000000 10 +11 0AB 00000002 80000001 11 = 00000000 11 +11 0AC 7FFFFFFF 80000001 00 = 00000000 00 +11 0AD 7FFFFFFF 80000001 01 = 00000000 01 +11 0AE 7FFFFFFF 80000001 10 = 00000000 10 +11 0AF 7FFFFFFF 80000001 11 = 00000000 11 +11 0B0 80000000 80000001 00 = 00000000 00 +11 0B1 80000000 80000001 01 = 00000000 01 +11 0B2 80000000 80000001 10 = 00000000 10 +11 0B3 80000000 80000001 11 = 00000000 11 +11 0B4 80000001 80000001 00 = 00000000 00 +11 0B5 80000001 80000001 01 = 00000000 01 +11 0B6 80000001 80000001 10 = 00000000 10 +11 0B7 80000001 80000001 11 = 00000000 11 +11 0B8 FFFFFFFE 80000001 00 = 00000000 00 +11 0B9 FFFFFFFE 80000001 01 = 00000000 01 +11 0BA FFFFFFFE 80000001 10 = 00000000 10 +11 0BB FFFFFFFE 80000001 11 = 00000000 11 +11 0BC FFFFFFFF 80000001 00 = 00000000 00 +11 0BD FFFFFFFF 80000001 01 = 00000000 01 +11 0BE FFFFFFFF 80000001 10 = 00000000 10 +11 0BF FFFFFFFF 80000001 11 = 00000000 11 +11 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +11 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +11 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +11 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +11 0C4 00000001 FFFFFFFE 00 = 0000000F 00 +11 0C5 00000001 FFFFFFFE 01 = 0000000F 01 +11 0C6 00000001 FFFFFFFE 10 = 0000000F 10 +11 0C7 00000001 FFFFFFFE 11 = 0000000F 11 +11 0C8 00000002 FFFFFFFE 00 = 0000000F 00 +11 0C9 00000002 FFFFFFFE 01 = 0000000F 01 +11 0CA 00000002 FFFFFFFE 10 = 0000000F 10 +11 0CB 00000002 FFFFFFFE 11 = 0000000F 11 +11 0CC 7FFFFFFF FFFFFFFE 00 = 0000000F 00 +11 0CD 7FFFFFFF FFFFFFFE 01 = 0000000F 01 +11 0CE 7FFFFFFF FFFFFFFE 10 = 0000000F 10 +11 0CF 7FFFFFFF FFFFFFFE 11 = 0000000F 11 +11 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +11 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +11 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +11 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +11 0D4 80000001 FFFFFFFE 00 = 0000000F 00 +11 0D5 80000001 FFFFFFFE 01 = 0000000F 01 +11 0D6 80000001 FFFFFFFE 10 = 0000000F 10 +11 0D7 80000001 FFFFFFFE 11 = 0000000F 11 +11 0D8 FFFFFFFE FFFFFFFE 00 = 0000000F 00 +11 0D9 FFFFFFFE FFFFFFFE 01 = 0000000F 01 +11 0DA FFFFFFFE FFFFFFFE 10 = 0000000F 10 +11 0DB FFFFFFFE FFFFFFFE 11 = 0000000F 11 +11 0DC FFFFFFFF FFFFFFFE 00 = 0000000F 00 +11 0DD FFFFFFFF FFFFFFFE 01 = 0000000F 01 +11 0DE FFFFFFFF FFFFFFFE 10 = 0000000F 10 +11 0DF FFFFFFFF FFFFFFFE 11 = 0000000F 11 +11 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +11 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +11 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +11 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +11 0E4 00000001 FFFFFFFF 00 = 0000000F 00 +11 0E5 00000001 FFFFFFFF 01 = 0000000F 01 +11 0E6 00000001 FFFFFFFF 10 = 0000000F 10 +11 0E7 00000001 FFFFFFFF 11 = 0000000F 11 +11 0E8 00000002 FFFFFFFF 00 = 0000000F 00 +11 0E9 00000002 FFFFFFFF 01 = 0000000F 01 +11 0EA 00000002 FFFFFFFF 10 = 0000000F 10 +11 0EB 00000002 FFFFFFFF 11 = 0000000F 11 +11 0EC 7FFFFFFF FFFFFFFF 00 = 0000000F 00 +11 0ED 7FFFFFFF FFFFFFFF 01 = 0000000F 01 +11 0EE 7FFFFFFF FFFFFFFF 10 = 0000000F 10 +11 0EF 7FFFFFFF FFFFFFFF 11 = 0000000F 11 +11 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +11 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +11 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +11 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +11 0F4 80000001 FFFFFFFF 00 = 0000000F 00 +11 0F5 80000001 FFFFFFFF 01 = 0000000F 01 +11 0F6 80000001 FFFFFFFF 10 = 0000000F 10 +11 0F7 80000001 FFFFFFFF 11 = 0000000F 11 +11 0F8 FFFFFFFE FFFFFFFF 00 = 0000000F 00 +11 0F9 FFFFFFFE FFFFFFFF 01 = 0000000F 01 +11 0FA FFFFFFFE FFFFFFFF 10 = 0000000F 10 +11 0FB FFFFFFFE FFFFFFFF 11 = 0000000F 11 +11 0FC FFFFFFFF FFFFFFFF 00 = 0000000F 00 +11 0FD FFFFFFFF FFFFFFFF 01 = 0000000F 01 +11 0FE FFFFFFFF FFFFFFFF 10 = 0000000F 10 +11 0FF FFFFFFFF FFFFFFFF 11 = 0000000F 11 +getnib2 ---D---- ---S---- CZ = ---Q---- CZ +12 000 00000000 00000000 00 = 00000000 00 +12 001 00000000 00000000 01 = 00000000 01 +12 002 00000000 00000000 10 = 00000000 10 +12 003 00000000 00000000 11 = 00000000 11 +12 004 00000001 00000000 00 = 00000000 00 +12 005 00000001 00000000 01 = 00000000 01 +12 006 00000001 00000000 10 = 00000000 10 +12 007 00000001 00000000 11 = 00000000 11 +12 008 00000002 00000000 00 = 00000000 00 +12 009 00000002 00000000 01 = 00000000 01 +12 00A 00000002 00000000 10 = 00000000 10 +12 00B 00000002 00000000 11 = 00000000 11 +12 00C 7FFFFFFF 00000000 00 = 00000000 00 +12 00D 7FFFFFFF 00000000 01 = 00000000 01 +12 00E 7FFFFFFF 00000000 10 = 00000000 10 +12 00F 7FFFFFFF 00000000 11 = 00000000 11 +12 010 80000000 00000000 00 = 00000000 00 +12 011 80000000 00000000 01 = 00000000 01 +12 012 80000000 00000000 10 = 00000000 10 +12 013 80000000 00000000 11 = 00000000 11 +12 014 80000001 00000000 00 = 00000000 00 +12 015 80000001 00000000 01 = 00000000 01 +12 016 80000001 00000000 10 = 00000000 10 +12 017 80000001 00000000 11 = 00000000 11 +12 018 FFFFFFFE 00000000 00 = 00000000 00 +12 019 FFFFFFFE 00000000 01 = 00000000 01 +12 01A FFFFFFFE 00000000 10 = 00000000 10 +12 01B FFFFFFFE 00000000 11 = 00000000 11 +12 01C FFFFFFFF 00000000 00 = 00000000 00 +12 01D FFFFFFFF 00000000 01 = 00000000 01 +12 01E FFFFFFFF 00000000 10 = 00000000 10 +12 01F FFFFFFFF 00000000 11 = 00000000 11 +12 020 00000000 00000001 00 = 00000000 00 +12 021 00000000 00000001 01 = 00000000 01 +12 022 00000000 00000001 10 = 00000000 10 +12 023 00000000 00000001 11 = 00000000 11 +12 024 00000001 00000001 00 = 00000000 00 +12 025 00000001 00000001 01 = 00000000 01 +12 026 00000001 00000001 10 = 00000000 10 +12 027 00000001 00000001 11 = 00000000 11 +12 028 00000002 00000001 00 = 00000000 00 +12 029 00000002 00000001 01 = 00000000 01 +12 02A 00000002 00000001 10 = 00000000 10 +12 02B 00000002 00000001 11 = 00000000 11 +12 02C 7FFFFFFF 00000001 00 = 00000000 00 +12 02D 7FFFFFFF 00000001 01 = 00000000 01 +12 02E 7FFFFFFF 00000001 10 = 00000000 10 +12 02F 7FFFFFFF 00000001 11 = 00000000 11 +12 030 80000000 00000001 00 = 00000000 00 +12 031 80000000 00000001 01 = 00000000 01 +12 032 80000000 00000001 10 = 00000000 10 +12 033 80000000 00000001 11 = 00000000 11 +12 034 80000001 00000001 00 = 00000000 00 +12 035 80000001 00000001 01 = 00000000 01 +12 036 80000001 00000001 10 = 00000000 10 +12 037 80000001 00000001 11 = 00000000 11 +12 038 FFFFFFFE 00000001 00 = 00000000 00 +12 039 FFFFFFFE 00000001 01 = 00000000 01 +12 03A FFFFFFFE 00000001 10 = 00000000 10 +12 03B FFFFFFFE 00000001 11 = 00000000 11 +12 03C FFFFFFFF 00000001 00 = 00000000 00 +12 03D FFFFFFFF 00000001 01 = 00000000 01 +12 03E FFFFFFFF 00000001 10 = 00000000 10 +12 03F FFFFFFFF 00000001 11 = 00000000 11 +12 040 00000000 00000002 00 = 00000000 00 +12 041 00000000 00000002 01 = 00000000 01 +12 042 00000000 00000002 10 = 00000000 10 +12 043 00000000 00000002 11 = 00000000 11 +12 044 00000001 00000002 00 = 00000000 00 +12 045 00000001 00000002 01 = 00000000 01 +12 046 00000001 00000002 10 = 00000000 10 +12 047 00000001 00000002 11 = 00000000 11 +12 048 00000002 00000002 00 = 00000000 00 +12 049 00000002 00000002 01 = 00000000 01 +12 04A 00000002 00000002 10 = 00000000 10 +12 04B 00000002 00000002 11 = 00000000 11 +12 04C 7FFFFFFF 00000002 00 = 00000000 00 +12 04D 7FFFFFFF 00000002 01 = 00000000 01 +12 04E 7FFFFFFF 00000002 10 = 00000000 10 +12 04F 7FFFFFFF 00000002 11 = 00000000 11 +12 050 80000000 00000002 00 = 00000000 00 +12 051 80000000 00000002 01 = 00000000 01 +12 052 80000000 00000002 10 = 00000000 10 +12 053 80000000 00000002 11 = 00000000 11 +12 054 80000001 00000002 00 = 00000000 00 +12 055 80000001 00000002 01 = 00000000 01 +12 056 80000001 00000002 10 = 00000000 10 +12 057 80000001 00000002 11 = 00000000 11 +12 058 FFFFFFFE 00000002 00 = 00000000 00 +12 059 FFFFFFFE 00000002 01 = 00000000 01 +12 05A FFFFFFFE 00000002 10 = 00000000 10 +12 05B FFFFFFFE 00000002 11 = 00000000 11 +12 05C FFFFFFFF 00000002 00 = 00000000 00 +12 05D FFFFFFFF 00000002 01 = 00000000 01 +12 05E FFFFFFFF 00000002 10 = 00000000 10 +12 05F FFFFFFFF 00000002 11 = 00000000 11 +12 060 00000000 7FFFFFFF 00 = 0000000F 00 +12 061 00000000 7FFFFFFF 01 = 0000000F 01 +12 062 00000000 7FFFFFFF 10 = 0000000F 10 +12 063 00000000 7FFFFFFF 11 = 0000000F 11 +12 064 00000001 7FFFFFFF 00 = 0000000F 00 +12 065 00000001 7FFFFFFF 01 = 0000000F 01 +12 066 00000001 7FFFFFFF 10 = 0000000F 10 +12 067 00000001 7FFFFFFF 11 = 0000000F 11 +12 068 00000002 7FFFFFFF 00 = 0000000F 00 +12 069 00000002 7FFFFFFF 01 = 0000000F 01 +12 06A 00000002 7FFFFFFF 10 = 0000000F 10 +12 06B 00000002 7FFFFFFF 11 = 0000000F 11 +12 06C 7FFFFFFF 7FFFFFFF 00 = 0000000F 00 +12 06D 7FFFFFFF 7FFFFFFF 01 = 0000000F 01 +12 06E 7FFFFFFF 7FFFFFFF 10 = 0000000F 10 +12 06F 7FFFFFFF 7FFFFFFF 11 = 0000000F 11 +12 070 80000000 7FFFFFFF 00 = 0000000F 00 +12 071 80000000 7FFFFFFF 01 = 0000000F 01 +12 072 80000000 7FFFFFFF 10 = 0000000F 10 +12 073 80000000 7FFFFFFF 11 = 0000000F 11 +12 074 80000001 7FFFFFFF 00 = 0000000F 00 +12 075 80000001 7FFFFFFF 01 = 0000000F 01 +12 076 80000001 7FFFFFFF 10 = 0000000F 10 +12 077 80000001 7FFFFFFF 11 = 0000000F 11 +12 078 FFFFFFFE 7FFFFFFF 00 = 0000000F 00 +12 079 FFFFFFFE 7FFFFFFF 01 = 0000000F 01 +12 07A FFFFFFFE 7FFFFFFF 10 = 0000000F 10 +12 07B FFFFFFFE 7FFFFFFF 11 = 0000000F 11 +12 07C FFFFFFFF 7FFFFFFF 00 = 0000000F 00 +12 07D FFFFFFFF 7FFFFFFF 01 = 0000000F 01 +12 07E FFFFFFFF 7FFFFFFF 10 = 0000000F 10 +12 07F FFFFFFFF 7FFFFFFF 11 = 0000000F 11 +12 080 00000000 80000000 00 = 00000000 00 +12 081 00000000 80000000 01 = 00000000 01 +12 082 00000000 80000000 10 = 00000000 10 +12 083 00000000 80000000 11 = 00000000 11 +12 084 00000001 80000000 00 = 00000000 00 +12 085 00000001 80000000 01 = 00000000 01 +12 086 00000001 80000000 10 = 00000000 10 +12 087 00000001 80000000 11 = 00000000 11 +12 088 00000002 80000000 00 = 00000000 00 +12 089 00000002 80000000 01 = 00000000 01 +12 08A 00000002 80000000 10 = 00000000 10 +12 08B 00000002 80000000 11 = 00000000 11 +12 08C 7FFFFFFF 80000000 00 = 00000000 00 +12 08D 7FFFFFFF 80000000 01 = 00000000 01 +12 08E 7FFFFFFF 80000000 10 = 00000000 10 +12 08F 7FFFFFFF 80000000 11 = 00000000 11 +12 090 80000000 80000000 00 = 00000000 00 +12 091 80000000 80000000 01 = 00000000 01 +12 092 80000000 80000000 10 = 00000000 10 +12 093 80000000 80000000 11 = 00000000 11 +12 094 80000001 80000000 00 = 00000000 00 +12 095 80000001 80000000 01 = 00000000 01 +12 096 80000001 80000000 10 = 00000000 10 +12 097 80000001 80000000 11 = 00000000 11 +12 098 FFFFFFFE 80000000 00 = 00000000 00 +12 099 FFFFFFFE 80000000 01 = 00000000 01 +12 09A FFFFFFFE 80000000 10 = 00000000 10 +12 09B FFFFFFFE 80000000 11 = 00000000 11 +12 09C FFFFFFFF 80000000 00 = 00000000 00 +12 09D FFFFFFFF 80000000 01 = 00000000 01 +12 09E FFFFFFFF 80000000 10 = 00000000 10 +12 09F FFFFFFFF 80000000 11 = 00000000 11 +12 0A0 00000000 80000001 00 = 00000000 00 +12 0A1 00000000 80000001 01 = 00000000 01 +12 0A2 00000000 80000001 10 = 00000000 10 +12 0A3 00000000 80000001 11 = 00000000 11 +12 0A4 00000001 80000001 00 = 00000000 00 +12 0A5 00000001 80000001 01 = 00000000 01 +12 0A6 00000001 80000001 10 = 00000000 10 +12 0A7 00000001 80000001 11 = 00000000 11 +12 0A8 00000002 80000001 00 = 00000000 00 +12 0A9 00000002 80000001 01 = 00000000 01 +12 0AA 00000002 80000001 10 = 00000000 10 +12 0AB 00000002 80000001 11 = 00000000 11 +12 0AC 7FFFFFFF 80000001 00 = 00000000 00 +12 0AD 7FFFFFFF 80000001 01 = 00000000 01 +12 0AE 7FFFFFFF 80000001 10 = 00000000 10 +12 0AF 7FFFFFFF 80000001 11 = 00000000 11 +12 0B0 80000000 80000001 00 = 00000000 00 +12 0B1 80000000 80000001 01 = 00000000 01 +12 0B2 80000000 80000001 10 = 00000000 10 +12 0B3 80000000 80000001 11 = 00000000 11 +12 0B4 80000001 80000001 00 = 00000000 00 +12 0B5 80000001 80000001 01 = 00000000 01 +12 0B6 80000001 80000001 10 = 00000000 10 +12 0B7 80000001 80000001 11 = 00000000 11 +12 0B8 FFFFFFFE 80000001 00 = 00000000 00 +12 0B9 FFFFFFFE 80000001 01 = 00000000 01 +12 0BA FFFFFFFE 80000001 10 = 00000000 10 +12 0BB FFFFFFFE 80000001 11 = 00000000 11 +12 0BC FFFFFFFF 80000001 00 = 00000000 00 +12 0BD FFFFFFFF 80000001 01 = 00000000 01 +12 0BE FFFFFFFF 80000001 10 = 00000000 10 +12 0BF FFFFFFFF 80000001 11 = 00000000 11 +12 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +12 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +12 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +12 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +12 0C4 00000001 FFFFFFFE 00 = 0000000F 00 +12 0C5 00000001 FFFFFFFE 01 = 0000000F 01 +12 0C6 00000001 FFFFFFFE 10 = 0000000F 10 +12 0C7 00000001 FFFFFFFE 11 = 0000000F 11 +12 0C8 00000002 FFFFFFFE 00 = 0000000F 00 +12 0C9 00000002 FFFFFFFE 01 = 0000000F 01 +12 0CA 00000002 FFFFFFFE 10 = 0000000F 10 +12 0CB 00000002 FFFFFFFE 11 = 0000000F 11 +12 0CC 7FFFFFFF FFFFFFFE 00 = 0000000F 00 +12 0CD 7FFFFFFF FFFFFFFE 01 = 0000000F 01 +12 0CE 7FFFFFFF FFFFFFFE 10 = 0000000F 10 +12 0CF 7FFFFFFF FFFFFFFE 11 = 0000000F 11 +12 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +12 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +12 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +12 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +12 0D4 80000001 FFFFFFFE 00 = 0000000F 00 +12 0D5 80000001 FFFFFFFE 01 = 0000000F 01 +12 0D6 80000001 FFFFFFFE 10 = 0000000F 10 +12 0D7 80000001 FFFFFFFE 11 = 0000000F 11 +12 0D8 FFFFFFFE FFFFFFFE 00 = 0000000F 00 +12 0D9 FFFFFFFE FFFFFFFE 01 = 0000000F 01 +12 0DA FFFFFFFE FFFFFFFE 10 = 0000000F 10 +12 0DB FFFFFFFE FFFFFFFE 11 = 0000000F 11 +12 0DC FFFFFFFF FFFFFFFE 00 = 0000000F 00 +12 0DD FFFFFFFF FFFFFFFE 01 = 0000000F 01 +12 0DE FFFFFFFF FFFFFFFE 10 = 0000000F 10 +12 0DF FFFFFFFF FFFFFFFE 11 = 0000000F 11 +12 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +12 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +12 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +12 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +12 0E4 00000001 FFFFFFFF 00 = 0000000F 00 +12 0E5 00000001 FFFFFFFF 01 = 0000000F 01 +12 0E6 00000001 FFFFFFFF 10 = 0000000F 10 +12 0E7 00000001 FFFFFFFF 11 = 0000000F 11 +12 0E8 00000002 FFFFFFFF 00 = 0000000F 00 +12 0E9 00000002 FFFFFFFF 01 = 0000000F 01 +12 0EA 00000002 FFFFFFFF 10 = 0000000F 10 +12 0EB 00000002 FFFFFFFF 11 = 0000000F 11 +12 0EC 7FFFFFFF FFFFFFFF 00 = 0000000F 00 +12 0ED 7FFFFFFF FFFFFFFF 01 = 0000000F 01 +12 0EE 7FFFFFFF FFFFFFFF 10 = 0000000F 10 +12 0EF 7FFFFFFF FFFFFFFF 11 = 0000000F 11 +12 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +12 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +12 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +12 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +12 0F4 80000001 FFFFFFFF 00 = 0000000F 00 +12 0F5 80000001 FFFFFFFF 01 = 0000000F 01 +12 0F6 80000001 FFFFFFFF 10 = 0000000F 10 +12 0F7 80000001 FFFFFFFF 11 = 0000000F 11 +12 0F8 FFFFFFFE FFFFFFFF 00 = 0000000F 00 +12 0F9 FFFFFFFE FFFFFFFF 01 = 0000000F 01 +12 0FA FFFFFFFE FFFFFFFF 10 = 0000000F 10 +12 0FB FFFFFFFE FFFFFFFF 11 = 0000000F 11 +12 0FC FFFFFFFF FFFFFFFF 00 = 0000000F 00 +12 0FD FFFFFFFF FFFFFFFF 01 = 0000000F 01 +12 0FE FFFFFFFF FFFFFFFF 10 = 0000000F 10 +12 0FF FFFFFFFF FFFFFFFF 11 = 0000000F 11 +getnib3 ---D---- ---S---- CZ = ---Q---- CZ +13 000 00000000 00000000 00 = 00000000 00 +13 001 00000000 00000000 01 = 00000000 01 +13 002 00000000 00000000 10 = 00000000 10 +13 003 00000000 00000000 11 = 00000000 11 +13 004 00000001 00000000 00 = 00000000 00 +13 005 00000001 00000000 01 = 00000000 01 +13 006 00000001 00000000 10 = 00000000 10 +13 007 00000001 00000000 11 = 00000000 11 +13 008 00000002 00000000 00 = 00000000 00 +13 009 00000002 00000000 01 = 00000000 01 +13 00A 00000002 00000000 10 = 00000000 10 +13 00B 00000002 00000000 11 = 00000000 11 +13 00C 7FFFFFFF 00000000 00 = 00000000 00 +13 00D 7FFFFFFF 00000000 01 = 00000000 01 +13 00E 7FFFFFFF 00000000 10 = 00000000 10 +13 00F 7FFFFFFF 00000000 11 = 00000000 11 +13 010 80000000 00000000 00 = 00000000 00 +13 011 80000000 00000000 01 = 00000000 01 +13 012 80000000 00000000 10 = 00000000 10 +13 013 80000000 00000000 11 = 00000000 11 +13 014 80000001 00000000 00 = 00000000 00 +13 015 80000001 00000000 01 = 00000000 01 +13 016 80000001 00000000 10 = 00000000 10 +13 017 80000001 00000000 11 = 00000000 11 +13 018 FFFFFFFE 00000000 00 = 00000000 00 +13 019 FFFFFFFE 00000000 01 = 00000000 01 +13 01A FFFFFFFE 00000000 10 = 00000000 10 +13 01B FFFFFFFE 00000000 11 = 00000000 11 +13 01C FFFFFFFF 00000000 00 = 00000000 00 +13 01D FFFFFFFF 00000000 01 = 00000000 01 +13 01E FFFFFFFF 00000000 10 = 00000000 10 +13 01F FFFFFFFF 00000000 11 = 00000000 11 +13 020 00000000 00000001 00 = 00000000 00 +13 021 00000000 00000001 01 = 00000000 01 +13 022 00000000 00000001 10 = 00000000 10 +13 023 00000000 00000001 11 = 00000000 11 +13 024 00000001 00000001 00 = 00000000 00 +13 025 00000001 00000001 01 = 00000000 01 +13 026 00000001 00000001 10 = 00000000 10 +13 027 00000001 00000001 11 = 00000000 11 +13 028 00000002 00000001 00 = 00000000 00 +13 029 00000002 00000001 01 = 00000000 01 +13 02A 00000002 00000001 10 = 00000000 10 +13 02B 00000002 00000001 11 = 00000000 11 +13 02C 7FFFFFFF 00000001 00 = 00000000 00 +13 02D 7FFFFFFF 00000001 01 = 00000000 01 +13 02E 7FFFFFFF 00000001 10 = 00000000 10 +13 02F 7FFFFFFF 00000001 11 = 00000000 11 +13 030 80000000 00000001 00 = 00000000 00 +13 031 80000000 00000001 01 = 00000000 01 +13 032 80000000 00000001 10 = 00000000 10 +13 033 80000000 00000001 11 = 00000000 11 +13 034 80000001 00000001 00 = 00000000 00 +13 035 80000001 00000001 01 = 00000000 01 +13 036 80000001 00000001 10 = 00000000 10 +13 037 80000001 00000001 11 = 00000000 11 +13 038 FFFFFFFE 00000001 00 = 00000000 00 +13 039 FFFFFFFE 00000001 01 = 00000000 01 +13 03A FFFFFFFE 00000001 10 = 00000000 10 +13 03B FFFFFFFE 00000001 11 = 00000000 11 +13 03C FFFFFFFF 00000001 00 = 00000000 00 +13 03D FFFFFFFF 00000001 01 = 00000000 01 +13 03E FFFFFFFF 00000001 10 = 00000000 10 +13 03F FFFFFFFF 00000001 11 = 00000000 11 +13 040 00000000 00000002 00 = 00000000 00 +13 041 00000000 00000002 01 = 00000000 01 +13 042 00000000 00000002 10 = 00000000 10 +13 043 00000000 00000002 11 = 00000000 11 +13 044 00000001 00000002 00 = 00000000 00 +13 045 00000001 00000002 01 = 00000000 01 +13 046 00000001 00000002 10 = 00000000 10 +13 047 00000001 00000002 11 = 00000000 11 +13 048 00000002 00000002 00 = 00000000 00 +13 049 00000002 00000002 01 = 00000000 01 +13 04A 00000002 00000002 10 = 00000000 10 +13 04B 00000002 00000002 11 = 00000000 11 +13 04C 7FFFFFFF 00000002 00 = 00000000 00 +13 04D 7FFFFFFF 00000002 01 = 00000000 01 +13 04E 7FFFFFFF 00000002 10 = 00000000 10 +13 04F 7FFFFFFF 00000002 11 = 00000000 11 +13 050 80000000 00000002 00 = 00000000 00 +13 051 80000000 00000002 01 = 00000000 01 +13 052 80000000 00000002 10 = 00000000 10 +13 053 80000000 00000002 11 = 00000000 11 +13 054 80000001 00000002 00 = 00000000 00 +13 055 80000001 00000002 01 = 00000000 01 +13 056 80000001 00000002 10 = 00000000 10 +13 057 80000001 00000002 11 = 00000000 11 +13 058 FFFFFFFE 00000002 00 = 00000000 00 +13 059 FFFFFFFE 00000002 01 = 00000000 01 +13 05A FFFFFFFE 00000002 10 = 00000000 10 +13 05B FFFFFFFE 00000002 11 = 00000000 11 +13 05C FFFFFFFF 00000002 00 = 00000000 00 +13 05D FFFFFFFF 00000002 01 = 00000000 01 +13 05E FFFFFFFF 00000002 10 = 00000000 10 +13 05F FFFFFFFF 00000002 11 = 00000000 11 +13 060 00000000 7FFFFFFF 00 = 0000000F 00 +13 061 00000000 7FFFFFFF 01 = 0000000F 01 +13 062 00000000 7FFFFFFF 10 = 0000000F 10 +13 063 00000000 7FFFFFFF 11 = 0000000F 11 +13 064 00000001 7FFFFFFF 00 = 0000000F 00 +13 065 00000001 7FFFFFFF 01 = 0000000F 01 +13 066 00000001 7FFFFFFF 10 = 0000000F 10 +13 067 00000001 7FFFFFFF 11 = 0000000F 11 +13 068 00000002 7FFFFFFF 00 = 0000000F 00 +13 069 00000002 7FFFFFFF 01 = 0000000F 01 +13 06A 00000002 7FFFFFFF 10 = 0000000F 10 +13 06B 00000002 7FFFFFFF 11 = 0000000F 11 +13 06C 7FFFFFFF 7FFFFFFF 00 = 0000000F 00 +13 06D 7FFFFFFF 7FFFFFFF 01 = 0000000F 01 +13 06E 7FFFFFFF 7FFFFFFF 10 = 0000000F 10 +13 06F 7FFFFFFF 7FFFFFFF 11 = 0000000F 11 +13 070 80000000 7FFFFFFF 00 = 0000000F 00 +13 071 80000000 7FFFFFFF 01 = 0000000F 01 +13 072 80000000 7FFFFFFF 10 = 0000000F 10 +13 073 80000000 7FFFFFFF 11 = 0000000F 11 +13 074 80000001 7FFFFFFF 00 = 0000000F 00 +13 075 80000001 7FFFFFFF 01 = 0000000F 01 +13 076 80000001 7FFFFFFF 10 = 0000000F 10 +13 077 80000001 7FFFFFFF 11 = 0000000F 11 +13 078 FFFFFFFE 7FFFFFFF 00 = 0000000F 00 +13 079 FFFFFFFE 7FFFFFFF 01 = 0000000F 01 +13 07A FFFFFFFE 7FFFFFFF 10 = 0000000F 10 +13 07B FFFFFFFE 7FFFFFFF 11 = 0000000F 11 +13 07C FFFFFFFF 7FFFFFFF 00 = 0000000F 00 +13 07D FFFFFFFF 7FFFFFFF 01 = 0000000F 01 +13 07E FFFFFFFF 7FFFFFFF 10 = 0000000F 10 +13 07F FFFFFFFF 7FFFFFFF 11 = 0000000F 11 +13 080 00000000 80000000 00 = 00000000 00 +13 081 00000000 80000000 01 = 00000000 01 +13 082 00000000 80000000 10 = 00000000 10 +13 083 00000000 80000000 11 = 00000000 11 +13 084 00000001 80000000 00 = 00000000 00 +13 085 00000001 80000000 01 = 00000000 01 +13 086 00000001 80000000 10 = 00000000 10 +13 087 00000001 80000000 11 = 00000000 11 +13 088 00000002 80000000 00 = 00000000 00 +13 089 00000002 80000000 01 = 00000000 01 +13 08A 00000002 80000000 10 = 00000000 10 +13 08B 00000002 80000000 11 = 00000000 11 +13 08C 7FFFFFFF 80000000 00 = 00000000 00 +13 08D 7FFFFFFF 80000000 01 = 00000000 01 +13 08E 7FFFFFFF 80000000 10 = 00000000 10 +13 08F 7FFFFFFF 80000000 11 = 00000000 11 +13 090 80000000 80000000 00 = 00000000 00 +13 091 80000000 80000000 01 = 00000000 01 +13 092 80000000 80000000 10 = 00000000 10 +13 093 80000000 80000000 11 = 00000000 11 +13 094 80000001 80000000 00 = 00000000 00 +13 095 80000001 80000000 01 = 00000000 01 +13 096 80000001 80000000 10 = 00000000 10 +13 097 80000001 80000000 11 = 00000000 11 +13 098 FFFFFFFE 80000000 00 = 00000000 00 +13 099 FFFFFFFE 80000000 01 = 00000000 01 +13 09A FFFFFFFE 80000000 10 = 00000000 10 +13 09B FFFFFFFE 80000000 11 = 00000000 11 +13 09C FFFFFFFF 80000000 00 = 00000000 00 +13 09D FFFFFFFF 80000000 01 = 00000000 01 +13 09E FFFFFFFF 80000000 10 = 00000000 10 +13 09F FFFFFFFF 80000000 11 = 00000000 11 +13 0A0 00000000 80000001 00 = 00000000 00 +13 0A1 00000000 80000001 01 = 00000000 01 +13 0A2 00000000 80000001 10 = 00000000 10 +13 0A3 00000000 80000001 11 = 00000000 11 +13 0A4 00000001 80000001 00 = 00000000 00 +13 0A5 00000001 80000001 01 = 00000000 01 +13 0A6 00000001 80000001 10 = 00000000 10 +13 0A7 00000001 80000001 11 = 00000000 11 +13 0A8 00000002 80000001 00 = 00000000 00 +13 0A9 00000002 80000001 01 = 00000000 01 +13 0AA 00000002 80000001 10 = 00000000 10 +13 0AB 00000002 80000001 11 = 00000000 11 +13 0AC 7FFFFFFF 80000001 00 = 00000000 00 +13 0AD 7FFFFFFF 80000001 01 = 00000000 01 +13 0AE 7FFFFFFF 80000001 10 = 00000000 10 +13 0AF 7FFFFFFF 80000001 11 = 00000000 11 +13 0B0 80000000 80000001 00 = 00000000 00 +13 0B1 80000000 80000001 01 = 00000000 01 +13 0B2 80000000 80000001 10 = 00000000 10 +13 0B3 80000000 80000001 11 = 00000000 11 +13 0B4 80000001 80000001 00 = 00000000 00 +13 0B5 80000001 80000001 01 = 00000000 01 +13 0B6 80000001 80000001 10 = 00000000 10 +13 0B7 80000001 80000001 11 = 00000000 11 +13 0B8 FFFFFFFE 80000001 00 = 00000000 00 +13 0B9 FFFFFFFE 80000001 01 = 00000000 01 +13 0BA FFFFFFFE 80000001 10 = 00000000 10 +13 0BB FFFFFFFE 80000001 11 = 00000000 11 +13 0BC FFFFFFFF 80000001 00 = 00000000 00 +13 0BD FFFFFFFF 80000001 01 = 00000000 01 +13 0BE FFFFFFFF 80000001 10 = 00000000 10 +13 0BF FFFFFFFF 80000001 11 = 00000000 11 +13 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +13 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +13 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +13 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +13 0C4 00000001 FFFFFFFE 00 = 0000000F 00 +13 0C5 00000001 FFFFFFFE 01 = 0000000F 01 +13 0C6 00000001 FFFFFFFE 10 = 0000000F 10 +13 0C7 00000001 FFFFFFFE 11 = 0000000F 11 +13 0C8 00000002 FFFFFFFE 00 = 0000000F 00 +13 0C9 00000002 FFFFFFFE 01 = 0000000F 01 +13 0CA 00000002 FFFFFFFE 10 = 0000000F 10 +13 0CB 00000002 FFFFFFFE 11 = 0000000F 11 +13 0CC 7FFFFFFF FFFFFFFE 00 = 0000000F 00 +13 0CD 7FFFFFFF FFFFFFFE 01 = 0000000F 01 +13 0CE 7FFFFFFF FFFFFFFE 10 = 0000000F 10 +13 0CF 7FFFFFFF FFFFFFFE 11 = 0000000F 11 +13 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +13 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +13 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +13 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +13 0D4 80000001 FFFFFFFE 00 = 0000000F 00 +13 0D5 80000001 FFFFFFFE 01 = 0000000F 01 +13 0D6 80000001 FFFFFFFE 10 = 0000000F 10 +13 0D7 80000001 FFFFFFFE 11 = 0000000F 11 +13 0D8 FFFFFFFE FFFFFFFE 00 = 0000000F 00 +13 0D9 FFFFFFFE FFFFFFFE 01 = 0000000F 01 +13 0DA FFFFFFFE FFFFFFFE 10 = 0000000F 10 +13 0DB FFFFFFFE FFFFFFFE 11 = 0000000F 11 +13 0DC FFFFFFFF FFFFFFFE 00 = 0000000F 00 +13 0DD FFFFFFFF FFFFFFFE 01 = 0000000F 01 +13 0DE FFFFFFFF FFFFFFFE 10 = 0000000F 10 +13 0DF FFFFFFFF FFFFFFFE 11 = 0000000F 11 +13 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +13 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +13 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +13 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +13 0E4 00000001 FFFFFFFF 00 = 0000000F 00 +13 0E5 00000001 FFFFFFFF 01 = 0000000F 01 +13 0E6 00000001 FFFFFFFF 10 = 0000000F 10 +13 0E7 00000001 FFFFFFFF 11 = 0000000F 11 +13 0E8 00000002 FFFFFFFF 00 = 0000000F 00 +13 0E9 00000002 FFFFFFFF 01 = 0000000F 01 +13 0EA 00000002 FFFFFFFF 10 = 0000000F 10 +13 0EB 00000002 FFFFFFFF 11 = 0000000F 11 +13 0EC 7FFFFFFF FFFFFFFF 00 = 0000000F 00 +13 0ED 7FFFFFFF FFFFFFFF 01 = 0000000F 01 +13 0EE 7FFFFFFF FFFFFFFF 10 = 0000000F 10 +13 0EF 7FFFFFFF FFFFFFFF 11 = 0000000F 11 +13 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +13 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +13 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +13 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +13 0F4 80000001 FFFFFFFF 00 = 0000000F 00 +13 0F5 80000001 FFFFFFFF 01 = 0000000F 01 +13 0F6 80000001 FFFFFFFF 10 = 0000000F 10 +13 0F7 80000001 FFFFFFFF 11 = 0000000F 11 +13 0F8 FFFFFFFE FFFFFFFF 00 = 0000000F 00 +13 0F9 FFFFFFFE FFFFFFFF 01 = 0000000F 01 +13 0FA FFFFFFFE FFFFFFFF 10 = 0000000F 10 +13 0FB FFFFFFFE FFFFFFFF 11 = 0000000F 11 +13 0FC FFFFFFFF FFFFFFFF 00 = 0000000F 00 +13 0FD FFFFFFFF FFFFFFFF 01 = 0000000F 01 +13 0FE FFFFFFFF FFFFFFFF 10 = 0000000F 10 +13 0FF FFFFFFFF FFFFFFFF 11 = 0000000F 11 +getnib4 ---D---- ---S---- CZ = ---Q---- CZ +14 000 00000000 00000000 00 = 00000000 00 +14 001 00000000 00000000 01 = 00000000 01 +14 002 00000000 00000000 10 = 00000000 10 +14 003 00000000 00000000 11 = 00000000 11 +14 004 00000001 00000000 00 = 00000000 00 +14 005 00000001 00000000 01 = 00000000 01 +14 006 00000001 00000000 10 = 00000000 10 +14 007 00000001 00000000 11 = 00000000 11 +14 008 00000002 00000000 00 = 00000000 00 +14 009 00000002 00000000 01 = 00000000 01 +14 00A 00000002 00000000 10 = 00000000 10 +14 00B 00000002 00000000 11 = 00000000 11 +14 00C 7FFFFFFF 00000000 00 = 00000000 00 +14 00D 7FFFFFFF 00000000 01 = 00000000 01 +14 00E 7FFFFFFF 00000000 10 = 00000000 10 +14 00F 7FFFFFFF 00000000 11 = 00000000 11 +14 010 80000000 00000000 00 = 00000000 00 +14 011 80000000 00000000 01 = 00000000 01 +14 012 80000000 00000000 10 = 00000000 10 +14 013 80000000 00000000 11 = 00000000 11 +14 014 80000001 00000000 00 = 00000000 00 +14 015 80000001 00000000 01 = 00000000 01 +14 016 80000001 00000000 10 = 00000000 10 +14 017 80000001 00000000 11 = 00000000 11 +14 018 FFFFFFFE 00000000 00 = 00000000 00 +14 019 FFFFFFFE 00000000 01 = 00000000 01 +14 01A FFFFFFFE 00000000 10 = 00000000 10 +14 01B FFFFFFFE 00000000 11 = 00000000 11 +14 01C FFFFFFFF 00000000 00 = 00000000 00 +14 01D FFFFFFFF 00000000 01 = 00000000 01 +14 01E FFFFFFFF 00000000 10 = 00000000 10 +14 01F FFFFFFFF 00000000 11 = 00000000 11 +14 020 00000000 00000001 00 = 00000000 00 +14 021 00000000 00000001 01 = 00000000 01 +14 022 00000000 00000001 10 = 00000000 10 +14 023 00000000 00000001 11 = 00000000 11 +14 024 00000001 00000001 00 = 00000000 00 +14 025 00000001 00000001 01 = 00000000 01 +14 026 00000001 00000001 10 = 00000000 10 +14 027 00000001 00000001 11 = 00000000 11 +14 028 00000002 00000001 00 = 00000000 00 +14 029 00000002 00000001 01 = 00000000 01 +14 02A 00000002 00000001 10 = 00000000 10 +14 02B 00000002 00000001 11 = 00000000 11 +14 02C 7FFFFFFF 00000001 00 = 00000000 00 +14 02D 7FFFFFFF 00000001 01 = 00000000 01 +14 02E 7FFFFFFF 00000001 10 = 00000000 10 +14 02F 7FFFFFFF 00000001 11 = 00000000 11 +14 030 80000000 00000001 00 = 00000000 00 +14 031 80000000 00000001 01 = 00000000 01 +14 032 80000000 00000001 10 = 00000000 10 +14 033 80000000 00000001 11 = 00000000 11 +14 034 80000001 00000001 00 = 00000000 00 +14 035 80000001 00000001 01 = 00000000 01 +14 036 80000001 00000001 10 = 00000000 10 +14 037 80000001 00000001 11 = 00000000 11 +14 038 FFFFFFFE 00000001 00 = 00000000 00 +14 039 FFFFFFFE 00000001 01 = 00000000 01 +14 03A FFFFFFFE 00000001 10 = 00000000 10 +14 03B FFFFFFFE 00000001 11 = 00000000 11 +14 03C FFFFFFFF 00000001 00 = 00000000 00 +14 03D FFFFFFFF 00000001 01 = 00000000 01 +14 03E FFFFFFFF 00000001 10 = 00000000 10 +14 03F FFFFFFFF 00000001 11 = 00000000 11 +14 040 00000000 00000002 00 = 00000000 00 +14 041 00000000 00000002 01 = 00000000 01 +14 042 00000000 00000002 10 = 00000000 10 +14 043 00000000 00000002 11 = 00000000 11 +14 044 00000001 00000002 00 = 00000000 00 +14 045 00000001 00000002 01 = 00000000 01 +14 046 00000001 00000002 10 = 00000000 10 +14 047 00000001 00000002 11 = 00000000 11 +14 048 00000002 00000002 00 = 00000000 00 +14 049 00000002 00000002 01 = 00000000 01 +14 04A 00000002 00000002 10 = 00000000 10 +14 04B 00000002 00000002 11 = 00000000 11 +14 04C 7FFFFFFF 00000002 00 = 00000000 00 +14 04D 7FFFFFFF 00000002 01 = 00000000 01 +14 04E 7FFFFFFF 00000002 10 = 00000000 10 +14 04F 7FFFFFFF 00000002 11 = 00000000 11 +14 050 80000000 00000002 00 = 00000000 00 +14 051 80000000 00000002 01 = 00000000 01 +14 052 80000000 00000002 10 = 00000000 10 +14 053 80000000 00000002 11 = 00000000 11 +14 054 80000001 00000002 00 = 00000000 00 +14 055 80000001 00000002 01 = 00000000 01 +14 056 80000001 00000002 10 = 00000000 10 +14 057 80000001 00000002 11 = 00000000 11 +14 058 FFFFFFFE 00000002 00 = 00000000 00 +14 059 FFFFFFFE 00000002 01 = 00000000 01 +14 05A FFFFFFFE 00000002 10 = 00000000 10 +14 05B FFFFFFFE 00000002 11 = 00000000 11 +14 05C FFFFFFFF 00000002 00 = 00000000 00 +14 05D FFFFFFFF 00000002 01 = 00000000 01 +14 05E FFFFFFFF 00000002 10 = 00000000 10 +14 05F FFFFFFFF 00000002 11 = 00000000 11 +14 060 00000000 7FFFFFFF 00 = 0000000F 00 +14 061 00000000 7FFFFFFF 01 = 0000000F 01 +14 062 00000000 7FFFFFFF 10 = 0000000F 10 +14 063 00000000 7FFFFFFF 11 = 0000000F 11 +14 064 00000001 7FFFFFFF 00 = 0000000F 00 +14 065 00000001 7FFFFFFF 01 = 0000000F 01 +14 066 00000001 7FFFFFFF 10 = 0000000F 10 +14 067 00000001 7FFFFFFF 11 = 0000000F 11 +14 068 00000002 7FFFFFFF 00 = 0000000F 00 +14 069 00000002 7FFFFFFF 01 = 0000000F 01 +14 06A 00000002 7FFFFFFF 10 = 0000000F 10 +14 06B 00000002 7FFFFFFF 11 = 0000000F 11 +14 06C 7FFFFFFF 7FFFFFFF 00 = 0000000F 00 +14 06D 7FFFFFFF 7FFFFFFF 01 = 0000000F 01 +14 06E 7FFFFFFF 7FFFFFFF 10 = 0000000F 10 +14 06F 7FFFFFFF 7FFFFFFF 11 = 0000000F 11 +14 070 80000000 7FFFFFFF 00 = 0000000F 00 +14 071 80000000 7FFFFFFF 01 = 0000000F 01 +14 072 80000000 7FFFFFFF 10 = 0000000F 10 +14 073 80000000 7FFFFFFF 11 = 0000000F 11 +14 074 80000001 7FFFFFFF 00 = 0000000F 00 +14 075 80000001 7FFFFFFF 01 = 0000000F 01 +14 076 80000001 7FFFFFFF 10 = 0000000F 10 +14 077 80000001 7FFFFFFF 11 = 0000000F 11 +14 078 FFFFFFFE 7FFFFFFF 00 = 0000000F 00 +14 079 FFFFFFFE 7FFFFFFF 01 = 0000000F 01 +14 07A FFFFFFFE 7FFFFFFF 10 = 0000000F 10 +14 07B FFFFFFFE 7FFFFFFF 11 = 0000000F 11 +14 07C FFFFFFFF 7FFFFFFF 00 = 0000000F 00 +14 07D FFFFFFFF 7FFFFFFF 01 = 0000000F 01 +14 07E FFFFFFFF 7FFFFFFF 10 = 0000000F 10 +14 07F FFFFFFFF 7FFFFFFF 11 = 0000000F 11 +14 080 00000000 80000000 00 = 00000000 00 +14 081 00000000 80000000 01 = 00000000 01 +14 082 00000000 80000000 10 = 00000000 10 +14 083 00000000 80000000 11 = 00000000 11 +14 084 00000001 80000000 00 = 00000000 00 +14 085 00000001 80000000 01 = 00000000 01 +14 086 00000001 80000000 10 = 00000000 10 +14 087 00000001 80000000 11 = 00000000 11 +14 088 00000002 80000000 00 = 00000000 00 +14 089 00000002 80000000 01 = 00000000 01 +14 08A 00000002 80000000 10 = 00000000 10 +14 08B 00000002 80000000 11 = 00000000 11 +14 08C 7FFFFFFF 80000000 00 = 00000000 00 +14 08D 7FFFFFFF 80000000 01 = 00000000 01 +14 08E 7FFFFFFF 80000000 10 = 00000000 10 +14 08F 7FFFFFFF 80000000 11 = 00000000 11 +14 090 80000000 80000000 00 = 00000000 00 +14 091 80000000 80000000 01 = 00000000 01 +14 092 80000000 80000000 10 = 00000000 10 +14 093 80000000 80000000 11 = 00000000 11 +14 094 80000001 80000000 00 = 00000000 00 +14 095 80000001 80000000 01 = 00000000 01 +14 096 80000001 80000000 10 = 00000000 10 +14 097 80000001 80000000 11 = 00000000 11 +14 098 FFFFFFFE 80000000 00 = 00000000 00 +14 099 FFFFFFFE 80000000 01 = 00000000 01 +14 09A FFFFFFFE 80000000 10 = 00000000 10 +14 09B FFFFFFFE 80000000 11 = 00000000 11 +14 09C FFFFFFFF 80000000 00 = 00000000 00 +14 09D FFFFFFFF 80000000 01 = 00000000 01 +14 09E FFFFFFFF 80000000 10 = 00000000 10 +14 09F FFFFFFFF 80000000 11 = 00000000 11 +14 0A0 00000000 80000001 00 = 00000000 00 +14 0A1 00000000 80000001 01 = 00000000 01 +14 0A2 00000000 80000001 10 = 00000000 10 +14 0A3 00000000 80000001 11 = 00000000 11 +14 0A4 00000001 80000001 00 = 00000000 00 +14 0A5 00000001 80000001 01 = 00000000 01 +14 0A6 00000001 80000001 10 = 00000000 10 +14 0A7 00000001 80000001 11 = 00000000 11 +14 0A8 00000002 80000001 00 = 00000000 00 +14 0A9 00000002 80000001 01 = 00000000 01 +14 0AA 00000002 80000001 10 = 00000000 10 +14 0AB 00000002 80000001 11 = 00000000 11 +14 0AC 7FFFFFFF 80000001 00 = 00000000 00 +14 0AD 7FFFFFFF 80000001 01 = 00000000 01 +14 0AE 7FFFFFFF 80000001 10 = 00000000 10 +14 0AF 7FFFFFFF 80000001 11 = 00000000 11 +14 0B0 80000000 80000001 00 = 00000000 00 +14 0B1 80000000 80000001 01 = 00000000 01 +14 0B2 80000000 80000001 10 = 00000000 10 +14 0B3 80000000 80000001 11 = 00000000 11 +14 0B4 80000001 80000001 00 = 00000000 00 +14 0B5 80000001 80000001 01 = 00000000 01 +14 0B6 80000001 80000001 10 = 00000000 10 +14 0B7 80000001 80000001 11 = 00000000 11 +14 0B8 FFFFFFFE 80000001 00 = 00000000 00 +14 0B9 FFFFFFFE 80000001 01 = 00000000 01 +14 0BA FFFFFFFE 80000001 10 = 00000000 10 +14 0BB FFFFFFFE 80000001 11 = 00000000 11 +14 0BC FFFFFFFF 80000001 00 = 00000000 00 +14 0BD FFFFFFFF 80000001 01 = 00000000 01 +14 0BE FFFFFFFF 80000001 10 = 00000000 10 +14 0BF FFFFFFFF 80000001 11 = 00000000 11 +14 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +14 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +14 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +14 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +14 0C4 00000001 FFFFFFFE 00 = 0000000F 00 +14 0C5 00000001 FFFFFFFE 01 = 0000000F 01 +14 0C6 00000001 FFFFFFFE 10 = 0000000F 10 +14 0C7 00000001 FFFFFFFE 11 = 0000000F 11 +14 0C8 00000002 FFFFFFFE 00 = 0000000F 00 +14 0C9 00000002 FFFFFFFE 01 = 0000000F 01 +14 0CA 00000002 FFFFFFFE 10 = 0000000F 10 +14 0CB 00000002 FFFFFFFE 11 = 0000000F 11 +14 0CC 7FFFFFFF FFFFFFFE 00 = 0000000F 00 +14 0CD 7FFFFFFF FFFFFFFE 01 = 0000000F 01 +14 0CE 7FFFFFFF FFFFFFFE 10 = 0000000F 10 +14 0CF 7FFFFFFF FFFFFFFE 11 = 0000000F 11 +14 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +14 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +14 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +14 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +14 0D4 80000001 FFFFFFFE 00 = 0000000F 00 +14 0D5 80000001 FFFFFFFE 01 = 0000000F 01 +14 0D6 80000001 FFFFFFFE 10 = 0000000F 10 +14 0D7 80000001 FFFFFFFE 11 = 0000000F 11 +14 0D8 FFFFFFFE FFFFFFFE 00 = 0000000F 00 +14 0D9 FFFFFFFE FFFFFFFE 01 = 0000000F 01 +14 0DA FFFFFFFE FFFFFFFE 10 = 0000000F 10 +14 0DB FFFFFFFE FFFFFFFE 11 = 0000000F 11 +14 0DC FFFFFFFF FFFFFFFE 00 = 0000000F 00 +14 0DD FFFFFFFF FFFFFFFE 01 = 0000000F 01 +14 0DE FFFFFFFF FFFFFFFE 10 = 0000000F 10 +14 0DF FFFFFFFF FFFFFFFE 11 = 0000000F 11 +14 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +14 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +14 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +14 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +14 0E4 00000001 FFFFFFFF 00 = 0000000F 00 +14 0E5 00000001 FFFFFFFF 01 = 0000000F 01 +14 0E6 00000001 FFFFFFFF 10 = 0000000F 10 +14 0E7 00000001 FFFFFFFF 11 = 0000000F 11 +14 0E8 00000002 FFFFFFFF 00 = 0000000F 00 +14 0E9 00000002 FFFFFFFF 01 = 0000000F 01 +14 0EA 00000002 FFFFFFFF 10 = 0000000F 10 +14 0EB 00000002 FFFFFFFF 11 = 0000000F 11 +14 0EC 7FFFFFFF FFFFFFFF 00 = 0000000F 00 +14 0ED 7FFFFFFF FFFFFFFF 01 = 0000000F 01 +14 0EE 7FFFFFFF FFFFFFFF 10 = 0000000F 10 +14 0EF 7FFFFFFF FFFFFFFF 11 = 0000000F 11 +14 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +14 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +14 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +14 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +14 0F4 80000001 FFFFFFFF 00 = 0000000F 00 +14 0F5 80000001 FFFFFFFF 01 = 0000000F 01 +14 0F6 80000001 FFFFFFFF 10 = 0000000F 10 +14 0F7 80000001 FFFFFFFF 11 = 0000000F 11 +14 0F8 FFFFFFFE FFFFFFFF 00 = 0000000F 00 +14 0F9 FFFFFFFE FFFFFFFF 01 = 0000000F 01 +14 0FA FFFFFFFE FFFFFFFF 10 = 0000000F 10 +14 0FB FFFFFFFE FFFFFFFF 11 = 0000000F 11 +14 0FC FFFFFFFF FFFFFFFF 00 = 0000000F 00 +14 0FD FFFFFFFF FFFFFFFF 01 = 0000000F 01 +14 0FE FFFFFFFF FFFFFFFF 10 = 0000000F 10 +14 0FF FFFFFFFF FFFFFFFF 11 = 0000000F 11 +getnib5 ---D---- ---S---- CZ = ---Q---- CZ +15 000 00000000 00000000 00 = 00000000 00 +15 001 00000000 00000000 01 = 00000000 01 +15 002 00000000 00000000 10 = 00000000 10 +15 003 00000000 00000000 11 = 00000000 11 +15 004 00000001 00000000 00 = 00000000 00 +15 005 00000001 00000000 01 = 00000000 01 +15 006 00000001 00000000 10 = 00000000 10 +15 007 00000001 00000000 11 = 00000000 11 +15 008 00000002 00000000 00 = 00000000 00 +15 009 00000002 00000000 01 = 00000000 01 +15 00A 00000002 00000000 10 = 00000000 10 +15 00B 00000002 00000000 11 = 00000000 11 +15 00C 7FFFFFFF 00000000 00 = 00000000 00 +15 00D 7FFFFFFF 00000000 01 = 00000000 01 +15 00E 7FFFFFFF 00000000 10 = 00000000 10 +15 00F 7FFFFFFF 00000000 11 = 00000000 11 +15 010 80000000 00000000 00 = 00000000 00 +15 011 80000000 00000000 01 = 00000000 01 +15 012 80000000 00000000 10 = 00000000 10 +15 013 80000000 00000000 11 = 00000000 11 +15 014 80000001 00000000 00 = 00000000 00 +15 015 80000001 00000000 01 = 00000000 01 +15 016 80000001 00000000 10 = 00000000 10 +15 017 80000001 00000000 11 = 00000000 11 +15 018 FFFFFFFE 00000000 00 = 00000000 00 +15 019 FFFFFFFE 00000000 01 = 00000000 01 +15 01A FFFFFFFE 00000000 10 = 00000000 10 +15 01B FFFFFFFE 00000000 11 = 00000000 11 +15 01C FFFFFFFF 00000000 00 = 00000000 00 +15 01D FFFFFFFF 00000000 01 = 00000000 01 +15 01E FFFFFFFF 00000000 10 = 00000000 10 +15 01F FFFFFFFF 00000000 11 = 00000000 11 +15 020 00000000 00000001 00 = 00000000 00 +15 021 00000000 00000001 01 = 00000000 01 +15 022 00000000 00000001 10 = 00000000 10 +15 023 00000000 00000001 11 = 00000000 11 +15 024 00000001 00000001 00 = 00000000 00 +15 025 00000001 00000001 01 = 00000000 01 +15 026 00000001 00000001 10 = 00000000 10 +15 027 00000001 00000001 11 = 00000000 11 +15 028 00000002 00000001 00 = 00000000 00 +15 029 00000002 00000001 01 = 00000000 01 +15 02A 00000002 00000001 10 = 00000000 10 +15 02B 00000002 00000001 11 = 00000000 11 +15 02C 7FFFFFFF 00000001 00 = 00000000 00 +15 02D 7FFFFFFF 00000001 01 = 00000000 01 +15 02E 7FFFFFFF 00000001 10 = 00000000 10 +15 02F 7FFFFFFF 00000001 11 = 00000000 11 +15 030 80000000 00000001 00 = 00000000 00 +15 031 80000000 00000001 01 = 00000000 01 +15 032 80000000 00000001 10 = 00000000 10 +15 033 80000000 00000001 11 = 00000000 11 +15 034 80000001 00000001 00 = 00000000 00 +15 035 80000001 00000001 01 = 00000000 01 +15 036 80000001 00000001 10 = 00000000 10 +15 037 80000001 00000001 11 = 00000000 11 +15 038 FFFFFFFE 00000001 00 = 00000000 00 +15 039 FFFFFFFE 00000001 01 = 00000000 01 +15 03A FFFFFFFE 00000001 10 = 00000000 10 +15 03B FFFFFFFE 00000001 11 = 00000000 11 +15 03C FFFFFFFF 00000001 00 = 00000000 00 +15 03D FFFFFFFF 00000001 01 = 00000000 01 +15 03E FFFFFFFF 00000001 10 = 00000000 10 +15 03F FFFFFFFF 00000001 11 = 00000000 11 +15 040 00000000 00000002 00 = 00000000 00 +15 041 00000000 00000002 01 = 00000000 01 +15 042 00000000 00000002 10 = 00000000 10 +15 043 00000000 00000002 11 = 00000000 11 +15 044 00000001 00000002 00 = 00000000 00 +15 045 00000001 00000002 01 = 00000000 01 +15 046 00000001 00000002 10 = 00000000 10 +15 047 00000001 00000002 11 = 00000000 11 +15 048 00000002 00000002 00 = 00000000 00 +15 049 00000002 00000002 01 = 00000000 01 +15 04A 00000002 00000002 10 = 00000000 10 +15 04B 00000002 00000002 11 = 00000000 11 +15 04C 7FFFFFFF 00000002 00 = 00000000 00 +15 04D 7FFFFFFF 00000002 01 = 00000000 01 +15 04E 7FFFFFFF 00000002 10 = 00000000 10 +15 04F 7FFFFFFF 00000002 11 = 00000000 11 +15 050 80000000 00000002 00 = 00000000 00 +15 051 80000000 00000002 01 = 00000000 01 +15 052 80000000 00000002 10 = 00000000 10 +15 053 80000000 00000002 11 = 00000000 11 +15 054 80000001 00000002 00 = 00000000 00 +15 055 80000001 00000002 01 = 00000000 01 +15 056 80000001 00000002 10 = 00000000 10 +15 057 80000001 00000002 11 = 00000000 11 +15 058 FFFFFFFE 00000002 00 = 00000000 00 +15 059 FFFFFFFE 00000002 01 = 00000000 01 +15 05A FFFFFFFE 00000002 10 = 00000000 10 +15 05B FFFFFFFE 00000002 11 = 00000000 11 +15 05C FFFFFFFF 00000002 00 = 00000000 00 +15 05D FFFFFFFF 00000002 01 = 00000000 01 +15 05E FFFFFFFF 00000002 10 = 00000000 10 +15 05F FFFFFFFF 00000002 11 = 00000000 11 +15 060 00000000 7FFFFFFF 00 = 0000000F 00 +15 061 00000000 7FFFFFFF 01 = 0000000F 01 +15 062 00000000 7FFFFFFF 10 = 0000000F 10 +15 063 00000000 7FFFFFFF 11 = 0000000F 11 +15 064 00000001 7FFFFFFF 00 = 0000000F 00 +15 065 00000001 7FFFFFFF 01 = 0000000F 01 +15 066 00000001 7FFFFFFF 10 = 0000000F 10 +15 067 00000001 7FFFFFFF 11 = 0000000F 11 +15 068 00000002 7FFFFFFF 00 = 0000000F 00 +15 069 00000002 7FFFFFFF 01 = 0000000F 01 +15 06A 00000002 7FFFFFFF 10 = 0000000F 10 +15 06B 00000002 7FFFFFFF 11 = 0000000F 11 +15 06C 7FFFFFFF 7FFFFFFF 00 = 0000000F 00 +15 06D 7FFFFFFF 7FFFFFFF 01 = 0000000F 01 +15 06E 7FFFFFFF 7FFFFFFF 10 = 0000000F 10 +15 06F 7FFFFFFF 7FFFFFFF 11 = 0000000F 11 +15 070 80000000 7FFFFFFF 00 = 0000000F 00 +15 071 80000000 7FFFFFFF 01 = 0000000F 01 +15 072 80000000 7FFFFFFF 10 = 0000000F 10 +15 073 80000000 7FFFFFFF 11 = 0000000F 11 +15 074 80000001 7FFFFFFF 00 = 0000000F 00 +15 075 80000001 7FFFFFFF 01 = 0000000F 01 +15 076 80000001 7FFFFFFF 10 = 0000000F 10 +15 077 80000001 7FFFFFFF 11 = 0000000F 11 +15 078 FFFFFFFE 7FFFFFFF 00 = 0000000F 00 +15 079 FFFFFFFE 7FFFFFFF 01 = 0000000F 01 +15 07A FFFFFFFE 7FFFFFFF 10 = 0000000F 10 +15 07B FFFFFFFE 7FFFFFFF 11 = 0000000F 11 +15 07C FFFFFFFF 7FFFFFFF 00 = 0000000F 00 +15 07D FFFFFFFF 7FFFFFFF 01 = 0000000F 01 +15 07E FFFFFFFF 7FFFFFFF 10 = 0000000F 10 +15 07F FFFFFFFF 7FFFFFFF 11 = 0000000F 11 +15 080 00000000 80000000 00 = 00000000 00 +15 081 00000000 80000000 01 = 00000000 01 +15 082 00000000 80000000 10 = 00000000 10 +15 083 00000000 80000000 11 = 00000000 11 +15 084 00000001 80000000 00 = 00000000 00 +15 085 00000001 80000000 01 = 00000000 01 +15 086 00000001 80000000 10 = 00000000 10 +15 087 00000001 80000000 11 = 00000000 11 +15 088 00000002 80000000 00 = 00000000 00 +15 089 00000002 80000000 01 = 00000000 01 +15 08A 00000002 80000000 10 = 00000000 10 +15 08B 00000002 80000000 11 = 00000000 11 +15 08C 7FFFFFFF 80000000 00 = 00000000 00 +15 08D 7FFFFFFF 80000000 01 = 00000000 01 +15 08E 7FFFFFFF 80000000 10 = 00000000 10 +15 08F 7FFFFFFF 80000000 11 = 00000000 11 +15 090 80000000 80000000 00 = 00000000 00 +15 091 80000000 80000000 01 = 00000000 01 +15 092 80000000 80000000 10 = 00000000 10 +15 093 80000000 80000000 11 = 00000000 11 +15 094 80000001 80000000 00 = 00000000 00 +15 095 80000001 80000000 01 = 00000000 01 +15 096 80000001 80000000 10 = 00000000 10 +15 097 80000001 80000000 11 = 00000000 11 +15 098 FFFFFFFE 80000000 00 = 00000000 00 +15 099 FFFFFFFE 80000000 01 = 00000000 01 +15 09A FFFFFFFE 80000000 10 = 00000000 10 +15 09B FFFFFFFE 80000000 11 = 00000000 11 +15 09C FFFFFFFF 80000000 00 = 00000000 00 +15 09D FFFFFFFF 80000000 01 = 00000000 01 +15 09E FFFFFFFF 80000000 10 = 00000000 10 +15 09F FFFFFFFF 80000000 11 = 00000000 11 +15 0A0 00000000 80000001 00 = 00000000 00 +15 0A1 00000000 80000001 01 = 00000000 01 +15 0A2 00000000 80000001 10 = 00000000 10 +15 0A3 00000000 80000001 11 = 00000000 11 +15 0A4 00000001 80000001 00 = 00000000 00 +15 0A5 00000001 80000001 01 = 00000000 01 +15 0A6 00000001 80000001 10 = 00000000 10 +15 0A7 00000001 80000001 11 = 00000000 11 +15 0A8 00000002 80000001 00 = 00000000 00 +15 0A9 00000002 80000001 01 = 00000000 01 +15 0AA 00000002 80000001 10 = 00000000 10 +15 0AB 00000002 80000001 11 = 00000000 11 +15 0AC 7FFFFFFF 80000001 00 = 00000000 00 +15 0AD 7FFFFFFF 80000001 01 = 00000000 01 +15 0AE 7FFFFFFF 80000001 10 = 00000000 10 +15 0AF 7FFFFFFF 80000001 11 = 00000000 11 +15 0B0 80000000 80000001 00 = 00000000 00 +15 0B1 80000000 80000001 01 = 00000000 01 +15 0B2 80000000 80000001 10 = 00000000 10 +15 0B3 80000000 80000001 11 = 00000000 11 +15 0B4 80000001 80000001 00 = 00000000 00 +15 0B5 80000001 80000001 01 = 00000000 01 +15 0B6 80000001 80000001 10 = 00000000 10 +15 0B7 80000001 80000001 11 = 00000000 11 +15 0B8 FFFFFFFE 80000001 00 = 00000000 00 +15 0B9 FFFFFFFE 80000001 01 = 00000000 01 +15 0BA FFFFFFFE 80000001 10 = 00000000 10 +15 0BB FFFFFFFE 80000001 11 = 00000000 11 +15 0BC FFFFFFFF 80000001 00 = 00000000 00 +15 0BD FFFFFFFF 80000001 01 = 00000000 01 +15 0BE FFFFFFFF 80000001 10 = 00000000 10 +15 0BF FFFFFFFF 80000001 11 = 00000000 11 +15 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +15 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +15 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +15 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +15 0C4 00000001 FFFFFFFE 00 = 0000000F 00 +15 0C5 00000001 FFFFFFFE 01 = 0000000F 01 +15 0C6 00000001 FFFFFFFE 10 = 0000000F 10 +15 0C7 00000001 FFFFFFFE 11 = 0000000F 11 +15 0C8 00000002 FFFFFFFE 00 = 0000000F 00 +15 0C9 00000002 FFFFFFFE 01 = 0000000F 01 +15 0CA 00000002 FFFFFFFE 10 = 0000000F 10 +15 0CB 00000002 FFFFFFFE 11 = 0000000F 11 +15 0CC 7FFFFFFF FFFFFFFE 00 = 0000000F 00 +15 0CD 7FFFFFFF FFFFFFFE 01 = 0000000F 01 +15 0CE 7FFFFFFF FFFFFFFE 10 = 0000000F 10 +15 0CF 7FFFFFFF FFFFFFFE 11 = 0000000F 11 +15 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +15 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +15 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +15 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +15 0D4 80000001 FFFFFFFE 00 = 0000000F 00 +15 0D5 80000001 FFFFFFFE 01 = 0000000F 01 +15 0D6 80000001 FFFFFFFE 10 = 0000000F 10 +15 0D7 80000001 FFFFFFFE 11 = 0000000F 11 +15 0D8 FFFFFFFE FFFFFFFE 00 = 0000000F 00 +15 0D9 FFFFFFFE FFFFFFFE 01 = 0000000F 01 +15 0DA FFFFFFFE FFFFFFFE 10 = 0000000F 10 +15 0DB FFFFFFFE FFFFFFFE 11 = 0000000F 11 +15 0DC FFFFFFFF FFFFFFFE 00 = 0000000F 00 +15 0DD FFFFFFFF FFFFFFFE 01 = 0000000F 01 +15 0DE FFFFFFFF FFFFFFFE 10 = 0000000F 10 +15 0DF FFFFFFFF FFFFFFFE 11 = 0000000F 11 +15 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +15 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +15 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +15 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +15 0E4 00000001 FFFFFFFF 00 = 0000000F 00 +15 0E5 00000001 FFFFFFFF 01 = 0000000F 01 +15 0E6 00000001 FFFFFFFF 10 = 0000000F 10 +15 0E7 00000001 FFFFFFFF 11 = 0000000F 11 +15 0E8 00000002 FFFFFFFF 00 = 0000000F 00 +15 0E9 00000002 FFFFFFFF 01 = 0000000F 01 +15 0EA 00000002 FFFFFFFF 10 = 0000000F 10 +15 0EB 00000002 FFFFFFFF 11 = 0000000F 11 +15 0EC 7FFFFFFF FFFFFFFF 00 = 0000000F 00 +15 0ED 7FFFFFFF FFFFFFFF 01 = 0000000F 01 +15 0EE 7FFFFFFF FFFFFFFF 10 = 0000000F 10 +15 0EF 7FFFFFFF FFFFFFFF 11 = 0000000F 11 +15 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +15 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +15 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +15 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +15 0F4 80000001 FFFFFFFF 00 = 0000000F 00 +15 0F5 80000001 FFFFFFFF 01 = 0000000F 01 +15 0F6 80000001 FFFFFFFF 10 = 0000000F 10 +15 0F7 80000001 FFFFFFFF 11 = 0000000F 11 +15 0F8 FFFFFFFE FFFFFFFF 00 = 0000000F 00 +15 0F9 FFFFFFFE FFFFFFFF 01 = 0000000F 01 +15 0FA FFFFFFFE FFFFFFFF 10 = 0000000F 10 +15 0FB FFFFFFFE FFFFFFFF 11 = 0000000F 11 +15 0FC FFFFFFFF FFFFFFFF 00 = 0000000F 00 +15 0FD FFFFFFFF FFFFFFFF 01 = 0000000F 01 +15 0FE FFFFFFFF FFFFFFFF 10 = 0000000F 10 +15 0FF FFFFFFFF FFFFFFFF 11 = 0000000F 11 +getnib6 ---D---- ---S---- CZ = ---Q---- CZ +16 000 00000000 00000000 00 = 00000000 00 +16 001 00000000 00000000 01 = 00000000 01 +16 002 00000000 00000000 10 = 00000000 10 +16 003 00000000 00000000 11 = 00000000 11 +16 004 00000001 00000000 00 = 00000000 00 +16 005 00000001 00000000 01 = 00000000 01 +16 006 00000001 00000000 10 = 00000000 10 +16 007 00000001 00000000 11 = 00000000 11 +16 008 00000002 00000000 00 = 00000000 00 +16 009 00000002 00000000 01 = 00000000 01 +16 00A 00000002 00000000 10 = 00000000 10 +16 00B 00000002 00000000 11 = 00000000 11 +16 00C 7FFFFFFF 00000000 00 = 00000000 00 +16 00D 7FFFFFFF 00000000 01 = 00000000 01 +16 00E 7FFFFFFF 00000000 10 = 00000000 10 +16 00F 7FFFFFFF 00000000 11 = 00000000 11 +16 010 80000000 00000000 00 = 00000000 00 +16 011 80000000 00000000 01 = 00000000 01 +16 012 80000000 00000000 10 = 00000000 10 +16 013 80000000 00000000 11 = 00000000 11 +16 014 80000001 00000000 00 = 00000000 00 +16 015 80000001 00000000 01 = 00000000 01 +16 016 80000001 00000000 10 = 00000000 10 +16 017 80000001 00000000 11 = 00000000 11 +16 018 FFFFFFFE 00000000 00 = 00000000 00 +16 019 FFFFFFFE 00000000 01 = 00000000 01 +16 01A FFFFFFFE 00000000 10 = 00000000 10 +16 01B FFFFFFFE 00000000 11 = 00000000 11 +16 01C FFFFFFFF 00000000 00 = 00000000 00 +16 01D FFFFFFFF 00000000 01 = 00000000 01 +16 01E FFFFFFFF 00000000 10 = 00000000 10 +16 01F FFFFFFFF 00000000 11 = 00000000 11 +16 020 00000000 00000001 00 = 00000000 00 +16 021 00000000 00000001 01 = 00000000 01 +16 022 00000000 00000001 10 = 00000000 10 +16 023 00000000 00000001 11 = 00000000 11 +16 024 00000001 00000001 00 = 00000000 00 +16 025 00000001 00000001 01 = 00000000 01 +16 026 00000001 00000001 10 = 00000000 10 +16 027 00000001 00000001 11 = 00000000 11 +16 028 00000002 00000001 00 = 00000000 00 +16 029 00000002 00000001 01 = 00000000 01 +16 02A 00000002 00000001 10 = 00000000 10 +16 02B 00000002 00000001 11 = 00000000 11 +16 02C 7FFFFFFF 00000001 00 = 00000000 00 +16 02D 7FFFFFFF 00000001 01 = 00000000 01 +16 02E 7FFFFFFF 00000001 10 = 00000000 10 +16 02F 7FFFFFFF 00000001 11 = 00000000 11 +16 030 80000000 00000001 00 = 00000000 00 +16 031 80000000 00000001 01 = 00000000 01 +16 032 80000000 00000001 10 = 00000000 10 +16 033 80000000 00000001 11 = 00000000 11 +16 034 80000001 00000001 00 = 00000000 00 +16 035 80000001 00000001 01 = 00000000 01 +16 036 80000001 00000001 10 = 00000000 10 +16 037 80000001 00000001 11 = 00000000 11 +16 038 FFFFFFFE 00000001 00 = 00000000 00 +16 039 FFFFFFFE 00000001 01 = 00000000 01 +16 03A FFFFFFFE 00000001 10 = 00000000 10 +16 03B FFFFFFFE 00000001 11 = 00000000 11 +16 03C FFFFFFFF 00000001 00 = 00000000 00 +16 03D FFFFFFFF 00000001 01 = 00000000 01 +16 03E FFFFFFFF 00000001 10 = 00000000 10 +16 03F FFFFFFFF 00000001 11 = 00000000 11 +16 040 00000000 00000002 00 = 00000000 00 +16 041 00000000 00000002 01 = 00000000 01 +16 042 00000000 00000002 10 = 00000000 10 +16 043 00000000 00000002 11 = 00000000 11 +16 044 00000001 00000002 00 = 00000000 00 +16 045 00000001 00000002 01 = 00000000 01 +16 046 00000001 00000002 10 = 00000000 10 +16 047 00000001 00000002 11 = 00000000 11 +16 048 00000002 00000002 00 = 00000000 00 +16 049 00000002 00000002 01 = 00000000 01 +16 04A 00000002 00000002 10 = 00000000 10 +16 04B 00000002 00000002 11 = 00000000 11 +16 04C 7FFFFFFF 00000002 00 = 00000000 00 +16 04D 7FFFFFFF 00000002 01 = 00000000 01 +16 04E 7FFFFFFF 00000002 10 = 00000000 10 +16 04F 7FFFFFFF 00000002 11 = 00000000 11 +16 050 80000000 00000002 00 = 00000000 00 +16 051 80000000 00000002 01 = 00000000 01 +16 052 80000000 00000002 10 = 00000000 10 +16 053 80000000 00000002 11 = 00000000 11 +16 054 80000001 00000002 00 = 00000000 00 +16 055 80000001 00000002 01 = 00000000 01 +16 056 80000001 00000002 10 = 00000000 10 +16 057 80000001 00000002 11 = 00000000 11 +16 058 FFFFFFFE 00000002 00 = 00000000 00 +16 059 FFFFFFFE 00000002 01 = 00000000 01 +16 05A FFFFFFFE 00000002 10 = 00000000 10 +16 05B FFFFFFFE 00000002 11 = 00000000 11 +16 05C FFFFFFFF 00000002 00 = 00000000 00 +16 05D FFFFFFFF 00000002 01 = 00000000 01 +16 05E FFFFFFFF 00000002 10 = 00000000 10 +16 05F FFFFFFFF 00000002 11 = 00000000 11 +16 060 00000000 7FFFFFFF 00 = 0000000F 00 +16 061 00000000 7FFFFFFF 01 = 0000000F 01 +16 062 00000000 7FFFFFFF 10 = 0000000F 10 +16 063 00000000 7FFFFFFF 11 = 0000000F 11 +16 064 00000001 7FFFFFFF 00 = 0000000F 00 +16 065 00000001 7FFFFFFF 01 = 0000000F 01 +16 066 00000001 7FFFFFFF 10 = 0000000F 10 +16 067 00000001 7FFFFFFF 11 = 0000000F 11 +16 068 00000002 7FFFFFFF 00 = 0000000F 00 +16 069 00000002 7FFFFFFF 01 = 0000000F 01 +16 06A 00000002 7FFFFFFF 10 = 0000000F 10 +16 06B 00000002 7FFFFFFF 11 = 0000000F 11 +16 06C 7FFFFFFF 7FFFFFFF 00 = 0000000F 00 +16 06D 7FFFFFFF 7FFFFFFF 01 = 0000000F 01 +16 06E 7FFFFFFF 7FFFFFFF 10 = 0000000F 10 +16 06F 7FFFFFFF 7FFFFFFF 11 = 0000000F 11 +16 070 80000000 7FFFFFFF 00 = 0000000F 00 +16 071 80000000 7FFFFFFF 01 = 0000000F 01 +16 072 80000000 7FFFFFFF 10 = 0000000F 10 +16 073 80000000 7FFFFFFF 11 = 0000000F 11 +16 074 80000001 7FFFFFFF 00 = 0000000F 00 +16 075 80000001 7FFFFFFF 01 = 0000000F 01 +16 076 80000001 7FFFFFFF 10 = 0000000F 10 +16 077 80000001 7FFFFFFF 11 = 0000000F 11 +16 078 FFFFFFFE 7FFFFFFF 00 = 0000000F 00 +16 079 FFFFFFFE 7FFFFFFF 01 = 0000000F 01 +16 07A FFFFFFFE 7FFFFFFF 10 = 0000000F 10 +16 07B FFFFFFFE 7FFFFFFF 11 = 0000000F 11 +16 07C FFFFFFFF 7FFFFFFF 00 = 0000000F 00 +16 07D FFFFFFFF 7FFFFFFF 01 = 0000000F 01 +16 07E FFFFFFFF 7FFFFFFF 10 = 0000000F 10 +16 07F FFFFFFFF 7FFFFFFF 11 = 0000000F 11 +16 080 00000000 80000000 00 = 00000000 00 +16 081 00000000 80000000 01 = 00000000 01 +16 082 00000000 80000000 10 = 00000000 10 +16 083 00000000 80000000 11 = 00000000 11 +16 084 00000001 80000000 00 = 00000000 00 +16 085 00000001 80000000 01 = 00000000 01 +16 086 00000001 80000000 10 = 00000000 10 +16 087 00000001 80000000 11 = 00000000 11 +16 088 00000002 80000000 00 = 00000000 00 +16 089 00000002 80000000 01 = 00000000 01 +16 08A 00000002 80000000 10 = 00000000 10 +16 08B 00000002 80000000 11 = 00000000 11 +16 08C 7FFFFFFF 80000000 00 = 00000000 00 +16 08D 7FFFFFFF 80000000 01 = 00000000 01 +16 08E 7FFFFFFF 80000000 10 = 00000000 10 +16 08F 7FFFFFFF 80000000 11 = 00000000 11 +16 090 80000000 80000000 00 = 00000000 00 +16 091 80000000 80000000 01 = 00000000 01 +16 092 80000000 80000000 10 = 00000000 10 +16 093 80000000 80000000 11 = 00000000 11 +16 094 80000001 80000000 00 = 00000000 00 +16 095 80000001 80000000 01 = 00000000 01 +16 096 80000001 80000000 10 = 00000000 10 +16 097 80000001 80000000 11 = 00000000 11 +16 098 FFFFFFFE 80000000 00 = 00000000 00 +16 099 FFFFFFFE 80000000 01 = 00000000 01 +16 09A FFFFFFFE 80000000 10 = 00000000 10 +16 09B FFFFFFFE 80000000 11 = 00000000 11 +16 09C FFFFFFFF 80000000 00 = 00000000 00 +16 09D FFFFFFFF 80000000 01 = 00000000 01 +16 09E FFFFFFFF 80000000 10 = 00000000 10 +16 09F FFFFFFFF 80000000 11 = 00000000 11 +16 0A0 00000000 80000001 00 = 00000000 00 +16 0A1 00000000 80000001 01 = 00000000 01 +16 0A2 00000000 80000001 10 = 00000000 10 +16 0A3 00000000 80000001 11 = 00000000 11 +16 0A4 00000001 80000001 00 = 00000000 00 +16 0A5 00000001 80000001 01 = 00000000 01 +16 0A6 00000001 80000001 10 = 00000000 10 +16 0A7 00000001 80000001 11 = 00000000 11 +16 0A8 00000002 80000001 00 = 00000000 00 +16 0A9 00000002 80000001 01 = 00000000 01 +16 0AA 00000002 80000001 10 = 00000000 10 +16 0AB 00000002 80000001 11 = 00000000 11 +16 0AC 7FFFFFFF 80000001 00 = 00000000 00 +16 0AD 7FFFFFFF 80000001 01 = 00000000 01 +16 0AE 7FFFFFFF 80000001 10 = 00000000 10 +16 0AF 7FFFFFFF 80000001 11 = 00000000 11 +16 0B0 80000000 80000001 00 = 00000000 00 +16 0B1 80000000 80000001 01 = 00000000 01 +16 0B2 80000000 80000001 10 = 00000000 10 +16 0B3 80000000 80000001 11 = 00000000 11 +16 0B4 80000001 80000001 00 = 00000000 00 +16 0B5 80000001 80000001 01 = 00000000 01 +16 0B6 80000001 80000001 10 = 00000000 10 +16 0B7 80000001 80000001 11 = 00000000 11 +16 0B8 FFFFFFFE 80000001 00 = 00000000 00 +16 0B9 FFFFFFFE 80000001 01 = 00000000 01 +16 0BA FFFFFFFE 80000001 10 = 00000000 10 +16 0BB FFFFFFFE 80000001 11 = 00000000 11 +16 0BC FFFFFFFF 80000001 00 = 00000000 00 +16 0BD FFFFFFFF 80000001 01 = 00000000 01 +16 0BE FFFFFFFF 80000001 10 = 00000000 10 +16 0BF FFFFFFFF 80000001 11 = 00000000 11 +16 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +16 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +16 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +16 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +16 0C4 00000001 FFFFFFFE 00 = 0000000F 00 +16 0C5 00000001 FFFFFFFE 01 = 0000000F 01 +16 0C6 00000001 FFFFFFFE 10 = 0000000F 10 +16 0C7 00000001 FFFFFFFE 11 = 0000000F 11 +16 0C8 00000002 FFFFFFFE 00 = 0000000F 00 +16 0C9 00000002 FFFFFFFE 01 = 0000000F 01 +16 0CA 00000002 FFFFFFFE 10 = 0000000F 10 +16 0CB 00000002 FFFFFFFE 11 = 0000000F 11 +16 0CC 7FFFFFFF FFFFFFFE 00 = 0000000F 00 +16 0CD 7FFFFFFF FFFFFFFE 01 = 0000000F 01 +16 0CE 7FFFFFFF FFFFFFFE 10 = 0000000F 10 +16 0CF 7FFFFFFF FFFFFFFE 11 = 0000000F 11 +16 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +16 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +16 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +16 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +16 0D4 80000001 FFFFFFFE 00 = 0000000F 00 +16 0D5 80000001 FFFFFFFE 01 = 0000000F 01 +16 0D6 80000001 FFFFFFFE 10 = 0000000F 10 +16 0D7 80000001 FFFFFFFE 11 = 0000000F 11 +16 0D8 FFFFFFFE FFFFFFFE 00 = 0000000F 00 +16 0D9 FFFFFFFE FFFFFFFE 01 = 0000000F 01 +16 0DA FFFFFFFE FFFFFFFE 10 = 0000000F 10 +16 0DB FFFFFFFE FFFFFFFE 11 = 0000000F 11 +16 0DC FFFFFFFF FFFFFFFE 00 = 0000000F 00 +16 0DD FFFFFFFF FFFFFFFE 01 = 0000000F 01 +16 0DE FFFFFFFF FFFFFFFE 10 = 0000000F 10 +16 0DF FFFFFFFF FFFFFFFE 11 = 0000000F 11 +16 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +16 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +16 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +16 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +16 0E4 00000001 FFFFFFFF 00 = 0000000F 00 +16 0E5 00000001 FFFFFFFF 01 = 0000000F 01 +16 0E6 00000001 FFFFFFFF 10 = 0000000F 10 +16 0E7 00000001 FFFFFFFF 11 = 0000000F 11 +16 0E8 00000002 FFFFFFFF 00 = 0000000F 00 +16 0E9 00000002 FFFFFFFF 01 = 0000000F 01 +16 0EA 00000002 FFFFFFFF 10 = 0000000F 10 +16 0EB 00000002 FFFFFFFF 11 = 0000000F 11 +16 0EC 7FFFFFFF FFFFFFFF 00 = 0000000F 00 +16 0ED 7FFFFFFF FFFFFFFF 01 = 0000000F 01 +16 0EE 7FFFFFFF FFFFFFFF 10 = 0000000F 10 +16 0EF 7FFFFFFF FFFFFFFF 11 = 0000000F 11 +16 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +16 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +16 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +16 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +16 0F4 80000001 FFFFFFFF 00 = 0000000F 00 +16 0F5 80000001 FFFFFFFF 01 = 0000000F 01 +16 0F6 80000001 FFFFFFFF 10 = 0000000F 10 +16 0F7 80000001 FFFFFFFF 11 = 0000000F 11 +16 0F8 FFFFFFFE FFFFFFFF 00 = 0000000F 00 +16 0F9 FFFFFFFE FFFFFFFF 01 = 0000000F 01 +16 0FA FFFFFFFE FFFFFFFF 10 = 0000000F 10 +16 0FB FFFFFFFE FFFFFFFF 11 = 0000000F 11 +16 0FC FFFFFFFF FFFFFFFF 00 = 0000000F 00 +16 0FD FFFFFFFF FFFFFFFF 01 = 0000000F 01 +16 0FE FFFFFFFF FFFFFFFF 10 = 0000000F 10 +16 0FF FFFFFFFF FFFFFFFF 11 = 0000000F 11 +getnib7 ---D---- ---S---- CZ = ---Q---- CZ +17 000 00000000 00000000 00 = 00000000 00 +17 001 00000000 00000000 01 = 00000000 01 +17 002 00000000 00000000 10 = 00000000 10 +17 003 00000000 00000000 11 = 00000000 11 +17 004 00000001 00000000 00 = 00000000 00 +17 005 00000001 00000000 01 = 00000000 01 +17 006 00000001 00000000 10 = 00000000 10 +17 007 00000001 00000000 11 = 00000000 11 +17 008 00000002 00000000 00 = 00000000 00 +17 009 00000002 00000000 01 = 00000000 01 +17 00A 00000002 00000000 10 = 00000000 10 +17 00B 00000002 00000000 11 = 00000000 11 +17 00C 7FFFFFFF 00000000 00 = 00000000 00 +17 00D 7FFFFFFF 00000000 01 = 00000000 01 +17 00E 7FFFFFFF 00000000 10 = 00000000 10 +17 00F 7FFFFFFF 00000000 11 = 00000000 11 +17 010 80000000 00000000 00 = 00000000 00 +17 011 80000000 00000000 01 = 00000000 01 +17 012 80000000 00000000 10 = 00000000 10 +17 013 80000000 00000000 11 = 00000000 11 +17 014 80000001 00000000 00 = 00000000 00 +17 015 80000001 00000000 01 = 00000000 01 +17 016 80000001 00000000 10 = 00000000 10 +17 017 80000001 00000000 11 = 00000000 11 +17 018 FFFFFFFE 00000000 00 = 00000000 00 +17 019 FFFFFFFE 00000000 01 = 00000000 01 +17 01A FFFFFFFE 00000000 10 = 00000000 10 +17 01B FFFFFFFE 00000000 11 = 00000000 11 +17 01C FFFFFFFF 00000000 00 = 00000000 00 +17 01D FFFFFFFF 00000000 01 = 00000000 01 +17 01E FFFFFFFF 00000000 10 = 00000000 10 +17 01F FFFFFFFF 00000000 11 = 00000000 11 +17 020 00000000 00000001 00 = 00000000 00 +17 021 00000000 00000001 01 = 00000000 01 +17 022 00000000 00000001 10 = 00000000 10 +17 023 00000000 00000001 11 = 00000000 11 +17 024 00000001 00000001 00 = 00000000 00 +17 025 00000001 00000001 01 = 00000000 01 +17 026 00000001 00000001 10 = 00000000 10 +17 027 00000001 00000001 11 = 00000000 11 +17 028 00000002 00000001 00 = 00000000 00 +17 029 00000002 00000001 01 = 00000000 01 +17 02A 00000002 00000001 10 = 00000000 10 +17 02B 00000002 00000001 11 = 00000000 11 +17 02C 7FFFFFFF 00000001 00 = 00000000 00 +17 02D 7FFFFFFF 00000001 01 = 00000000 01 +17 02E 7FFFFFFF 00000001 10 = 00000000 10 +17 02F 7FFFFFFF 00000001 11 = 00000000 11 +17 030 80000000 00000001 00 = 00000000 00 +17 031 80000000 00000001 01 = 00000000 01 +17 032 80000000 00000001 10 = 00000000 10 +17 033 80000000 00000001 11 = 00000000 11 +17 034 80000001 00000001 00 = 00000000 00 +17 035 80000001 00000001 01 = 00000000 01 +17 036 80000001 00000001 10 = 00000000 10 +17 037 80000001 00000001 11 = 00000000 11 +17 038 FFFFFFFE 00000001 00 = 00000000 00 +17 039 FFFFFFFE 00000001 01 = 00000000 01 +17 03A FFFFFFFE 00000001 10 = 00000000 10 +17 03B FFFFFFFE 00000001 11 = 00000000 11 +17 03C FFFFFFFF 00000001 00 = 00000000 00 +17 03D FFFFFFFF 00000001 01 = 00000000 01 +17 03E FFFFFFFF 00000001 10 = 00000000 10 +17 03F FFFFFFFF 00000001 11 = 00000000 11 +17 040 00000000 00000002 00 = 00000000 00 +17 041 00000000 00000002 01 = 00000000 01 +17 042 00000000 00000002 10 = 00000000 10 +17 043 00000000 00000002 11 = 00000000 11 +17 044 00000001 00000002 00 = 00000000 00 +17 045 00000001 00000002 01 = 00000000 01 +17 046 00000001 00000002 10 = 00000000 10 +17 047 00000001 00000002 11 = 00000000 11 +17 048 00000002 00000002 00 = 00000000 00 +17 049 00000002 00000002 01 = 00000000 01 +17 04A 00000002 00000002 10 = 00000000 10 +17 04B 00000002 00000002 11 = 00000000 11 +17 04C 7FFFFFFF 00000002 00 = 00000000 00 +17 04D 7FFFFFFF 00000002 01 = 00000000 01 +17 04E 7FFFFFFF 00000002 10 = 00000000 10 +17 04F 7FFFFFFF 00000002 11 = 00000000 11 +17 050 80000000 00000002 00 = 00000000 00 +17 051 80000000 00000002 01 = 00000000 01 +17 052 80000000 00000002 10 = 00000000 10 +17 053 80000000 00000002 11 = 00000000 11 +17 054 80000001 00000002 00 = 00000000 00 +17 055 80000001 00000002 01 = 00000000 01 +17 056 80000001 00000002 10 = 00000000 10 +17 057 80000001 00000002 11 = 00000000 11 +17 058 FFFFFFFE 00000002 00 = 00000000 00 +17 059 FFFFFFFE 00000002 01 = 00000000 01 +17 05A FFFFFFFE 00000002 10 = 00000000 10 +17 05B FFFFFFFE 00000002 11 = 00000000 11 +17 05C FFFFFFFF 00000002 00 = 00000000 00 +17 05D FFFFFFFF 00000002 01 = 00000000 01 +17 05E FFFFFFFF 00000002 10 = 00000000 10 +17 05F FFFFFFFF 00000002 11 = 00000000 11 +17 060 00000000 7FFFFFFF 00 = 00000007 00 +17 061 00000000 7FFFFFFF 01 = 00000007 01 +17 062 00000000 7FFFFFFF 10 = 00000007 10 +17 063 00000000 7FFFFFFF 11 = 00000007 11 +17 064 00000001 7FFFFFFF 00 = 00000007 00 +17 065 00000001 7FFFFFFF 01 = 00000007 01 +17 066 00000001 7FFFFFFF 10 = 00000007 10 +17 067 00000001 7FFFFFFF 11 = 00000007 11 +17 068 00000002 7FFFFFFF 00 = 00000007 00 +17 069 00000002 7FFFFFFF 01 = 00000007 01 +17 06A 00000002 7FFFFFFF 10 = 00000007 10 +17 06B 00000002 7FFFFFFF 11 = 00000007 11 +17 06C 7FFFFFFF 7FFFFFFF 00 = 00000007 00 +17 06D 7FFFFFFF 7FFFFFFF 01 = 00000007 01 +17 06E 7FFFFFFF 7FFFFFFF 10 = 00000007 10 +17 06F 7FFFFFFF 7FFFFFFF 11 = 00000007 11 +17 070 80000000 7FFFFFFF 00 = 00000007 00 +17 071 80000000 7FFFFFFF 01 = 00000007 01 +17 072 80000000 7FFFFFFF 10 = 00000007 10 +17 073 80000000 7FFFFFFF 11 = 00000007 11 +17 074 80000001 7FFFFFFF 00 = 00000007 00 +17 075 80000001 7FFFFFFF 01 = 00000007 01 +17 076 80000001 7FFFFFFF 10 = 00000007 10 +17 077 80000001 7FFFFFFF 11 = 00000007 11 +17 078 FFFFFFFE 7FFFFFFF 00 = 00000007 00 +17 079 FFFFFFFE 7FFFFFFF 01 = 00000007 01 +17 07A FFFFFFFE 7FFFFFFF 10 = 00000007 10 +17 07B FFFFFFFE 7FFFFFFF 11 = 00000007 11 +17 07C FFFFFFFF 7FFFFFFF 00 = 00000007 00 +17 07D FFFFFFFF 7FFFFFFF 01 = 00000007 01 +17 07E FFFFFFFF 7FFFFFFF 10 = 00000007 10 +17 07F FFFFFFFF 7FFFFFFF 11 = 00000007 11 +17 080 00000000 80000000 00 = 00000008 00 +17 081 00000000 80000000 01 = 00000008 01 +17 082 00000000 80000000 10 = 00000008 10 +17 083 00000000 80000000 11 = 00000008 11 +17 084 00000001 80000000 00 = 00000008 00 +17 085 00000001 80000000 01 = 00000008 01 +17 086 00000001 80000000 10 = 00000008 10 +17 087 00000001 80000000 11 = 00000008 11 +17 088 00000002 80000000 00 = 00000008 00 +17 089 00000002 80000000 01 = 00000008 01 +17 08A 00000002 80000000 10 = 00000008 10 +17 08B 00000002 80000000 11 = 00000008 11 +17 08C 7FFFFFFF 80000000 00 = 00000008 00 +17 08D 7FFFFFFF 80000000 01 = 00000008 01 +17 08E 7FFFFFFF 80000000 10 = 00000008 10 +17 08F 7FFFFFFF 80000000 11 = 00000008 11 +17 090 80000000 80000000 00 = 00000008 00 +17 091 80000000 80000000 01 = 00000008 01 +17 092 80000000 80000000 10 = 00000008 10 +17 093 80000000 80000000 11 = 00000008 11 +17 094 80000001 80000000 00 = 00000008 00 +17 095 80000001 80000000 01 = 00000008 01 +17 096 80000001 80000000 10 = 00000008 10 +17 097 80000001 80000000 11 = 00000008 11 +17 098 FFFFFFFE 80000000 00 = 00000008 00 +17 099 FFFFFFFE 80000000 01 = 00000008 01 +17 09A FFFFFFFE 80000000 10 = 00000008 10 +17 09B FFFFFFFE 80000000 11 = 00000008 11 +17 09C FFFFFFFF 80000000 00 = 00000008 00 +17 09D FFFFFFFF 80000000 01 = 00000008 01 +17 09E FFFFFFFF 80000000 10 = 00000008 10 +17 09F FFFFFFFF 80000000 11 = 00000008 11 +17 0A0 00000000 80000001 00 = 00000008 00 +17 0A1 00000000 80000001 01 = 00000008 01 +17 0A2 00000000 80000001 10 = 00000008 10 +17 0A3 00000000 80000001 11 = 00000008 11 +17 0A4 00000001 80000001 00 = 00000008 00 +17 0A5 00000001 80000001 01 = 00000008 01 +17 0A6 00000001 80000001 10 = 00000008 10 +17 0A7 00000001 80000001 11 = 00000008 11 +17 0A8 00000002 80000001 00 = 00000008 00 +17 0A9 00000002 80000001 01 = 00000008 01 +17 0AA 00000002 80000001 10 = 00000008 10 +17 0AB 00000002 80000001 11 = 00000008 11 +17 0AC 7FFFFFFF 80000001 00 = 00000008 00 +17 0AD 7FFFFFFF 80000001 01 = 00000008 01 +17 0AE 7FFFFFFF 80000001 10 = 00000008 10 +17 0AF 7FFFFFFF 80000001 11 = 00000008 11 +17 0B0 80000000 80000001 00 = 00000008 00 +17 0B1 80000000 80000001 01 = 00000008 01 +17 0B2 80000000 80000001 10 = 00000008 10 +17 0B3 80000000 80000001 11 = 00000008 11 +17 0B4 80000001 80000001 00 = 00000008 00 +17 0B5 80000001 80000001 01 = 00000008 01 +17 0B6 80000001 80000001 10 = 00000008 10 +17 0B7 80000001 80000001 11 = 00000008 11 +17 0B8 FFFFFFFE 80000001 00 = 00000008 00 +17 0B9 FFFFFFFE 80000001 01 = 00000008 01 +17 0BA FFFFFFFE 80000001 10 = 00000008 10 +17 0BB FFFFFFFE 80000001 11 = 00000008 11 +17 0BC FFFFFFFF 80000001 00 = 00000008 00 +17 0BD FFFFFFFF 80000001 01 = 00000008 01 +17 0BE FFFFFFFF 80000001 10 = 00000008 10 +17 0BF FFFFFFFF 80000001 11 = 00000008 11 +17 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +17 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +17 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +17 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +17 0C4 00000001 FFFFFFFE 00 = 0000000F 00 +17 0C5 00000001 FFFFFFFE 01 = 0000000F 01 +17 0C6 00000001 FFFFFFFE 10 = 0000000F 10 +17 0C7 00000001 FFFFFFFE 11 = 0000000F 11 +17 0C8 00000002 FFFFFFFE 00 = 0000000F 00 +17 0C9 00000002 FFFFFFFE 01 = 0000000F 01 +17 0CA 00000002 FFFFFFFE 10 = 0000000F 10 +17 0CB 00000002 FFFFFFFE 11 = 0000000F 11 +17 0CC 7FFFFFFF FFFFFFFE 00 = 0000000F 00 +17 0CD 7FFFFFFF FFFFFFFE 01 = 0000000F 01 +17 0CE 7FFFFFFF FFFFFFFE 10 = 0000000F 10 +17 0CF 7FFFFFFF FFFFFFFE 11 = 0000000F 11 +17 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +17 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +17 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +17 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +17 0D4 80000001 FFFFFFFE 00 = 0000000F 00 +17 0D5 80000001 FFFFFFFE 01 = 0000000F 01 +17 0D6 80000001 FFFFFFFE 10 = 0000000F 10 +17 0D7 80000001 FFFFFFFE 11 = 0000000F 11 +17 0D8 FFFFFFFE FFFFFFFE 00 = 0000000F 00 +17 0D9 FFFFFFFE FFFFFFFE 01 = 0000000F 01 +17 0DA FFFFFFFE FFFFFFFE 10 = 0000000F 10 +17 0DB FFFFFFFE FFFFFFFE 11 = 0000000F 11 +17 0DC FFFFFFFF FFFFFFFE 00 = 0000000F 00 +17 0DD FFFFFFFF FFFFFFFE 01 = 0000000F 01 +17 0DE FFFFFFFF FFFFFFFE 10 = 0000000F 10 +17 0DF FFFFFFFF FFFFFFFE 11 = 0000000F 11 +17 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +17 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +17 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +17 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +17 0E4 00000001 FFFFFFFF 00 = 0000000F 00 +17 0E5 00000001 FFFFFFFF 01 = 0000000F 01 +17 0E6 00000001 FFFFFFFF 10 = 0000000F 10 +17 0E7 00000001 FFFFFFFF 11 = 0000000F 11 +17 0E8 00000002 FFFFFFFF 00 = 0000000F 00 +17 0E9 00000002 FFFFFFFF 01 = 0000000F 01 +17 0EA 00000002 FFFFFFFF 10 = 0000000F 10 +17 0EB 00000002 FFFFFFFF 11 = 0000000F 11 +17 0EC 7FFFFFFF FFFFFFFF 00 = 0000000F 00 +17 0ED 7FFFFFFF FFFFFFFF 01 = 0000000F 01 +17 0EE 7FFFFFFF FFFFFFFF 10 = 0000000F 10 +17 0EF 7FFFFFFF FFFFFFFF 11 = 0000000F 11 +17 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +17 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +17 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +17 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +17 0F4 80000001 FFFFFFFF 00 = 0000000F 00 +17 0F5 80000001 FFFFFFFF 01 = 0000000F 01 +17 0F6 80000001 FFFFFFFF 10 = 0000000F 10 +17 0F7 80000001 FFFFFFFF 11 = 0000000F 11 +17 0F8 FFFFFFFE FFFFFFFF 00 = 0000000F 00 +17 0F9 FFFFFFFE FFFFFFFF 01 = 0000000F 01 +17 0FA FFFFFFFE FFFFFFFF 10 = 0000000F 10 +17 0FB FFFFFFFE FFFFFFFF 11 = 0000000F 11 +17 0FC FFFFFFFF FFFFFFFF 00 = 0000000F 00 +17 0FD FFFFFFFF FFFFFFFF 01 = 0000000F 01 +17 0FE FFFFFFFF FFFFFFFF 10 = 0000000F 10 +17 0FF FFFFFFFF FFFFFFFF 11 = 0000000F 11 +rolnib0 ---D---- ---S---- CZ = ---Q---- CZ +18 000 00000000 00000000 00 = 00000000 00 +18 001 00000000 00000000 01 = 00000000 01 +18 002 00000000 00000000 10 = 00000000 10 +18 003 00000000 00000000 11 = 00000000 11 +18 004 00000001 00000000 00 = 00000010 00 +18 005 00000001 00000000 01 = 00000010 01 +18 006 00000001 00000000 10 = 00000010 10 +18 007 00000001 00000000 11 = 00000010 11 +18 008 00000002 00000000 00 = 00000020 00 +18 009 00000002 00000000 01 = 00000020 01 +18 00A 00000002 00000000 10 = 00000020 10 +18 00B 00000002 00000000 11 = 00000020 11 +18 00C 7FFFFFFF 00000000 00 = FFFFFFF0 00 +18 00D 7FFFFFFF 00000000 01 = FFFFFFF0 01 +18 00E 7FFFFFFF 00000000 10 = FFFFFFF0 10 +18 00F 7FFFFFFF 00000000 11 = FFFFFFF0 11 +18 010 80000000 00000000 00 = 00000000 00 +18 011 80000000 00000000 01 = 00000000 01 +18 012 80000000 00000000 10 = 00000000 10 +18 013 80000000 00000000 11 = 00000000 11 +18 014 80000001 00000000 00 = 00000010 00 +18 015 80000001 00000000 01 = 00000010 01 +18 016 80000001 00000000 10 = 00000010 10 +18 017 80000001 00000000 11 = 00000010 11 +18 018 FFFFFFFE 00000000 00 = FFFFFFE0 00 +18 019 FFFFFFFE 00000000 01 = FFFFFFE0 01 +18 01A FFFFFFFE 00000000 10 = FFFFFFE0 10 +18 01B FFFFFFFE 00000000 11 = FFFFFFE0 11 +18 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 +18 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 +18 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 +18 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 +18 020 00000000 00000001 00 = 00000001 00 +18 021 00000000 00000001 01 = 00000001 01 +18 022 00000000 00000001 10 = 00000001 10 +18 023 00000000 00000001 11 = 00000001 11 +18 024 00000001 00000001 00 = 00000011 00 +18 025 00000001 00000001 01 = 00000011 01 +18 026 00000001 00000001 10 = 00000011 10 +18 027 00000001 00000001 11 = 00000011 11 +18 028 00000002 00000001 00 = 00000021 00 +18 029 00000002 00000001 01 = 00000021 01 +18 02A 00000002 00000001 10 = 00000021 10 +18 02B 00000002 00000001 11 = 00000021 11 +18 02C 7FFFFFFF 00000001 00 = FFFFFFF1 00 +18 02D 7FFFFFFF 00000001 01 = FFFFFFF1 01 +18 02E 7FFFFFFF 00000001 10 = FFFFFFF1 10 +18 02F 7FFFFFFF 00000001 11 = FFFFFFF1 11 +18 030 80000000 00000001 00 = 00000001 00 +18 031 80000000 00000001 01 = 00000001 01 +18 032 80000000 00000001 10 = 00000001 10 +18 033 80000000 00000001 11 = 00000001 11 +18 034 80000001 00000001 00 = 00000011 00 +18 035 80000001 00000001 01 = 00000011 01 +18 036 80000001 00000001 10 = 00000011 10 +18 037 80000001 00000001 11 = 00000011 11 +18 038 FFFFFFFE 00000001 00 = FFFFFFE1 00 +18 039 FFFFFFFE 00000001 01 = FFFFFFE1 01 +18 03A FFFFFFFE 00000001 10 = FFFFFFE1 10 +18 03B FFFFFFFE 00000001 11 = FFFFFFE1 11 +18 03C FFFFFFFF 00000001 00 = FFFFFFF1 00 +18 03D FFFFFFFF 00000001 01 = FFFFFFF1 01 +18 03E FFFFFFFF 00000001 10 = FFFFFFF1 10 +18 03F FFFFFFFF 00000001 11 = FFFFFFF1 11 +18 040 00000000 00000002 00 = 00000002 00 +18 041 00000000 00000002 01 = 00000002 01 +18 042 00000000 00000002 10 = 00000002 10 +18 043 00000000 00000002 11 = 00000002 11 +18 044 00000001 00000002 00 = 00000012 00 +18 045 00000001 00000002 01 = 00000012 01 +18 046 00000001 00000002 10 = 00000012 10 +18 047 00000001 00000002 11 = 00000012 11 +18 048 00000002 00000002 00 = 00000022 00 +18 049 00000002 00000002 01 = 00000022 01 +18 04A 00000002 00000002 10 = 00000022 10 +18 04B 00000002 00000002 11 = 00000022 11 +18 04C 7FFFFFFF 00000002 00 = FFFFFFF2 00 +18 04D 7FFFFFFF 00000002 01 = FFFFFFF2 01 +18 04E 7FFFFFFF 00000002 10 = FFFFFFF2 10 +18 04F 7FFFFFFF 00000002 11 = FFFFFFF2 11 +18 050 80000000 00000002 00 = 00000002 00 +18 051 80000000 00000002 01 = 00000002 01 +18 052 80000000 00000002 10 = 00000002 10 +18 053 80000000 00000002 11 = 00000002 11 +18 054 80000001 00000002 00 = 00000012 00 +18 055 80000001 00000002 01 = 00000012 01 +18 056 80000001 00000002 10 = 00000012 10 +18 057 80000001 00000002 11 = 00000012 11 +18 058 FFFFFFFE 00000002 00 = FFFFFFE2 00 +18 059 FFFFFFFE 00000002 01 = FFFFFFE2 01 +18 05A FFFFFFFE 00000002 10 = FFFFFFE2 10 +18 05B FFFFFFFE 00000002 11 = FFFFFFE2 11 +18 05C FFFFFFFF 00000002 00 = FFFFFFF2 00 +18 05D FFFFFFFF 00000002 01 = FFFFFFF2 01 +18 05E FFFFFFFF 00000002 10 = FFFFFFF2 10 +18 05F FFFFFFFF 00000002 11 = FFFFFFF2 11 +18 060 00000000 7FFFFFFF 00 = 0000000F 00 +18 061 00000000 7FFFFFFF 01 = 0000000F 01 +18 062 00000000 7FFFFFFF 10 = 0000000F 10 +18 063 00000000 7FFFFFFF 11 = 0000000F 11 +18 064 00000001 7FFFFFFF 00 = 0000001F 00 +18 065 00000001 7FFFFFFF 01 = 0000001F 01 +18 066 00000001 7FFFFFFF 10 = 0000001F 10 +18 067 00000001 7FFFFFFF 11 = 0000001F 11 +18 068 00000002 7FFFFFFF 00 = 0000002F 00 +18 069 00000002 7FFFFFFF 01 = 0000002F 01 +18 06A 00000002 7FFFFFFF 10 = 0000002F 10 +18 06B 00000002 7FFFFFFF 11 = 0000002F 11 +18 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +18 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +18 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +18 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +18 070 80000000 7FFFFFFF 00 = 0000000F 00 +18 071 80000000 7FFFFFFF 01 = 0000000F 01 +18 072 80000000 7FFFFFFF 10 = 0000000F 10 +18 073 80000000 7FFFFFFF 11 = 0000000F 11 +18 074 80000001 7FFFFFFF 00 = 0000001F 00 +18 075 80000001 7FFFFFFF 01 = 0000001F 01 +18 076 80000001 7FFFFFFF 10 = 0000001F 10 +18 077 80000001 7FFFFFFF 11 = 0000001F 11 +18 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFEF 00 +18 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFEF 01 +18 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFEF 10 +18 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFEF 11 +18 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +18 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +18 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +18 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +18 080 00000000 80000000 00 = 00000000 00 +18 081 00000000 80000000 01 = 00000000 01 +18 082 00000000 80000000 10 = 00000000 10 +18 083 00000000 80000000 11 = 00000000 11 +18 084 00000001 80000000 00 = 00000010 00 +18 085 00000001 80000000 01 = 00000010 01 +18 086 00000001 80000000 10 = 00000010 10 +18 087 00000001 80000000 11 = 00000010 11 +18 088 00000002 80000000 00 = 00000020 00 +18 089 00000002 80000000 01 = 00000020 01 +18 08A 00000002 80000000 10 = 00000020 10 +18 08B 00000002 80000000 11 = 00000020 11 +18 08C 7FFFFFFF 80000000 00 = FFFFFFF0 00 +18 08D 7FFFFFFF 80000000 01 = FFFFFFF0 01 +18 08E 7FFFFFFF 80000000 10 = FFFFFFF0 10 +18 08F 7FFFFFFF 80000000 11 = FFFFFFF0 11 +18 090 80000000 80000000 00 = 00000000 00 +18 091 80000000 80000000 01 = 00000000 01 +18 092 80000000 80000000 10 = 00000000 10 +18 093 80000000 80000000 11 = 00000000 11 +18 094 80000001 80000000 00 = 00000010 00 +18 095 80000001 80000000 01 = 00000010 01 +18 096 80000001 80000000 10 = 00000010 10 +18 097 80000001 80000000 11 = 00000010 11 +18 098 FFFFFFFE 80000000 00 = FFFFFFE0 00 +18 099 FFFFFFFE 80000000 01 = FFFFFFE0 01 +18 09A FFFFFFFE 80000000 10 = FFFFFFE0 10 +18 09B FFFFFFFE 80000000 11 = FFFFFFE0 11 +18 09C FFFFFFFF 80000000 00 = FFFFFFF0 00 +18 09D FFFFFFFF 80000000 01 = FFFFFFF0 01 +18 09E FFFFFFFF 80000000 10 = FFFFFFF0 10 +18 09F FFFFFFFF 80000000 11 = FFFFFFF0 11 +18 0A0 00000000 80000001 00 = 00000001 00 +18 0A1 00000000 80000001 01 = 00000001 01 +18 0A2 00000000 80000001 10 = 00000001 10 +18 0A3 00000000 80000001 11 = 00000001 11 +18 0A4 00000001 80000001 00 = 00000011 00 +18 0A5 00000001 80000001 01 = 00000011 01 +18 0A6 00000001 80000001 10 = 00000011 10 +18 0A7 00000001 80000001 11 = 00000011 11 +18 0A8 00000002 80000001 00 = 00000021 00 +18 0A9 00000002 80000001 01 = 00000021 01 +18 0AA 00000002 80000001 10 = 00000021 10 +18 0AB 00000002 80000001 11 = 00000021 11 +18 0AC 7FFFFFFF 80000001 00 = FFFFFFF1 00 +18 0AD 7FFFFFFF 80000001 01 = FFFFFFF1 01 +18 0AE 7FFFFFFF 80000001 10 = FFFFFFF1 10 +18 0AF 7FFFFFFF 80000001 11 = FFFFFFF1 11 +18 0B0 80000000 80000001 00 = 00000001 00 +18 0B1 80000000 80000001 01 = 00000001 01 +18 0B2 80000000 80000001 10 = 00000001 10 +18 0B3 80000000 80000001 11 = 00000001 11 +18 0B4 80000001 80000001 00 = 00000011 00 +18 0B5 80000001 80000001 01 = 00000011 01 +18 0B6 80000001 80000001 10 = 00000011 10 +18 0B7 80000001 80000001 11 = 00000011 11 +18 0B8 FFFFFFFE 80000001 00 = FFFFFFE1 00 +18 0B9 FFFFFFFE 80000001 01 = FFFFFFE1 01 +18 0BA FFFFFFFE 80000001 10 = FFFFFFE1 10 +18 0BB FFFFFFFE 80000001 11 = FFFFFFE1 11 +18 0BC FFFFFFFF 80000001 00 = FFFFFFF1 00 +18 0BD FFFFFFFF 80000001 01 = FFFFFFF1 01 +18 0BE FFFFFFFF 80000001 10 = FFFFFFF1 10 +18 0BF FFFFFFFF 80000001 11 = FFFFFFF1 11 +18 0C0 00000000 FFFFFFFE 00 = 0000000E 00 +18 0C1 00000000 FFFFFFFE 01 = 0000000E 01 +18 0C2 00000000 FFFFFFFE 10 = 0000000E 10 +18 0C3 00000000 FFFFFFFE 11 = 0000000E 11 +18 0C4 00000001 FFFFFFFE 00 = 0000001E 00 +18 0C5 00000001 FFFFFFFE 01 = 0000001E 01 +18 0C6 00000001 FFFFFFFE 10 = 0000001E 10 +18 0C7 00000001 FFFFFFFE 11 = 0000001E 11 +18 0C8 00000002 FFFFFFFE 00 = 0000002E 00 +18 0C9 00000002 FFFFFFFE 01 = 0000002E 01 +18 0CA 00000002 FFFFFFFE 10 = 0000002E 10 +18 0CB 00000002 FFFFFFFE 11 = 0000002E 11 +18 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +18 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +18 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +18 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +18 0D0 80000000 FFFFFFFE 00 = 0000000E 00 +18 0D1 80000000 FFFFFFFE 01 = 0000000E 01 +18 0D2 80000000 FFFFFFFE 10 = 0000000E 10 +18 0D3 80000000 FFFFFFFE 11 = 0000000E 11 +18 0D4 80000001 FFFFFFFE 00 = 0000001E 00 +18 0D5 80000001 FFFFFFFE 01 = 0000001E 01 +18 0D6 80000001 FFFFFFFE 10 = 0000001E 10 +18 0D7 80000001 FFFFFFFE 11 = 0000001E 11 +18 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEE 00 +18 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEE 01 +18 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEE 10 +18 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEE 11 +18 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +18 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +18 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +18 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +18 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +18 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +18 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +18 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +18 0E4 00000001 FFFFFFFF 00 = 0000001F 00 +18 0E5 00000001 FFFFFFFF 01 = 0000001F 01 +18 0E6 00000001 FFFFFFFF 10 = 0000001F 10 +18 0E7 00000001 FFFFFFFF 11 = 0000001F 11 +18 0E8 00000002 FFFFFFFF 00 = 0000002F 00 +18 0E9 00000002 FFFFFFFF 01 = 0000002F 01 +18 0EA 00000002 FFFFFFFF 10 = 0000002F 10 +18 0EB 00000002 FFFFFFFF 11 = 0000002F 11 +18 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +18 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +18 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +18 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +18 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +18 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +18 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +18 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +18 0F4 80000001 FFFFFFFF 00 = 0000001F 00 +18 0F5 80000001 FFFFFFFF 01 = 0000001F 01 +18 0F6 80000001 FFFFFFFF 10 = 0000001F 10 +18 0F7 80000001 FFFFFFFF 11 = 0000001F 11 +18 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFEF 00 +18 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFEF 01 +18 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFEF 10 +18 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFEF 11 +18 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +18 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +18 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +18 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolnib1 ---D---- ---S---- CZ = ---Q---- CZ +19 000 00000000 00000000 00 = 00000000 00 +19 001 00000000 00000000 01 = 00000000 01 +19 002 00000000 00000000 10 = 00000000 10 +19 003 00000000 00000000 11 = 00000000 11 +19 004 00000001 00000000 00 = 00000010 00 +19 005 00000001 00000000 01 = 00000010 01 +19 006 00000001 00000000 10 = 00000010 10 +19 007 00000001 00000000 11 = 00000010 11 +19 008 00000002 00000000 00 = 00000020 00 +19 009 00000002 00000000 01 = 00000020 01 +19 00A 00000002 00000000 10 = 00000020 10 +19 00B 00000002 00000000 11 = 00000020 11 +19 00C 7FFFFFFF 00000000 00 = FFFFFFF0 00 +19 00D 7FFFFFFF 00000000 01 = FFFFFFF0 01 +19 00E 7FFFFFFF 00000000 10 = FFFFFFF0 10 +19 00F 7FFFFFFF 00000000 11 = FFFFFFF0 11 +19 010 80000000 00000000 00 = 00000000 00 +19 011 80000000 00000000 01 = 00000000 01 +19 012 80000000 00000000 10 = 00000000 10 +19 013 80000000 00000000 11 = 00000000 11 +19 014 80000001 00000000 00 = 00000010 00 +19 015 80000001 00000000 01 = 00000010 01 +19 016 80000001 00000000 10 = 00000010 10 +19 017 80000001 00000000 11 = 00000010 11 +19 018 FFFFFFFE 00000000 00 = FFFFFFE0 00 +19 019 FFFFFFFE 00000000 01 = FFFFFFE0 01 +19 01A FFFFFFFE 00000000 10 = FFFFFFE0 10 +19 01B FFFFFFFE 00000000 11 = FFFFFFE0 11 +19 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 +19 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 +19 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 +19 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 +19 020 00000000 00000001 00 = 00000000 00 +19 021 00000000 00000001 01 = 00000000 01 +19 022 00000000 00000001 10 = 00000000 10 +19 023 00000000 00000001 11 = 00000000 11 +19 024 00000001 00000001 00 = 00000010 00 +19 025 00000001 00000001 01 = 00000010 01 +19 026 00000001 00000001 10 = 00000010 10 +19 027 00000001 00000001 11 = 00000010 11 +19 028 00000002 00000001 00 = 00000020 00 +19 029 00000002 00000001 01 = 00000020 01 +19 02A 00000002 00000001 10 = 00000020 10 +19 02B 00000002 00000001 11 = 00000020 11 +19 02C 7FFFFFFF 00000001 00 = FFFFFFF0 00 +19 02D 7FFFFFFF 00000001 01 = FFFFFFF0 01 +19 02E 7FFFFFFF 00000001 10 = FFFFFFF0 10 +19 02F 7FFFFFFF 00000001 11 = FFFFFFF0 11 +19 030 80000000 00000001 00 = 00000000 00 +19 031 80000000 00000001 01 = 00000000 01 +19 032 80000000 00000001 10 = 00000000 10 +19 033 80000000 00000001 11 = 00000000 11 +19 034 80000001 00000001 00 = 00000010 00 +19 035 80000001 00000001 01 = 00000010 01 +19 036 80000001 00000001 10 = 00000010 10 +19 037 80000001 00000001 11 = 00000010 11 +19 038 FFFFFFFE 00000001 00 = FFFFFFE0 00 +19 039 FFFFFFFE 00000001 01 = FFFFFFE0 01 +19 03A FFFFFFFE 00000001 10 = FFFFFFE0 10 +19 03B FFFFFFFE 00000001 11 = FFFFFFE0 11 +19 03C FFFFFFFF 00000001 00 = FFFFFFF0 00 +19 03D FFFFFFFF 00000001 01 = FFFFFFF0 01 +19 03E FFFFFFFF 00000001 10 = FFFFFFF0 10 +19 03F FFFFFFFF 00000001 11 = FFFFFFF0 11 +19 040 00000000 00000002 00 = 00000000 00 +19 041 00000000 00000002 01 = 00000000 01 +19 042 00000000 00000002 10 = 00000000 10 +19 043 00000000 00000002 11 = 00000000 11 +19 044 00000001 00000002 00 = 00000010 00 +19 045 00000001 00000002 01 = 00000010 01 +19 046 00000001 00000002 10 = 00000010 10 +19 047 00000001 00000002 11 = 00000010 11 +19 048 00000002 00000002 00 = 00000020 00 +19 049 00000002 00000002 01 = 00000020 01 +19 04A 00000002 00000002 10 = 00000020 10 +19 04B 00000002 00000002 11 = 00000020 11 +19 04C 7FFFFFFF 00000002 00 = FFFFFFF0 00 +19 04D 7FFFFFFF 00000002 01 = FFFFFFF0 01 +19 04E 7FFFFFFF 00000002 10 = FFFFFFF0 10 +19 04F 7FFFFFFF 00000002 11 = FFFFFFF0 11 +19 050 80000000 00000002 00 = 00000000 00 +19 051 80000000 00000002 01 = 00000000 01 +19 052 80000000 00000002 10 = 00000000 10 +19 053 80000000 00000002 11 = 00000000 11 +19 054 80000001 00000002 00 = 00000010 00 +19 055 80000001 00000002 01 = 00000010 01 +19 056 80000001 00000002 10 = 00000010 10 +19 057 80000001 00000002 11 = 00000010 11 +19 058 FFFFFFFE 00000002 00 = FFFFFFE0 00 +19 059 FFFFFFFE 00000002 01 = FFFFFFE0 01 +19 05A FFFFFFFE 00000002 10 = FFFFFFE0 10 +19 05B FFFFFFFE 00000002 11 = FFFFFFE0 11 +19 05C FFFFFFFF 00000002 00 = FFFFFFF0 00 +19 05D FFFFFFFF 00000002 01 = FFFFFFF0 01 +19 05E FFFFFFFF 00000002 10 = FFFFFFF0 10 +19 05F FFFFFFFF 00000002 11 = FFFFFFF0 11 +19 060 00000000 7FFFFFFF 00 = 0000000F 00 +19 061 00000000 7FFFFFFF 01 = 0000000F 01 +19 062 00000000 7FFFFFFF 10 = 0000000F 10 +19 063 00000000 7FFFFFFF 11 = 0000000F 11 +19 064 00000001 7FFFFFFF 00 = 0000001F 00 +19 065 00000001 7FFFFFFF 01 = 0000001F 01 +19 066 00000001 7FFFFFFF 10 = 0000001F 10 +19 067 00000001 7FFFFFFF 11 = 0000001F 11 +19 068 00000002 7FFFFFFF 00 = 0000002F 00 +19 069 00000002 7FFFFFFF 01 = 0000002F 01 +19 06A 00000002 7FFFFFFF 10 = 0000002F 10 +19 06B 00000002 7FFFFFFF 11 = 0000002F 11 +19 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +19 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +19 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +19 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +19 070 80000000 7FFFFFFF 00 = 0000000F 00 +19 071 80000000 7FFFFFFF 01 = 0000000F 01 +19 072 80000000 7FFFFFFF 10 = 0000000F 10 +19 073 80000000 7FFFFFFF 11 = 0000000F 11 +19 074 80000001 7FFFFFFF 00 = 0000001F 00 +19 075 80000001 7FFFFFFF 01 = 0000001F 01 +19 076 80000001 7FFFFFFF 10 = 0000001F 10 +19 077 80000001 7FFFFFFF 11 = 0000001F 11 +19 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFEF 00 +19 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFEF 01 +19 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFEF 10 +19 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFEF 11 +19 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +19 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +19 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +19 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +19 080 00000000 80000000 00 = 00000000 00 +19 081 00000000 80000000 01 = 00000000 01 +19 082 00000000 80000000 10 = 00000000 10 +19 083 00000000 80000000 11 = 00000000 11 +19 084 00000001 80000000 00 = 00000010 00 +19 085 00000001 80000000 01 = 00000010 01 +19 086 00000001 80000000 10 = 00000010 10 +19 087 00000001 80000000 11 = 00000010 11 +19 088 00000002 80000000 00 = 00000020 00 +19 089 00000002 80000000 01 = 00000020 01 +19 08A 00000002 80000000 10 = 00000020 10 +19 08B 00000002 80000000 11 = 00000020 11 +19 08C 7FFFFFFF 80000000 00 = FFFFFFF0 00 +19 08D 7FFFFFFF 80000000 01 = FFFFFFF0 01 +19 08E 7FFFFFFF 80000000 10 = FFFFFFF0 10 +19 08F 7FFFFFFF 80000000 11 = FFFFFFF0 11 +19 090 80000000 80000000 00 = 00000000 00 +19 091 80000000 80000000 01 = 00000000 01 +19 092 80000000 80000000 10 = 00000000 10 +19 093 80000000 80000000 11 = 00000000 11 +19 094 80000001 80000000 00 = 00000010 00 +19 095 80000001 80000000 01 = 00000010 01 +19 096 80000001 80000000 10 = 00000010 10 +19 097 80000001 80000000 11 = 00000010 11 +19 098 FFFFFFFE 80000000 00 = FFFFFFE0 00 +19 099 FFFFFFFE 80000000 01 = FFFFFFE0 01 +19 09A FFFFFFFE 80000000 10 = FFFFFFE0 10 +19 09B FFFFFFFE 80000000 11 = FFFFFFE0 11 +19 09C FFFFFFFF 80000000 00 = FFFFFFF0 00 +19 09D FFFFFFFF 80000000 01 = FFFFFFF0 01 +19 09E FFFFFFFF 80000000 10 = FFFFFFF0 10 +19 09F FFFFFFFF 80000000 11 = FFFFFFF0 11 +19 0A0 00000000 80000001 00 = 00000000 00 +19 0A1 00000000 80000001 01 = 00000000 01 +19 0A2 00000000 80000001 10 = 00000000 10 +19 0A3 00000000 80000001 11 = 00000000 11 +19 0A4 00000001 80000001 00 = 00000010 00 +19 0A5 00000001 80000001 01 = 00000010 01 +19 0A6 00000001 80000001 10 = 00000010 10 +19 0A7 00000001 80000001 11 = 00000010 11 +19 0A8 00000002 80000001 00 = 00000020 00 +19 0A9 00000002 80000001 01 = 00000020 01 +19 0AA 00000002 80000001 10 = 00000020 10 +19 0AB 00000002 80000001 11 = 00000020 11 +19 0AC 7FFFFFFF 80000001 00 = FFFFFFF0 00 +19 0AD 7FFFFFFF 80000001 01 = FFFFFFF0 01 +19 0AE 7FFFFFFF 80000001 10 = FFFFFFF0 10 +19 0AF 7FFFFFFF 80000001 11 = FFFFFFF0 11 +19 0B0 80000000 80000001 00 = 00000000 00 +19 0B1 80000000 80000001 01 = 00000000 01 +19 0B2 80000000 80000001 10 = 00000000 10 +19 0B3 80000000 80000001 11 = 00000000 11 +19 0B4 80000001 80000001 00 = 00000010 00 +19 0B5 80000001 80000001 01 = 00000010 01 +19 0B6 80000001 80000001 10 = 00000010 10 +19 0B7 80000001 80000001 11 = 00000010 11 +19 0B8 FFFFFFFE 80000001 00 = FFFFFFE0 00 +19 0B9 FFFFFFFE 80000001 01 = FFFFFFE0 01 +19 0BA FFFFFFFE 80000001 10 = FFFFFFE0 10 +19 0BB FFFFFFFE 80000001 11 = FFFFFFE0 11 +19 0BC FFFFFFFF 80000001 00 = FFFFFFF0 00 +19 0BD FFFFFFFF 80000001 01 = FFFFFFF0 01 +19 0BE FFFFFFFF 80000001 10 = FFFFFFF0 10 +19 0BF FFFFFFFF 80000001 11 = FFFFFFF0 11 +19 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +19 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +19 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +19 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +19 0C4 00000001 FFFFFFFE 00 = 0000001F 00 +19 0C5 00000001 FFFFFFFE 01 = 0000001F 01 +19 0C6 00000001 FFFFFFFE 10 = 0000001F 10 +19 0C7 00000001 FFFFFFFE 11 = 0000001F 11 +19 0C8 00000002 FFFFFFFE 00 = 0000002F 00 +19 0C9 00000002 FFFFFFFE 01 = 0000002F 01 +19 0CA 00000002 FFFFFFFE 10 = 0000002F 10 +19 0CB 00000002 FFFFFFFE 11 = 0000002F 11 +19 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +19 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +19 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +19 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +19 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +19 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +19 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +19 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +19 0D4 80000001 FFFFFFFE 00 = 0000001F 00 +19 0D5 80000001 FFFFFFFE 01 = 0000001F 01 +19 0D6 80000001 FFFFFFFE 10 = 0000001F 10 +19 0D7 80000001 FFFFFFFE 11 = 0000001F 11 +19 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEF 00 +19 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEF 01 +19 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEF 10 +19 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEF 11 +19 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +19 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +19 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +19 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +19 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +19 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +19 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +19 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +19 0E4 00000001 FFFFFFFF 00 = 0000001F 00 +19 0E5 00000001 FFFFFFFF 01 = 0000001F 01 +19 0E6 00000001 FFFFFFFF 10 = 0000001F 10 +19 0E7 00000001 FFFFFFFF 11 = 0000001F 11 +19 0E8 00000002 FFFFFFFF 00 = 0000002F 00 +19 0E9 00000002 FFFFFFFF 01 = 0000002F 01 +19 0EA 00000002 FFFFFFFF 10 = 0000002F 10 +19 0EB 00000002 FFFFFFFF 11 = 0000002F 11 +19 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +19 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +19 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +19 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +19 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +19 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +19 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +19 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +19 0F4 80000001 FFFFFFFF 00 = 0000001F 00 +19 0F5 80000001 FFFFFFFF 01 = 0000001F 01 +19 0F6 80000001 FFFFFFFF 10 = 0000001F 10 +19 0F7 80000001 FFFFFFFF 11 = 0000001F 11 +19 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFEF 00 +19 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFEF 01 +19 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFEF 10 +19 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFEF 11 +19 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +19 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +19 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +19 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolnib2 ---D---- ---S---- CZ = ---Q---- CZ +1A 000 00000000 00000000 00 = 00000000 00 +1A 001 00000000 00000000 01 = 00000000 01 +1A 002 00000000 00000000 10 = 00000000 10 +1A 003 00000000 00000000 11 = 00000000 11 +1A 004 00000001 00000000 00 = 00000010 00 +1A 005 00000001 00000000 01 = 00000010 01 +1A 006 00000001 00000000 10 = 00000010 10 +1A 007 00000001 00000000 11 = 00000010 11 +1A 008 00000002 00000000 00 = 00000020 00 +1A 009 00000002 00000000 01 = 00000020 01 +1A 00A 00000002 00000000 10 = 00000020 10 +1A 00B 00000002 00000000 11 = 00000020 11 +1A 00C 7FFFFFFF 00000000 00 = FFFFFFF0 00 +1A 00D 7FFFFFFF 00000000 01 = FFFFFFF0 01 +1A 00E 7FFFFFFF 00000000 10 = FFFFFFF0 10 +1A 00F 7FFFFFFF 00000000 11 = FFFFFFF0 11 +1A 010 80000000 00000000 00 = 00000000 00 +1A 011 80000000 00000000 01 = 00000000 01 +1A 012 80000000 00000000 10 = 00000000 10 +1A 013 80000000 00000000 11 = 00000000 11 +1A 014 80000001 00000000 00 = 00000010 00 +1A 015 80000001 00000000 01 = 00000010 01 +1A 016 80000001 00000000 10 = 00000010 10 +1A 017 80000001 00000000 11 = 00000010 11 +1A 018 FFFFFFFE 00000000 00 = FFFFFFE0 00 +1A 019 FFFFFFFE 00000000 01 = FFFFFFE0 01 +1A 01A FFFFFFFE 00000000 10 = FFFFFFE0 10 +1A 01B FFFFFFFE 00000000 11 = FFFFFFE0 11 +1A 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 +1A 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 +1A 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 +1A 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 +1A 020 00000000 00000001 00 = 00000000 00 +1A 021 00000000 00000001 01 = 00000000 01 +1A 022 00000000 00000001 10 = 00000000 10 +1A 023 00000000 00000001 11 = 00000000 11 +1A 024 00000001 00000001 00 = 00000010 00 +1A 025 00000001 00000001 01 = 00000010 01 +1A 026 00000001 00000001 10 = 00000010 10 +1A 027 00000001 00000001 11 = 00000010 11 +1A 028 00000002 00000001 00 = 00000020 00 +1A 029 00000002 00000001 01 = 00000020 01 +1A 02A 00000002 00000001 10 = 00000020 10 +1A 02B 00000002 00000001 11 = 00000020 11 +1A 02C 7FFFFFFF 00000001 00 = FFFFFFF0 00 +1A 02D 7FFFFFFF 00000001 01 = FFFFFFF0 01 +1A 02E 7FFFFFFF 00000001 10 = FFFFFFF0 10 +1A 02F 7FFFFFFF 00000001 11 = FFFFFFF0 11 +1A 030 80000000 00000001 00 = 00000000 00 +1A 031 80000000 00000001 01 = 00000000 01 +1A 032 80000000 00000001 10 = 00000000 10 +1A 033 80000000 00000001 11 = 00000000 11 +1A 034 80000001 00000001 00 = 00000010 00 +1A 035 80000001 00000001 01 = 00000010 01 +1A 036 80000001 00000001 10 = 00000010 10 +1A 037 80000001 00000001 11 = 00000010 11 +1A 038 FFFFFFFE 00000001 00 = FFFFFFE0 00 +1A 039 FFFFFFFE 00000001 01 = FFFFFFE0 01 +1A 03A FFFFFFFE 00000001 10 = FFFFFFE0 10 +1A 03B FFFFFFFE 00000001 11 = FFFFFFE0 11 +1A 03C FFFFFFFF 00000001 00 = FFFFFFF0 00 +1A 03D FFFFFFFF 00000001 01 = FFFFFFF0 01 +1A 03E FFFFFFFF 00000001 10 = FFFFFFF0 10 +1A 03F FFFFFFFF 00000001 11 = FFFFFFF0 11 +1A 040 00000000 00000002 00 = 00000000 00 +1A 041 00000000 00000002 01 = 00000000 01 +1A 042 00000000 00000002 10 = 00000000 10 +1A 043 00000000 00000002 11 = 00000000 11 +1A 044 00000001 00000002 00 = 00000010 00 +1A 045 00000001 00000002 01 = 00000010 01 +1A 046 00000001 00000002 10 = 00000010 10 +1A 047 00000001 00000002 11 = 00000010 11 +1A 048 00000002 00000002 00 = 00000020 00 +1A 049 00000002 00000002 01 = 00000020 01 +1A 04A 00000002 00000002 10 = 00000020 10 +1A 04B 00000002 00000002 11 = 00000020 11 +1A 04C 7FFFFFFF 00000002 00 = FFFFFFF0 00 +1A 04D 7FFFFFFF 00000002 01 = FFFFFFF0 01 +1A 04E 7FFFFFFF 00000002 10 = FFFFFFF0 10 +1A 04F 7FFFFFFF 00000002 11 = FFFFFFF0 11 +1A 050 80000000 00000002 00 = 00000000 00 +1A 051 80000000 00000002 01 = 00000000 01 +1A 052 80000000 00000002 10 = 00000000 10 +1A 053 80000000 00000002 11 = 00000000 11 +1A 054 80000001 00000002 00 = 00000010 00 +1A 055 80000001 00000002 01 = 00000010 01 +1A 056 80000001 00000002 10 = 00000010 10 +1A 057 80000001 00000002 11 = 00000010 11 +1A 058 FFFFFFFE 00000002 00 = FFFFFFE0 00 +1A 059 FFFFFFFE 00000002 01 = FFFFFFE0 01 +1A 05A FFFFFFFE 00000002 10 = FFFFFFE0 10 +1A 05B FFFFFFFE 00000002 11 = FFFFFFE0 11 +1A 05C FFFFFFFF 00000002 00 = FFFFFFF0 00 +1A 05D FFFFFFFF 00000002 01 = FFFFFFF0 01 +1A 05E FFFFFFFF 00000002 10 = FFFFFFF0 10 +1A 05F FFFFFFFF 00000002 11 = FFFFFFF0 11 +1A 060 00000000 7FFFFFFF 00 = 0000000F 00 +1A 061 00000000 7FFFFFFF 01 = 0000000F 01 +1A 062 00000000 7FFFFFFF 10 = 0000000F 10 +1A 063 00000000 7FFFFFFF 11 = 0000000F 11 +1A 064 00000001 7FFFFFFF 00 = 0000001F 00 +1A 065 00000001 7FFFFFFF 01 = 0000001F 01 +1A 066 00000001 7FFFFFFF 10 = 0000001F 10 +1A 067 00000001 7FFFFFFF 11 = 0000001F 11 +1A 068 00000002 7FFFFFFF 00 = 0000002F 00 +1A 069 00000002 7FFFFFFF 01 = 0000002F 01 +1A 06A 00000002 7FFFFFFF 10 = 0000002F 10 +1A 06B 00000002 7FFFFFFF 11 = 0000002F 11 +1A 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1A 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +1A 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +1A 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +1A 070 80000000 7FFFFFFF 00 = 0000000F 00 +1A 071 80000000 7FFFFFFF 01 = 0000000F 01 +1A 072 80000000 7FFFFFFF 10 = 0000000F 10 +1A 073 80000000 7FFFFFFF 11 = 0000000F 11 +1A 074 80000001 7FFFFFFF 00 = 0000001F 00 +1A 075 80000001 7FFFFFFF 01 = 0000001F 01 +1A 076 80000001 7FFFFFFF 10 = 0000001F 10 +1A 077 80000001 7FFFFFFF 11 = 0000001F 11 +1A 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFEF 00 +1A 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFEF 01 +1A 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFEF 10 +1A 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFEF 11 +1A 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1A 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +1A 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +1A 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +1A 080 00000000 80000000 00 = 00000000 00 +1A 081 00000000 80000000 01 = 00000000 01 +1A 082 00000000 80000000 10 = 00000000 10 +1A 083 00000000 80000000 11 = 00000000 11 +1A 084 00000001 80000000 00 = 00000010 00 +1A 085 00000001 80000000 01 = 00000010 01 +1A 086 00000001 80000000 10 = 00000010 10 +1A 087 00000001 80000000 11 = 00000010 11 +1A 088 00000002 80000000 00 = 00000020 00 +1A 089 00000002 80000000 01 = 00000020 01 +1A 08A 00000002 80000000 10 = 00000020 10 +1A 08B 00000002 80000000 11 = 00000020 11 +1A 08C 7FFFFFFF 80000000 00 = FFFFFFF0 00 +1A 08D 7FFFFFFF 80000000 01 = FFFFFFF0 01 +1A 08E 7FFFFFFF 80000000 10 = FFFFFFF0 10 +1A 08F 7FFFFFFF 80000000 11 = FFFFFFF0 11 +1A 090 80000000 80000000 00 = 00000000 00 +1A 091 80000000 80000000 01 = 00000000 01 +1A 092 80000000 80000000 10 = 00000000 10 +1A 093 80000000 80000000 11 = 00000000 11 +1A 094 80000001 80000000 00 = 00000010 00 +1A 095 80000001 80000000 01 = 00000010 01 +1A 096 80000001 80000000 10 = 00000010 10 +1A 097 80000001 80000000 11 = 00000010 11 +1A 098 FFFFFFFE 80000000 00 = FFFFFFE0 00 +1A 099 FFFFFFFE 80000000 01 = FFFFFFE0 01 +1A 09A FFFFFFFE 80000000 10 = FFFFFFE0 10 +1A 09B FFFFFFFE 80000000 11 = FFFFFFE0 11 +1A 09C FFFFFFFF 80000000 00 = FFFFFFF0 00 +1A 09D FFFFFFFF 80000000 01 = FFFFFFF0 01 +1A 09E FFFFFFFF 80000000 10 = FFFFFFF0 10 +1A 09F FFFFFFFF 80000000 11 = FFFFFFF0 11 +1A 0A0 00000000 80000001 00 = 00000000 00 +1A 0A1 00000000 80000001 01 = 00000000 01 +1A 0A2 00000000 80000001 10 = 00000000 10 +1A 0A3 00000000 80000001 11 = 00000000 11 +1A 0A4 00000001 80000001 00 = 00000010 00 +1A 0A5 00000001 80000001 01 = 00000010 01 +1A 0A6 00000001 80000001 10 = 00000010 10 +1A 0A7 00000001 80000001 11 = 00000010 11 +1A 0A8 00000002 80000001 00 = 00000020 00 +1A 0A9 00000002 80000001 01 = 00000020 01 +1A 0AA 00000002 80000001 10 = 00000020 10 +1A 0AB 00000002 80000001 11 = 00000020 11 +1A 0AC 7FFFFFFF 80000001 00 = FFFFFFF0 00 +1A 0AD 7FFFFFFF 80000001 01 = FFFFFFF0 01 +1A 0AE 7FFFFFFF 80000001 10 = FFFFFFF0 10 +1A 0AF 7FFFFFFF 80000001 11 = FFFFFFF0 11 +1A 0B0 80000000 80000001 00 = 00000000 00 +1A 0B1 80000000 80000001 01 = 00000000 01 +1A 0B2 80000000 80000001 10 = 00000000 10 +1A 0B3 80000000 80000001 11 = 00000000 11 +1A 0B4 80000001 80000001 00 = 00000010 00 +1A 0B5 80000001 80000001 01 = 00000010 01 +1A 0B6 80000001 80000001 10 = 00000010 10 +1A 0B7 80000001 80000001 11 = 00000010 11 +1A 0B8 FFFFFFFE 80000001 00 = FFFFFFE0 00 +1A 0B9 FFFFFFFE 80000001 01 = FFFFFFE0 01 +1A 0BA FFFFFFFE 80000001 10 = FFFFFFE0 10 +1A 0BB FFFFFFFE 80000001 11 = FFFFFFE0 11 +1A 0BC FFFFFFFF 80000001 00 = FFFFFFF0 00 +1A 0BD FFFFFFFF 80000001 01 = FFFFFFF0 01 +1A 0BE FFFFFFFF 80000001 10 = FFFFFFF0 10 +1A 0BF FFFFFFFF 80000001 11 = FFFFFFF0 11 +1A 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +1A 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +1A 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +1A 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +1A 0C4 00000001 FFFFFFFE 00 = 0000001F 00 +1A 0C5 00000001 FFFFFFFE 01 = 0000001F 01 +1A 0C6 00000001 FFFFFFFE 10 = 0000001F 10 +1A 0C7 00000001 FFFFFFFE 11 = 0000001F 11 +1A 0C8 00000002 FFFFFFFE 00 = 0000002F 00 +1A 0C9 00000002 FFFFFFFE 01 = 0000002F 01 +1A 0CA 00000002 FFFFFFFE 10 = 0000002F 10 +1A 0CB 00000002 FFFFFFFE 11 = 0000002F 11 +1A 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1A 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1A 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1A 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1A 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +1A 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +1A 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +1A 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +1A 0D4 80000001 FFFFFFFE 00 = 0000001F 00 +1A 0D5 80000001 FFFFFFFE 01 = 0000001F 01 +1A 0D6 80000001 FFFFFFFE 10 = 0000001F 10 +1A 0D7 80000001 FFFFFFFE 11 = 0000001F 11 +1A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEF 00 +1A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEF 01 +1A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEF 10 +1A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEF 11 +1A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1A 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +1A 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +1A 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +1A 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +1A 0E4 00000001 FFFFFFFF 00 = 0000001F 00 +1A 0E5 00000001 FFFFFFFF 01 = 0000001F 01 +1A 0E6 00000001 FFFFFFFF 10 = 0000001F 10 +1A 0E7 00000001 FFFFFFFF 11 = 0000001F 11 +1A 0E8 00000002 FFFFFFFF 00 = 0000002F 00 +1A 0E9 00000002 FFFFFFFF 01 = 0000002F 01 +1A 0EA 00000002 FFFFFFFF 10 = 0000002F 10 +1A 0EB 00000002 FFFFFFFF 11 = 0000002F 11 +1A 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1A 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1A 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1A 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +1A 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +1A 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +1A 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +1A 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +1A 0F4 80000001 FFFFFFFF 00 = 0000001F 00 +1A 0F5 80000001 FFFFFFFF 01 = 0000001F 01 +1A 0F6 80000001 FFFFFFFF 10 = 0000001F 10 +1A 0F7 80000001 FFFFFFFF 11 = 0000001F 11 +1A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFEF 00 +1A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFEF 01 +1A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFEF 10 +1A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFEF 11 +1A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolnib3 ---D---- ---S---- CZ = ---Q---- CZ +1B 000 00000000 00000000 00 = 00000000 00 +1B 001 00000000 00000000 01 = 00000000 01 +1B 002 00000000 00000000 10 = 00000000 10 +1B 003 00000000 00000000 11 = 00000000 11 +1B 004 00000001 00000000 00 = 00000010 00 +1B 005 00000001 00000000 01 = 00000010 01 +1B 006 00000001 00000000 10 = 00000010 10 +1B 007 00000001 00000000 11 = 00000010 11 +1B 008 00000002 00000000 00 = 00000020 00 +1B 009 00000002 00000000 01 = 00000020 01 +1B 00A 00000002 00000000 10 = 00000020 10 +1B 00B 00000002 00000000 11 = 00000020 11 +1B 00C 7FFFFFFF 00000000 00 = FFFFFFF0 00 +1B 00D 7FFFFFFF 00000000 01 = FFFFFFF0 01 +1B 00E 7FFFFFFF 00000000 10 = FFFFFFF0 10 +1B 00F 7FFFFFFF 00000000 11 = FFFFFFF0 11 +1B 010 80000000 00000000 00 = 00000000 00 +1B 011 80000000 00000000 01 = 00000000 01 +1B 012 80000000 00000000 10 = 00000000 10 +1B 013 80000000 00000000 11 = 00000000 11 +1B 014 80000001 00000000 00 = 00000010 00 +1B 015 80000001 00000000 01 = 00000010 01 +1B 016 80000001 00000000 10 = 00000010 10 +1B 017 80000001 00000000 11 = 00000010 11 +1B 018 FFFFFFFE 00000000 00 = FFFFFFE0 00 +1B 019 FFFFFFFE 00000000 01 = FFFFFFE0 01 +1B 01A FFFFFFFE 00000000 10 = FFFFFFE0 10 +1B 01B FFFFFFFE 00000000 11 = FFFFFFE0 11 +1B 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 +1B 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 +1B 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 +1B 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 +1B 020 00000000 00000001 00 = 00000000 00 +1B 021 00000000 00000001 01 = 00000000 01 +1B 022 00000000 00000001 10 = 00000000 10 +1B 023 00000000 00000001 11 = 00000000 11 +1B 024 00000001 00000001 00 = 00000010 00 +1B 025 00000001 00000001 01 = 00000010 01 +1B 026 00000001 00000001 10 = 00000010 10 +1B 027 00000001 00000001 11 = 00000010 11 +1B 028 00000002 00000001 00 = 00000020 00 +1B 029 00000002 00000001 01 = 00000020 01 +1B 02A 00000002 00000001 10 = 00000020 10 +1B 02B 00000002 00000001 11 = 00000020 11 +1B 02C 7FFFFFFF 00000001 00 = FFFFFFF0 00 +1B 02D 7FFFFFFF 00000001 01 = FFFFFFF0 01 +1B 02E 7FFFFFFF 00000001 10 = FFFFFFF0 10 +1B 02F 7FFFFFFF 00000001 11 = FFFFFFF0 11 +1B 030 80000000 00000001 00 = 00000000 00 +1B 031 80000000 00000001 01 = 00000000 01 +1B 032 80000000 00000001 10 = 00000000 10 +1B 033 80000000 00000001 11 = 00000000 11 +1B 034 80000001 00000001 00 = 00000010 00 +1B 035 80000001 00000001 01 = 00000010 01 +1B 036 80000001 00000001 10 = 00000010 10 +1B 037 80000001 00000001 11 = 00000010 11 +1B 038 FFFFFFFE 00000001 00 = FFFFFFE0 00 +1B 039 FFFFFFFE 00000001 01 = FFFFFFE0 01 +1B 03A FFFFFFFE 00000001 10 = FFFFFFE0 10 +1B 03B FFFFFFFE 00000001 11 = FFFFFFE0 11 +1B 03C FFFFFFFF 00000001 00 = FFFFFFF0 00 +1B 03D FFFFFFFF 00000001 01 = FFFFFFF0 01 +1B 03E FFFFFFFF 00000001 10 = FFFFFFF0 10 +1B 03F FFFFFFFF 00000001 11 = FFFFFFF0 11 +1B 040 00000000 00000002 00 = 00000000 00 +1B 041 00000000 00000002 01 = 00000000 01 +1B 042 00000000 00000002 10 = 00000000 10 +1B 043 00000000 00000002 11 = 00000000 11 +1B 044 00000001 00000002 00 = 00000010 00 +1B 045 00000001 00000002 01 = 00000010 01 +1B 046 00000001 00000002 10 = 00000010 10 +1B 047 00000001 00000002 11 = 00000010 11 +1B 048 00000002 00000002 00 = 00000020 00 +1B 049 00000002 00000002 01 = 00000020 01 +1B 04A 00000002 00000002 10 = 00000020 10 +1B 04B 00000002 00000002 11 = 00000020 11 +1B 04C 7FFFFFFF 00000002 00 = FFFFFFF0 00 +1B 04D 7FFFFFFF 00000002 01 = FFFFFFF0 01 +1B 04E 7FFFFFFF 00000002 10 = FFFFFFF0 10 +1B 04F 7FFFFFFF 00000002 11 = FFFFFFF0 11 +1B 050 80000000 00000002 00 = 00000000 00 +1B 051 80000000 00000002 01 = 00000000 01 +1B 052 80000000 00000002 10 = 00000000 10 +1B 053 80000000 00000002 11 = 00000000 11 +1B 054 80000001 00000002 00 = 00000010 00 +1B 055 80000001 00000002 01 = 00000010 01 +1B 056 80000001 00000002 10 = 00000010 10 +1B 057 80000001 00000002 11 = 00000010 11 +1B 058 FFFFFFFE 00000002 00 = FFFFFFE0 00 +1B 059 FFFFFFFE 00000002 01 = FFFFFFE0 01 +1B 05A FFFFFFFE 00000002 10 = FFFFFFE0 10 +1B 05B FFFFFFFE 00000002 11 = FFFFFFE0 11 +1B 05C FFFFFFFF 00000002 00 = FFFFFFF0 00 +1B 05D FFFFFFFF 00000002 01 = FFFFFFF0 01 +1B 05E FFFFFFFF 00000002 10 = FFFFFFF0 10 +1B 05F FFFFFFFF 00000002 11 = FFFFFFF0 11 +1B 060 00000000 7FFFFFFF 00 = 0000000F 00 +1B 061 00000000 7FFFFFFF 01 = 0000000F 01 +1B 062 00000000 7FFFFFFF 10 = 0000000F 10 +1B 063 00000000 7FFFFFFF 11 = 0000000F 11 +1B 064 00000001 7FFFFFFF 00 = 0000001F 00 +1B 065 00000001 7FFFFFFF 01 = 0000001F 01 +1B 066 00000001 7FFFFFFF 10 = 0000001F 10 +1B 067 00000001 7FFFFFFF 11 = 0000001F 11 +1B 068 00000002 7FFFFFFF 00 = 0000002F 00 +1B 069 00000002 7FFFFFFF 01 = 0000002F 01 +1B 06A 00000002 7FFFFFFF 10 = 0000002F 10 +1B 06B 00000002 7FFFFFFF 11 = 0000002F 11 +1B 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1B 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +1B 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +1B 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +1B 070 80000000 7FFFFFFF 00 = 0000000F 00 +1B 071 80000000 7FFFFFFF 01 = 0000000F 01 +1B 072 80000000 7FFFFFFF 10 = 0000000F 10 +1B 073 80000000 7FFFFFFF 11 = 0000000F 11 +1B 074 80000001 7FFFFFFF 00 = 0000001F 00 +1B 075 80000001 7FFFFFFF 01 = 0000001F 01 +1B 076 80000001 7FFFFFFF 10 = 0000001F 10 +1B 077 80000001 7FFFFFFF 11 = 0000001F 11 +1B 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFEF 00 +1B 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFEF 01 +1B 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFEF 10 +1B 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFEF 11 +1B 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1B 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +1B 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +1B 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +1B 080 00000000 80000000 00 = 00000000 00 +1B 081 00000000 80000000 01 = 00000000 01 +1B 082 00000000 80000000 10 = 00000000 10 +1B 083 00000000 80000000 11 = 00000000 11 +1B 084 00000001 80000000 00 = 00000010 00 +1B 085 00000001 80000000 01 = 00000010 01 +1B 086 00000001 80000000 10 = 00000010 10 +1B 087 00000001 80000000 11 = 00000010 11 +1B 088 00000002 80000000 00 = 00000020 00 +1B 089 00000002 80000000 01 = 00000020 01 +1B 08A 00000002 80000000 10 = 00000020 10 +1B 08B 00000002 80000000 11 = 00000020 11 +1B 08C 7FFFFFFF 80000000 00 = FFFFFFF0 00 +1B 08D 7FFFFFFF 80000000 01 = FFFFFFF0 01 +1B 08E 7FFFFFFF 80000000 10 = FFFFFFF0 10 +1B 08F 7FFFFFFF 80000000 11 = FFFFFFF0 11 +1B 090 80000000 80000000 00 = 00000000 00 +1B 091 80000000 80000000 01 = 00000000 01 +1B 092 80000000 80000000 10 = 00000000 10 +1B 093 80000000 80000000 11 = 00000000 11 +1B 094 80000001 80000000 00 = 00000010 00 +1B 095 80000001 80000000 01 = 00000010 01 +1B 096 80000001 80000000 10 = 00000010 10 +1B 097 80000001 80000000 11 = 00000010 11 +1B 098 FFFFFFFE 80000000 00 = FFFFFFE0 00 +1B 099 FFFFFFFE 80000000 01 = FFFFFFE0 01 +1B 09A FFFFFFFE 80000000 10 = FFFFFFE0 10 +1B 09B FFFFFFFE 80000000 11 = FFFFFFE0 11 +1B 09C FFFFFFFF 80000000 00 = FFFFFFF0 00 +1B 09D FFFFFFFF 80000000 01 = FFFFFFF0 01 +1B 09E FFFFFFFF 80000000 10 = FFFFFFF0 10 +1B 09F FFFFFFFF 80000000 11 = FFFFFFF0 11 +1B 0A0 00000000 80000001 00 = 00000000 00 +1B 0A1 00000000 80000001 01 = 00000000 01 +1B 0A2 00000000 80000001 10 = 00000000 10 +1B 0A3 00000000 80000001 11 = 00000000 11 +1B 0A4 00000001 80000001 00 = 00000010 00 +1B 0A5 00000001 80000001 01 = 00000010 01 +1B 0A6 00000001 80000001 10 = 00000010 10 +1B 0A7 00000001 80000001 11 = 00000010 11 +1B 0A8 00000002 80000001 00 = 00000020 00 +1B 0A9 00000002 80000001 01 = 00000020 01 +1B 0AA 00000002 80000001 10 = 00000020 10 +1B 0AB 00000002 80000001 11 = 00000020 11 +1B 0AC 7FFFFFFF 80000001 00 = FFFFFFF0 00 +1B 0AD 7FFFFFFF 80000001 01 = FFFFFFF0 01 +1B 0AE 7FFFFFFF 80000001 10 = FFFFFFF0 10 +1B 0AF 7FFFFFFF 80000001 11 = FFFFFFF0 11 +1B 0B0 80000000 80000001 00 = 00000000 00 +1B 0B1 80000000 80000001 01 = 00000000 01 +1B 0B2 80000000 80000001 10 = 00000000 10 +1B 0B3 80000000 80000001 11 = 00000000 11 +1B 0B4 80000001 80000001 00 = 00000010 00 +1B 0B5 80000001 80000001 01 = 00000010 01 +1B 0B6 80000001 80000001 10 = 00000010 10 +1B 0B7 80000001 80000001 11 = 00000010 11 +1B 0B8 FFFFFFFE 80000001 00 = FFFFFFE0 00 +1B 0B9 FFFFFFFE 80000001 01 = FFFFFFE0 01 +1B 0BA FFFFFFFE 80000001 10 = FFFFFFE0 10 +1B 0BB FFFFFFFE 80000001 11 = FFFFFFE0 11 +1B 0BC FFFFFFFF 80000001 00 = FFFFFFF0 00 +1B 0BD FFFFFFFF 80000001 01 = FFFFFFF0 01 +1B 0BE FFFFFFFF 80000001 10 = FFFFFFF0 10 +1B 0BF FFFFFFFF 80000001 11 = FFFFFFF0 11 +1B 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +1B 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +1B 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +1B 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +1B 0C4 00000001 FFFFFFFE 00 = 0000001F 00 +1B 0C5 00000001 FFFFFFFE 01 = 0000001F 01 +1B 0C6 00000001 FFFFFFFE 10 = 0000001F 10 +1B 0C7 00000001 FFFFFFFE 11 = 0000001F 11 +1B 0C8 00000002 FFFFFFFE 00 = 0000002F 00 +1B 0C9 00000002 FFFFFFFE 01 = 0000002F 01 +1B 0CA 00000002 FFFFFFFE 10 = 0000002F 10 +1B 0CB 00000002 FFFFFFFE 11 = 0000002F 11 +1B 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1B 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1B 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1B 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1B 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +1B 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +1B 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +1B 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +1B 0D4 80000001 FFFFFFFE 00 = 0000001F 00 +1B 0D5 80000001 FFFFFFFE 01 = 0000001F 01 +1B 0D6 80000001 FFFFFFFE 10 = 0000001F 10 +1B 0D7 80000001 FFFFFFFE 11 = 0000001F 11 +1B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEF 00 +1B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEF 01 +1B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEF 10 +1B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEF 11 +1B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1B 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +1B 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +1B 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +1B 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +1B 0E4 00000001 FFFFFFFF 00 = 0000001F 00 +1B 0E5 00000001 FFFFFFFF 01 = 0000001F 01 +1B 0E6 00000001 FFFFFFFF 10 = 0000001F 10 +1B 0E7 00000001 FFFFFFFF 11 = 0000001F 11 +1B 0E8 00000002 FFFFFFFF 00 = 0000002F 00 +1B 0E9 00000002 FFFFFFFF 01 = 0000002F 01 +1B 0EA 00000002 FFFFFFFF 10 = 0000002F 10 +1B 0EB 00000002 FFFFFFFF 11 = 0000002F 11 +1B 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1B 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1B 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1B 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +1B 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +1B 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +1B 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +1B 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +1B 0F4 80000001 FFFFFFFF 00 = 0000001F 00 +1B 0F5 80000001 FFFFFFFF 01 = 0000001F 01 +1B 0F6 80000001 FFFFFFFF 10 = 0000001F 10 +1B 0F7 80000001 FFFFFFFF 11 = 0000001F 11 +1B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFEF 00 +1B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFEF 01 +1B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFEF 10 +1B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFEF 11 +1B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolnib4 ---D---- ---S---- CZ = ---Q---- CZ +1C 000 00000000 00000000 00 = 00000000 00 +1C 001 00000000 00000000 01 = 00000000 01 +1C 002 00000000 00000000 10 = 00000000 10 +1C 003 00000000 00000000 11 = 00000000 11 +1C 004 00000001 00000000 00 = 00000010 00 +1C 005 00000001 00000000 01 = 00000010 01 +1C 006 00000001 00000000 10 = 00000010 10 +1C 007 00000001 00000000 11 = 00000010 11 +1C 008 00000002 00000000 00 = 00000020 00 +1C 009 00000002 00000000 01 = 00000020 01 +1C 00A 00000002 00000000 10 = 00000020 10 +1C 00B 00000002 00000000 11 = 00000020 11 +1C 00C 7FFFFFFF 00000000 00 = FFFFFFF0 00 +1C 00D 7FFFFFFF 00000000 01 = FFFFFFF0 01 +1C 00E 7FFFFFFF 00000000 10 = FFFFFFF0 10 +1C 00F 7FFFFFFF 00000000 11 = FFFFFFF0 11 +1C 010 80000000 00000000 00 = 00000000 00 +1C 011 80000000 00000000 01 = 00000000 01 +1C 012 80000000 00000000 10 = 00000000 10 +1C 013 80000000 00000000 11 = 00000000 11 +1C 014 80000001 00000000 00 = 00000010 00 +1C 015 80000001 00000000 01 = 00000010 01 +1C 016 80000001 00000000 10 = 00000010 10 +1C 017 80000001 00000000 11 = 00000010 11 +1C 018 FFFFFFFE 00000000 00 = FFFFFFE0 00 +1C 019 FFFFFFFE 00000000 01 = FFFFFFE0 01 +1C 01A FFFFFFFE 00000000 10 = FFFFFFE0 10 +1C 01B FFFFFFFE 00000000 11 = FFFFFFE0 11 +1C 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 +1C 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 +1C 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 +1C 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 +1C 020 00000000 00000001 00 = 00000000 00 +1C 021 00000000 00000001 01 = 00000000 01 +1C 022 00000000 00000001 10 = 00000000 10 +1C 023 00000000 00000001 11 = 00000000 11 +1C 024 00000001 00000001 00 = 00000010 00 +1C 025 00000001 00000001 01 = 00000010 01 +1C 026 00000001 00000001 10 = 00000010 10 +1C 027 00000001 00000001 11 = 00000010 11 +1C 028 00000002 00000001 00 = 00000020 00 +1C 029 00000002 00000001 01 = 00000020 01 +1C 02A 00000002 00000001 10 = 00000020 10 +1C 02B 00000002 00000001 11 = 00000020 11 +1C 02C 7FFFFFFF 00000001 00 = FFFFFFF0 00 +1C 02D 7FFFFFFF 00000001 01 = FFFFFFF0 01 +1C 02E 7FFFFFFF 00000001 10 = FFFFFFF0 10 +1C 02F 7FFFFFFF 00000001 11 = FFFFFFF0 11 +1C 030 80000000 00000001 00 = 00000000 00 +1C 031 80000000 00000001 01 = 00000000 01 +1C 032 80000000 00000001 10 = 00000000 10 +1C 033 80000000 00000001 11 = 00000000 11 +1C 034 80000001 00000001 00 = 00000010 00 +1C 035 80000001 00000001 01 = 00000010 01 +1C 036 80000001 00000001 10 = 00000010 10 +1C 037 80000001 00000001 11 = 00000010 11 +1C 038 FFFFFFFE 00000001 00 = FFFFFFE0 00 +1C 039 FFFFFFFE 00000001 01 = FFFFFFE0 01 +1C 03A FFFFFFFE 00000001 10 = FFFFFFE0 10 +1C 03B FFFFFFFE 00000001 11 = FFFFFFE0 11 +1C 03C FFFFFFFF 00000001 00 = FFFFFFF0 00 +1C 03D FFFFFFFF 00000001 01 = FFFFFFF0 01 +1C 03E FFFFFFFF 00000001 10 = FFFFFFF0 10 +1C 03F FFFFFFFF 00000001 11 = FFFFFFF0 11 +1C 040 00000000 00000002 00 = 00000000 00 +1C 041 00000000 00000002 01 = 00000000 01 +1C 042 00000000 00000002 10 = 00000000 10 +1C 043 00000000 00000002 11 = 00000000 11 +1C 044 00000001 00000002 00 = 00000010 00 +1C 045 00000001 00000002 01 = 00000010 01 +1C 046 00000001 00000002 10 = 00000010 10 +1C 047 00000001 00000002 11 = 00000010 11 +1C 048 00000002 00000002 00 = 00000020 00 +1C 049 00000002 00000002 01 = 00000020 01 +1C 04A 00000002 00000002 10 = 00000020 10 +1C 04B 00000002 00000002 11 = 00000020 11 +1C 04C 7FFFFFFF 00000002 00 = FFFFFFF0 00 +1C 04D 7FFFFFFF 00000002 01 = FFFFFFF0 01 +1C 04E 7FFFFFFF 00000002 10 = FFFFFFF0 10 +1C 04F 7FFFFFFF 00000002 11 = FFFFFFF0 11 +1C 050 80000000 00000002 00 = 00000000 00 +1C 051 80000000 00000002 01 = 00000000 01 +1C 052 80000000 00000002 10 = 00000000 10 +1C 053 80000000 00000002 11 = 00000000 11 +1C 054 80000001 00000002 00 = 00000010 00 +1C 055 80000001 00000002 01 = 00000010 01 +1C 056 80000001 00000002 10 = 00000010 10 +1C 057 80000001 00000002 11 = 00000010 11 +1C 058 FFFFFFFE 00000002 00 = FFFFFFE0 00 +1C 059 FFFFFFFE 00000002 01 = FFFFFFE0 01 +1C 05A FFFFFFFE 00000002 10 = FFFFFFE0 10 +1C 05B FFFFFFFE 00000002 11 = FFFFFFE0 11 +1C 05C FFFFFFFF 00000002 00 = FFFFFFF0 00 +1C 05D FFFFFFFF 00000002 01 = FFFFFFF0 01 +1C 05E FFFFFFFF 00000002 10 = FFFFFFF0 10 +1C 05F FFFFFFFF 00000002 11 = FFFFFFF0 11 +1C 060 00000000 7FFFFFFF 00 = 0000000F 00 +1C 061 00000000 7FFFFFFF 01 = 0000000F 01 +1C 062 00000000 7FFFFFFF 10 = 0000000F 10 +1C 063 00000000 7FFFFFFF 11 = 0000000F 11 +1C 064 00000001 7FFFFFFF 00 = 0000001F 00 +1C 065 00000001 7FFFFFFF 01 = 0000001F 01 +1C 066 00000001 7FFFFFFF 10 = 0000001F 10 +1C 067 00000001 7FFFFFFF 11 = 0000001F 11 +1C 068 00000002 7FFFFFFF 00 = 0000002F 00 +1C 069 00000002 7FFFFFFF 01 = 0000002F 01 +1C 06A 00000002 7FFFFFFF 10 = 0000002F 10 +1C 06B 00000002 7FFFFFFF 11 = 0000002F 11 +1C 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1C 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +1C 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +1C 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +1C 070 80000000 7FFFFFFF 00 = 0000000F 00 +1C 071 80000000 7FFFFFFF 01 = 0000000F 01 +1C 072 80000000 7FFFFFFF 10 = 0000000F 10 +1C 073 80000000 7FFFFFFF 11 = 0000000F 11 +1C 074 80000001 7FFFFFFF 00 = 0000001F 00 +1C 075 80000001 7FFFFFFF 01 = 0000001F 01 +1C 076 80000001 7FFFFFFF 10 = 0000001F 10 +1C 077 80000001 7FFFFFFF 11 = 0000001F 11 +1C 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFEF 00 +1C 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFEF 01 +1C 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFEF 10 +1C 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFEF 11 +1C 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1C 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +1C 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +1C 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +1C 080 00000000 80000000 00 = 00000000 00 +1C 081 00000000 80000000 01 = 00000000 01 +1C 082 00000000 80000000 10 = 00000000 10 +1C 083 00000000 80000000 11 = 00000000 11 +1C 084 00000001 80000000 00 = 00000010 00 +1C 085 00000001 80000000 01 = 00000010 01 +1C 086 00000001 80000000 10 = 00000010 10 +1C 087 00000001 80000000 11 = 00000010 11 +1C 088 00000002 80000000 00 = 00000020 00 +1C 089 00000002 80000000 01 = 00000020 01 +1C 08A 00000002 80000000 10 = 00000020 10 +1C 08B 00000002 80000000 11 = 00000020 11 +1C 08C 7FFFFFFF 80000000 00 = FFFFFFF0 00 +1C 08D 7FFFFFFF 80000000 01 = FFFFFFF0 01 +1C 08E 7FFFFFFF 80000000 10 = FFFFFFF0 10 +1C 08F 7FFFFFFF 80000000 11 = FFFFFFF0 11 +1C 090 80000000 80000000 00 = 00000000 00 +1C 091 80000000 80000000 01 = 00000000 01 +1C 092 80000000 80000000 10 = 00000000 10 +1C 093 80000000 80000000 11 = 00000000 11 +1C 094 80000001 80000000 00 = 00000010 00 +1C 095 80000001 80000000 01 = 00000010 01 +1C 096 80000001 80000000 10 = 00000010 10 +1C 097 80000001 80000000 11 = 00000010 11 +1C 098 FFFFFFFE 80000000 00 = FFFFFFE0 00 +1C 099 FFFFFFFE 80000000 01 = FFFFFFE0 01 +1C 09A FFFFFFFE 80000000 10 = FFFFFFE0 10 +1C 09B FFFFFFFE 80000000 11 = FFFFFFE0 11 +1C 09C FFFFFFFF 80000000 00 = FFFFFFF0 00 +1C 09D FFFFFFFF 80000000 01 = FFFFFFF0 01 +1C 09E FFFFFFFF 80000000 10 = FFFFFFF0 10 +1C 09F FFFFFFFF 80000000 11 = FFFFFFF0 11 +1C 0A0 00000000 80000001 00 = 00000000 00 +1C 0A1 00000000 80000001 01 = 00000000 01 +1C 0A2 00000000 80000001 10 = 00000000 10 +1C 0A3 00000000 80000001 11 = 00000000 11 +1C 0A4 00000001 80000001 00 = 00000010 00 +1C 0A5 00000001 80000001 01 = 00000010 01 +1C 0A6 00000001 80000001 10 = 00000010 10 +1C 0A7 00000001 80000001 11 = 00000010 11 +1C 0A8 00000002 80000001 00 = 00000020 00 +1C 0A9 00000002 80000001 01 = 00000020 01 +1C 0AA 00000002 80000001 10 = 00000020 10 +1C 0AB 00000002 80000001 11 = 00000020 11 +1C 0AC 7FFFFFFF 80000001 00 = FFFFFFF0 00 +1C 0AD 7FFFFFFF 80000001 01 = FFFFFFF0 01 +1C 0AE 7FFFFFFF 80000001 10 = FFFFFFF0 10 +1C 0AF 7FFFFFFF 80000001 11 = FFFFFFF0 11 +1C 0B0 80000000 80000001 00 = 00000000 00 +1C 0B1 80000000 80000001 01 = 00000000 01 +1C 0B2 80000000 80000001 10 = 00000000 10 +1C 0B3 80000000 80000001 11 = 00000000 11 +1C 0B4 80000001 80000001 00 = 00000010 00 +1C 0B5 80000001 80000001 01 = 00000010 01 +1C 0B6 80000001 80000001 10 = 00000010 10 +1C 0B7 80000001 80000001 11 = 00000010 11 +1C 0B8 FFFFFFFE 80000001 00 = FFFFFFE0 00 +1C 0B9 FFFFFFFE 80000001 01 = FFFFFFE0 01 +1C 0BA FFFFFFFE 80000001 10 = FFFFFFE0 10 +1C 0BB FFFFFFFE 80000001 11 = FFFFFFE0 11 +1C 0BC FFFFFFFF 80000001 00 = FFFFFFF0 00 +1C 0BD FFFFFFFF 80000001 01 = FFFFFFF0 01 +1C 0BE FFFFFFFF 80000001 10 = FFFFFFF0 10 +1C 0BF FFFFFFFF 80000001 11 = FFFFFFF0 11 +1C 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +1C 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +1C 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +1C 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +1C 0C4 00000001 FFFFFFFE 00 = 0000001F 00 +1C 0C5 00000001 FFFFFFFE 01 = 0000001F 01 +1C 0C6 00000001 FFFFFFFE 10 = 0000001F 10 +1C 0C7 00000001 FFFFFFFE 11 = 0000001F 11 +1C 0C8 00000002 FFFFFFFE 00 = 0000002F 00 +1C 0C9 00000002 FFFFFFFE 01 = 0000002F 01 +1C 0CA 00000002 FFFFFFFE 10 = 0000002F 10 +1C 0CB 00000002 FFFFFFFE 11 = 0000002F 11 +1C 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1C 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1C 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1C 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1C 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +1C 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +1C 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +1C 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +1C 0D4 80000001 FFFFFFFE 00 = 0000001F 00 +1C 0D5 80000001 FFFFFFFE 01 = 0000001F 01 +1C 0D6 80000001 FFFFFFFE 10 = 0000001F 10 +1C 0D7 80000001 FFFFFFFE 11 = 0000001F 11 +1C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEF 00 +1C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEF 01 +1C 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEF 10 +1C 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEF 11 +1C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1C 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1C 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1C 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +1C 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +1C 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +1C 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +1C 0E4 00000001 FFFFFFFF 00 = 0000001F 00 +1C 0E5 00000001 FFFFFFFF 01 = 0000001F 01 +1C 0E6 00000001 FFFFFFFF 10 = 0000001F 10 +1C 0E7 00000001 FFFFFFFF 11 = 0000001F 11 +1C 0E8 00000002 FFFFFFFF 00 = 0000002F 00 +1C 0E9 00000002 FFFFFFFF 01 = 0000002F 01 +1C 0EA 00000002 FFFFFFFF 10 = 0000002F 10 +1C 0EB 00000002 FFFFFFFF 11 = 0000002F 11 +1C 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1C 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1C 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1C 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +1C 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +1C 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +1C 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +1C 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +1C 0F4 80000001 FFFFFFFF 00 = 0000001F 00 +1C 0F5 80000001 FFFFFFFF 01 = 0000001F 01 +1C 0F6 80000001 FFFFFFFF 10 = 0000001F 10 +1C 0F7 80000001 FFFFFFFF 11 = 0000001F 11 +1C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFEF 00 +1C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFEF 01 +1C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFEF 10 +1C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFEF 11 +1C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1C 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1C 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolnib5 ---D---- ---S---- CZ = ---Q---- CZ +1D 000 00000000 00000000 00 = 00000000 00 +1D 001 00000000 00000000 01 = 00000000 01 +1D 002 00000000 00000000 10 = 00000000 10 +1D 003 00000000 00000000 11 = 00000000 11 +1D 004 00000001 00000000 00 = 00000010 00 +1D 005 00000001 00000000 01 = 00000010 01 +1D 006 00000001 00000000 10 = 00000010 10 +1D 007 00000001 00000000 11 = 00000010 11 +1D 008 00000002 00000000 00 = 00000020 00 +1D 009 00000002 00000000 01 = 00000020 01 +1D 00A 00000002 00000000 10 = 00000020 10 +1D 00B 00000002 00000000 11 = 00000020 11 +1D 00C 7FFFFFFF 00000000 00 = FFFFFFF0 00 +1D 00D 7FFFFFFF 00000000 01 = FFFFFFF0 01 +1D 00E 7FFFFFFF 00000000 10 = FFFFFFF0 10 +1D 00F 7FFFFFFF 00000000 11 = FFFFFFF0 11 +1D 010 80000000 00000000 00 = 00000000 00 +1D 011 80000000 00000000 01 = 00000000 01 +1D 012 80000000 00000000 10 = 00000000 10 +1D 013 80000000 00000000 11 = 00000000 11 +1D 014 80000001 00000000 00 = 00000010 00 +1D 015 80000001 00000000 01 = 00000010 01 +1D 016 80000001 00000000 10 = 00000010 10 +1D 017 80000001 00000000 11 = 00000010 11 +1D 018 FFFFFFFE 00000000 00 = FFFFFFE0 00 +1D 019 FFFFFFFE 00000000 01 = FFFFFFE0 01 +1D 01A FFFFFFFE 00000000 10 = FFFFFFE0 10 +1D 01B FFFFFFFE 00000000 11 = FFFFFFE0 11 +1D 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 +1D 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 +1D 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 +1D 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 +1D 020 00000000 00000001 00 = 00000000 00 +1D 021 00000000 00000001 01 = 00000000 01 +1D 022 00000000 00000001 10 = 00000000 10 +1D 023 00000000 00000001 11 = 00000000 11 +1D 024 00000001 00000001 00 = 00000010 00 +1D 025 00000001 00000001 01 = 00000010 01 +1D 026 00000001 00000001 10 = 00000010 10 +1D 027 00000001 00000001 11 = 00000010 11 +1D 028 00000002 00000001 00 = 00000020 00 +1D 029 00000002 00000001 01 = 00000020 01 +1D 02A 00000002 00000001 10 = 00000020 10 +1D 02B 00000002 00000001 11 = 00000020 11 +1D 02C 7FFFFFFF 00000001 00 = FFFFFFF0 00 +1D 02D 7FFFFFFF 00000001 01 = FFFFFFF0 01 +1D 02E 7FFFFFFF 00000001 10 = FFFFFFF0 10 +1D 02F 7FFFFFFF 00000001 11 = FFFFFFF0 11 +1D 030 80000000 00000001 00 = 00000000 00 +1D 031 80000000 00000001 01 = 00000000 01 +1D 032 80000000 00000001 10 = 00000000 10 +1D 033 80000000 00000001 11 = 00000000 11 +1D 034 80000001 00000001 00 = 00000010 00 +1D 035 80000001 00000001 01 = 00000010 01 +1D 036 80000001 00000001 10 = 00000010 10 +1D 037 80000001 00000001 11 = 00000010 11 +1D 038 FFFFFFFE 00000001 00 = FFFFFFE0 00 +1D 039 FFFFFFFE 00000001 01 = FFFFFFE0 01 +1D 03A FFFFFFFE 00000001 10 = FFFFFFE0 10 +1D 03B FFFFFFFE 00000001 11 = FFFFFFE0 11 +1D 03C FFFFFFFF 00000001 00 = FFFFFFF0 00 +1D 03D FFFFFFFF 00000001 01 = FFFFFFF0 01 +1D 03E FFFFFFFF 00000001 10 = FFFFFFF0 10 +1D 03F FFFFFFFF 00000001 11 = FFFFFFF0 11 +1D 040 00000000 00000002 00 = 00000000 00 +1D 041 00000000 00000002 01 = 00000000 01 +1D 042 00000000 00000002 10 = 00000000 10 +1D 043 00000000 00000002 11 = 00000000 11 +1D 044 00000001 00000002 00 = 00000010 00 +1D 045 00000001 00000002 01 = 00000010 01 +1D 046 00000001 00000002 10 = 00000010 10 +1D 047 00000001 00000002 11 = 00000010 11 +1D 048 00000002 00000002 00 = 00000020 00 +1D 049 00000002 00000002 01 = 00000020 01 +1D 04A 00000002 00000002 10 = 00000020 10 +1D 04B 00000002 00000002 11 = 00000020 11 +1D 04C 7FFFFFFF 00000002 00 = FFFFFFF0 00 +1D 04D 7FFFFFFF 00000002 01 = FFFFFFF0 01 +1D 04E 7FFFFFFF 00000002 10 = FFFFFFF0 10 +1D 04F 7FFFFFFF 00000002 11 = FFFFFFF0 11 +1D 050 80000000 00000002 00 = 00000000 00 +1D 051 80000000 00000002 01 = 00000000 01 +1D 052 80000000 00000002 10 = 00000000 10 +1D 053 80000000 00000002 11 = 00000000 11 +1D 054 80000001 00000002 00 = 00000010 00 +1D 055 80000001 00000002 01 = 00000010 01 +1D 056 80000001 00000002 10 = 00000010 10 +1D 057 80000001 00000002 11 = 00000010 11 +1D 058 FFFFFFFE 00000002 00 = FFFFFFE0 00 +1D 059 FFFFFFFE 00000002 01 = FFFFFFE0 01 +1D 05A FFFFFFFE 00000002 10 = FFFFFFE0 10 +1D 05B FFFFFFFE 00000002 11 = FFFFFFE0 11 +1D 05C FFFFFFFF 00000002 00 = FFFFFFF0 00 +1D 05D FFFFFFFF 00000002 01 = FFFFFFF0 01 +1D 05E FFFFFFFF 00000002 10 = FFFFFFF0 10 +1D 05F FFFFFFFF 00000002 11 = FFFFFFF0 11 +1D 060 00000000 7FFFFFFF 00 = 0000000F 00 +1D 061 00000000 7FFFFFFF 01 = 0000000F 01 +1D 062 00000000 7FFFFFFF 10 = 0000000F 10 +1D 063 00000000 7FFFFFFF 11 = 0000000F 11 +1D 064 00000001 7FFFFFFF 00 = 0000001F 00 +1D 065 00000001 7FFFFFFF 01 = 0000001F 01 +1D 066 00000001 7FFFFFFF 10 = 0000001F 10 +1D 067 00000001 7FFFFFFF 11 = 0000001F 11 +1D 068 00000002 7FFFFFFF 00 = 0000002F 00 +1D 069 00000002 7FFFFFFF 01 = 0000002F 01 +1D 06A 00000002 7FFFFFFF 10 = 0000002F 10 +1D 06B 00000002 7FFFFFFF 11 = 0000002F 11 +1D 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1D 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +1D 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +1D 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +1D 070 80000000 7FFFFFFF 00 = 0000000F 00 +1D 071 80000000 7FFFFFFF 01 = 0000000F 01 +1D 072 80000000 7FFFFFFF 10 = 0000000F 10 +1D 073 80000000 7FFFFFFF 11 = 0000000F 11 +1D 074 80000001 7FFFFFFF 00 = 0000001F 00 +1D 075 80000001 7FFFFFFF 01 = 0000001F 01 +1D 076 80000001 7FFFFFFF 10 = 0000001F 10 +1D 077 80000001 7FFFFFFF 11 = 0000001F 11 +1D 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFEF 00 +1D 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFEF 01 +1D 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFEF 10 +1D 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFEF 11 +1D 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1D 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +1D 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +1D 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +1D 080 00000000 80000000 00 = 00000000 00 +1D 081 00000000 80000000 01 = 00000000 01 +1D 082 00000000 80000000 10 = 00000000 10 +1D 083 00000000 80000000 11 = 00000000 11 +1D 084 00000001 80000000 00 = 00000010 00 +1D 085 00000001 80000000 01 = 00000010 01 +1D 086 00000001 80000000 10 = 00000010 10 +1D 087 00000001 80000000 11 = 00000010 11 +1D 088 00000002 80000000 00 = 00000020 00 +1D 089 00000002 80000000 01 = 00000020 01 +1D 08A 00000002 80000000 10 = 00000020 10 +1D 08B 00000002 80000000 11 = 00000020 11 +1D 08C 7FFFFFFF 80000000 00 = FFFFFFF0 00 +1D 08D 7FFFFFFF 80000000 01 = FFFFFFF0 01 +1D 08E 7FFFFFFF 80000000 10 = FFFFFFF0 10 +1D 08F 7FFFFFFF 80000000 11 = FFFFFFF0 11 +1D 090 80000000 80000000 00 = 00000000 00 +1D 091 80000000 80000000 01 = 00000000 01 +1D 092 80000000 80000000 10 = 00000000 10 +1D 093 80000000 80000000 11 = 00000000 11 +1D 094 80000001 80000000 00 = 00000010 00 +1D 095 80000001 80000000 01 = 00000010 01 +1D 096 80000001 80000000 10 = 00000010 10 +1D 097 80000001 80000000 11 = 00000010 11 +1D 098 FFFFFFFE 80000000 00 = FFFFFFE0 00 +1D 099 FFFFFFFE 80000000 01 = FFFFFFE0 01 +1D 09A FFFFFFFE 80000000 10 = FFFFFFE0 10 +1D 09B FFFFFFFE 80000000 11 = FFFFFFE0 11 +1D 09C FFFFFFFF 80000000 00 = FFFFFFF0 00 +1D 09D FFFFFFFF 80000000 01 = FFFFFFF0 01 +1D 09E FFFFFFFF 80000000 10 = FFFFFFF0 10 +1D 09F FFFFFFFF 80000000 11 = FFFFFFF0 11 +1D 0A0 00000000 80000001 00 = 00000000 00 +1D 0A1 00000000 80000001 01 = 00000000 01 +1D 0A2 00000000 80000001 10 = 00000000 10 +1D 0A3 00000000 80000001 11 = 00000000 11 +1D 0A4 00000001 80000001 00 = 00000010 00 +1D 0A5 00000001 80000001 01 = 00000010 01 +1D 0A6 00000001 80000001 10 = 00000010 10 +1D 0A7 00000001 80000001 11 = 00000010 11 +1D 0A8 00000002 80000001 00 = 00000020 00 +1D 0A9 00000002 80000001 01 = 00000020 01 +1D 0AA 00000002 80000001 10 = 00000020 10 +1D 0AB 00000002 80000001 11 = 00000020 11 +1D 0AC 7FFFFFFF 80000001 00 = FFFFFFF0 00 +1D 0AD 7FFFFFFF 80000001 01 = FFFFFFF0 01 +1D 0AE 7FFFFFFF 80000001 10 = FFFFFFF0 10 +1D 0AF 7FFFFFFF 80000001 11 = FFFFFFF0 11 +1D 0B0 80000000 80000001 00 = 00000000 00 +1D 0B1 80000000 80000001 01 = 00000000 01 +1D 0B2 80000000 80000001 10 = 00000000 10 +1D 0B3 80000000 80000001 11 = 00000000 11 +1D 0B4 80000001 80000001 00 = 00000010 00 +1D 0B5 80000001 80000001 01 = 00000010 01 +1D 0B6 80000001 80000001 10 = 00000010 10 +1D 0B7 80000001 80000001 11 = 00000010 11 +1D 0B8 FFFFFFFE 80000001 00 = FFFFFFE0 00 +1D 0B9 FFFFFFFE 80000001 01 = FFFFFFE0 01 +1D 0BA FFFFFFFE 80000001 10 = FFFFFFE0 10 +1D 0BB FFFFFFFE 80000001 11 = FFFFFFE0 11 +1D 0BC FFFFFFFF 80000001 00 = FFFFFFF0 00 +1D 0BD FFFFFFFF 80000001 01 = FFFFFFF0 01 +1D 0BE FFFFFFFF 80000001 10 = FFFFFFF0 10 +1D 0BF FFFFFFFF 80000001 11 = FFFFFFF0 11 +1D 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +1D 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +1D 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +1D 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +1D 0C4 00000001 FFFFFFFE 00 = 0000001F 00 +1D 0C5 00000001 FFFFFFFE 01 = 0000001F 01 +1D 0C6 00000001 FFFFFFFE 10 = 0000001F 10 +1D 0C7 00000001 FFFFFFFE 11 = 0000001F 11 +1D 0C8 00000002 FFFFFFFE 00 = 0000002F 00 +1D 0C9 00000002 FFFFFFFE 01 = 0000002F 01 +1D 0CA 00000002 FFFFFFFE 10 = 0000002F 10 +1D 0CB 00000002 FFFFFFFE 11 = 0000002F 11 +1D 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1D 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1D 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1D 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1D 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +1D 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +1D 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +1D 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +1D 0D4 80000001 FFFFFFFE 00 = 0000001F 00 +1D 0D5 80000001 FFFFFFFE 01 = 0000001F 01 +1D 0D6 80000001 FFFFFFFE 10 = 0000001F 10 +1D 0D7 80000001 FFFFFFFE 11 = 0000001F 11 +1D 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEF 00 +1D 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEF 01 +1D 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEF 10 +1D 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEF 11 +1D 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1D 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1D 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1D 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1D 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +1D 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +1D 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +1D 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +1D 0E4 00000001 FFFFFFFF 00 = 0000001F 00 +1D 0E5 00000001 FFFFFFFF 01 = 0000001F 01 +1D 0E6 00000001 FFFFFFFF 10 = 0000001F 10 +1D 0E7 00000001 FFFFFFFF 11 = 0000001F 11 +1D 0E8 00000002 FFFFFFFF 00 = 0000002F 00 +1D 0E9 00000002 FFFFFFFF 01 = 0000002F 01 +1D 0EA 00000002 FFFFFFFF 10 = 0000002F 10 +1D 0EB 00000002 FFFFFFFF 11 = 0000002F 11 +1D 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1D 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1D 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1D 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +1D 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +1D 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +1D 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +1D 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +1D 0F4 80000001 FFFFFFFF 00 = 0000001F 00 +1D 0F5 80000001 FFFFFFFF 01 = 0000001F 01 +1D 0F6 80000001 FFFFFFFF 10 = 0000001F 10 +1D 0F7 80000001 FFFFFFFF 11 = 0000001F 11 +1D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFEF 00 +1D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFEF 01 +1D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFEF 10 +1D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFEF 11 +1D 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1D 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolnib6 ---D---- ---S---- CZ = ---Q---- CZ +1E 000 00000000 00000000 00 = 00000000 00 +1E 001 00000000 00000000 01 = 00000000 01 +1E 002 00000000 00000000 10 = 00000000 10 +1E 003 00000000 00000000 11 = 00000000 11 +1E 004 00000001 00000000 00 = 00000010 00 +1E 005 00000001 00000000 01 = 00000010 01 +1E 006 00000001 00000000 10 = 00000010 10 +1E 007 00000001 00000000 11 = 00000010 11 +1E 008 00000002 00000000 00 = 00000020 00 +1E 009 00000002 00000000 01 = 00000020 01 +1E 00A 00000002 00000000 10 = 00000020 10 +1E 00B 00000002 00000000 11 = 00000020 11 +1E 00C 7FFFFFFF 00000000 00 = FFFFFFF0 00 +1E 00D 7FFFFFFF 00000000 01 = FFFFFFF0 01 +1E 00E 7FFFFFFF 00000000 10 = FFFFFFF0 10 +1E 00F 7FFFFFFF 00000000 11 = FFFFFFF0 11 +1E 010 80000000 00000000 00 = 00000000 00 +1E 011 80000000 00000000 01 = 00000000 01 +1E 012 80000000 00000000 10 = 00000000 10 +1E 013 80000000 00000000 11 = 00000000 11 +1E 014 80000001 00000000 00 = 00000010 00 +1E 015 80000001 00000000 01 = 00000010 01 +1E 016 80000001 00000000 10 = 00000010 10 +1E 017 80000001 00000000 11 = 00000010 11 +1E 018 FFFFFFFE 00000000 00 = FFFFFFE0 00 +1E 019 FFFFFFFE 00000000 01 = FFFFFFE0 01 +1E 01A FFFFFFFE 00000000 10 = FFFFFFE0 10 +1E 01B FFFFFFFE 00000000 11 = FFFFFFE0 11 +1E 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 +1E 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 +1E 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 +1E 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 +1E 020 00000000 00000001 00 = 00000000 00 +1E 021 00000000 00000001 01 = 00000000 01 +1E 022 00000000 00000001 10 = 00000000 10 +1E 023 00000000 00000001 11 = 00000000 11 +1E 024 00000001 00000001 00 = 00000010 00 +1E 025 00000001 00000001 01 = 00000010 01 +1E 026 00000001 00000001 10 = 00000010 10 +1E 027 00000001 00000001 11 = 00000010 11 +1E 028 00000002 00000001 00 = 00000020 00 +1E 029 00000002 00000001 01 = 00000020 01 +1E 02A 00000002 00000001 10 = 00000020 10 +1E 02B 00000002 00000001 11 = 00000020 11 +1E 02C 7FFFFFFF 00000001 00 = FFFFFFF0 00 +1E 02D 7FFFFFFF 00000001 01 = FFFFFFF0 01 +1E 02E 7FFFFFFF 00000001 10 = FFFFFFF0 10 +1E 02F 7FFFFFFF 00000001 11 = FFFFFFF0 11 +1E 030 80000000 00000001 00 = 00000000 00 +1E 031 80000000 00000001 01 = 00000000 01 +1E 032 80000000 00000001 10 = 00000000 10 +1E 033 80000000 00000001 11 = 00000000 11 +1E 034 80000001 00000001 00 = 00000010 00 +1E 035 80000001 00000001 01 = 00000010 01 +1E 036 80000001 00000001 10 = 00000010 10 +1E 037 80000001 00000001 11 = 00000010 11 +1E 038 FFFFFFFE 00000001 00 = FFFFFFE0 00 +1E 039 FFFFFFFE 00000001 01 = FFFFFFE0 01 +1E 03A FFFFFFFE 00000001 10 = FFFFFFE0 10 +1E 03B FFFFFFFE 00000001 11 = FFFFFFE0 11 +1E 03C FFFFFFFF 00000001 00 = FFFFFFF0 00 +1E 03D FFFFFFFF 00000001 01 = FFFFFFF0 01 +1E 03E FFFFFFFF 00000001 10 = FFFFFFF0 10 +1E 03F FFFFFFFF 00000001 11 = FFFFFFF0 11 +1E 040 00000000 00000002 00 = 00000000 00 +1E 041 00000000 00000002 01 = 00000000 01 +1E 042 00000000 00000002 10 = 00000000 10 +1E 043 00000000 00000002 11 = 00000000 11 +1E 044 00000001 00000002 00 = 00000010 00 +1E 045 00000001 00000002 01 = 00000010 01 +1E 046 00000001 00000002 10 = 00000010 10 +1E 047 00000001 00000002 11 = 00000010 11 +1E 048 00000002 00000002 00 = 00000020 00 +1E 049 00000002 00000002 01 = 00000020 01 +1E 04A 00000002 00000002 10 = 00000020 10 +1E 04B 00000002 00000002 11 = 00000020 11 +1E 04C 7FFFFFFF 00000002 00 = FFFFFFF0 00 +1E 04D 7FFFFFFF 00000002 01 = FFFFFFF0 01 +1E 04E 7FFFFFFF 00000002 10 = FFFFFFF0 10 +1E 04F 7FFFFFFF 00000002 11 = FFFFFFF0 11 +1E 050 80000000 00000002 00 = 00000000 00 +1E 051 80000000 00000002 01 = 00000000 01 +1E 052 80000000 00000002 10 = 00000000 10 +1E 053 80000000 00000002 11 = 00000000 11 +1E 054 80000001 00000002 00 = 00000010 00 +1E 055 80000001 00000002 01 = 00000010 01 +1E 056 80000001 00000002 10 = 00000010 10 +1E 057 80000001 00000002 11 = 00000010 11 +1E 058 FFFFFFFE 00000002 00 = FFFFFFE0 00 +1E 059 FFFFFFFE 00000002 01 = FFFFFFE0 01 +1E 05A FFFFFFFE 00000002 10 = FFFFFFE0 10 +1E 05B FFFFFFFE 00000002 11 = FFFFFFE0 11 +1E 05C FFFFFFFF 00000002 00 = FFFFFFF0 00 +1E 05D FFFFFFFF 00000002 01 = FFFFFFF0 01 +1E 05E FFFFFFFF 00000002 10 = FFFFFFF0 10 +1E 05F FFFFFFFF 00000002 11 = FFFFFFF0 11 +1E 060 00000000 7FFFFFFF 00 = 0000000F 00 +1E 061 00000000 7FFFFFFF 01 = 0000000F 01 +1E 062 00000000 7FFFFFFF 10 = 0000000F 10 +1E 063 00000000 7FFFFFFF 11 = 0000000F 11 +1E 064 00000001 7FFFFFFF 00 = 0000001F 00 +1E 065 00000001 7FFFFFFF 01 = 0000001F 01 +1E 066 00000001 7FFFFFFF 10 = 0000001F 10 +1E 067 00000001 7FFFFFFF 11 = 0000001F 11 +1E 068 00000002 7FFFFFFF 00 = 0000002F 00 +1E 069 00000002 7FFFFFFF 01 = 0000002F 01 +1E 06A 00000002 7FFFFFFF 10 = 0000002F 10 +1E 06B 00000002 7FFFFFFF 11 = 0000002F 11 +1E 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1E 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +1E 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +1E 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +1E 070 80000000 7FFFFFFF 00 = 0000000F 00 +1E 071 80000000 7FFFFFFF 01 = 0000000F 01 +1E 072 80000000 7FFFFFFF 10 = 0000000F 10 +1E 073 80000000 7FFFFFFF 11 = 0000000F 11 +1E 074 80000001 7FFFFFFF 00 = 0000001F 00 +1E 075 80000001 7FFFFFFF 01 = 0000001F 01 +1E 076 80000001 7FFFFFFF 10 = 0000001F 10 +1E 077 80000001 7FFFFFFF 11 = 0000001F 11 +1E 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFEF 00 +1E 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFEF 01 +1E 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFEF 10 +1E 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFEF 11 +1E 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +1E 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +1E 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +1E 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +1E 080 00000000 80000000 00 = 00000000 00 +1E 081 00000000 80000000 01 = 00000000 01 +1E 082 00000000 80000000 10 = 00000000 10 +1E 083 00000000 80000000 11 = 00000000 11 +1E 084 00000001 80000000 00 = 00000010 00 +1E 085 00000001 80000000 01 = 00000010 01 +1E 086 00000001 80000000 10 = 00000010 10 +1E 087 00000001 80000000 11 = 00000010 11 +1E 088 00000002 80000000 00 = 00000020 00 +1E 089 00000002 80000000 01 = 00000020 01 +1E 08A 00000002 80000000 10 = 00000020 10 +1E 08B 00000002 80000000 11 = 00000020 11 +1E 08C 7FFFFFFF 80000000 00 = FFFFFFF0 00 +1E 08D 7FFFFFFF 80000000 01 = FFFFFFF0 01 +1E 08E 7FFFFFFF 80000000 10 = FFFFFFF0 10 +1E 08F 7FFFFFFF 80000000 11 = FFFFFFF0 11 +1E 090 80000000 80000000 00 = 00000000 00 +1E 091 80000000 80000000 01 = 00000000 01 +1E 092 80000000 80000000 10 = 00000000 10 +1E 093 80000000 80000000 11 = 00000000 11 +1E 094 80000001 80000000 00 = 00000010 00 +1E 095 80000001 80000000 01 = 00000010 01 +1E 096 80000001 80000000 10 = 00000010 10 +1E 097 80000001 80000000 11 = 00000010 11 +1E 098 FFFFFFFE 80000000 00 = FFFFFFE0 00 +1E 099 FFFFFFFE 80000000 01 = FFFFFFE0 01 +1E 09A FFFFFFFE 80000000 10 = FFFFFFE0 10 +1E 09B FFFFFFFE 80000000 11 = FFFFFFE0 11 +1E 09C FFFFFFFF 80000000 00 = FFFFFFF0 00 +1E 09D FFFFFFFF 80000000 01 = FFFFFFF0 01 +1E 09E FFFFFFFF 80000000 10 = FFFFFFF0 10 +1E 09F FFFFFFFF 80000000 11 = FFFFFFF0 11 +1E 0A0 00000000 80000001 00 = 00000000 00 +1E 0A1 00000000 80000001 01 = 00000000 01 +1E 0A2 00000000 80000001 10 = 00000000 10 +1E 0A3 00000000 80000001 11 = 00000000 11 +1E 0A4 00000001 80000001 00 = 00000010 00 +1E 0A5 00000001 80000001 01 = 00000010 01 +1E 0A6 00000001 80000001 10 = 00000010 10 +1E 0A7 00000001 80000001 11 = 00000010 11 +1E 0A8 00000002 80000001 00 = 00000020 00 +1E 0A9 00000002 80000001 01 = 00000020 01 +1E 0AA 00000002 80000001 10 = 00000020 10 +1E 0AB 00000002 80000001 11 = 00000020 11 +1E 0AC 7FFFFFFF 80000001 00 = FFFFFFF0 00 +1E 0AD 7FFFFFFF 80000001 01 = FFFFFFF0 01 +1E 0AE 7FFFFFFF 80000001 10 = FFFFFFF0 10 +1E 0AF 7FFFFFFF 80000001 11 = FFFFFFF0 11 +1E 0B0 80000000 80000001 00 = 00000000 00 +1E 0B1 80000000 80000001 01 = 00000000 01 +1E 0B2 80000000 80000001 10 = 00000000 10 +1E 0B3 80000000 80000001 11 = 00000000 11 +1E 0B4 80000001 80000001 00 = 00000010 00 +1E 0B5 80000001 80000001 01 = 00000010 01 +1E 0B6 80000001 80000001 10 = 00000010 10 +1E 0B7 80000001 80000001 11 = 00000010 11 +1E 0B8 FFFFFFFE 80000001 00 = FFFFFFE0 00 +1E 0B9 FFFFFFFE 80000001 01 = FFFFFFE0 01 +1E 0BA FFFFFFFE 80000001 10 = FFFFFFE0 10 +1E 0BB FFFFFFFE 80000001 11 = FFFFFFE0 11 +1E 0BC FFFFFFFF 80000001 00 = FFFFFFF0 00 +1E 0BD FFFFFFFF 80000001 01 = FFFFFFF0 01 +1E 0BE FFFFFFFF 80000001 10 = FFFFFFF0 10 +1E 0BF FFFFFFFF 80000001 11 = FFFFFFF0 11 +1E 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +1E 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +1E 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +1E 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +1E 0C4 00000001 FFFFFFFE 00 = 0000001F 00 +1E 0C5 00000001 FFFFFFFE 01 = 0000001F 01 +1E 0C6 00000001 FFFFFFFE 10 = 0000001F 10 +1E 0C7 00000001 FFFFFFFE 11 = 0000001F 11 +1E 0C8 00000002 FFFFFFFE 00 = 0000002F 00 +1E 0C9 00000002 FFFFFFFE 01 = 0000002F 01 +1E 0CA 00000002 FFFFFFFE 10 = 0000002F 10 +1E 0CB 00000002 FFFFFFFE 11 = 0000002F 11 +1E 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1E 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1E 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1E 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1E 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +1E 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +1E 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +1E 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +1E 0D4 80000001 FFFFFFFE 00 = 0000001F 00 +1E 0D5 80000001 FFFFFFFE 01 = 0000001F 01 +1E 0D6 80000001 FFFFFFFE 10 = 0000001F 10 +1E 0D7 80000001 FFFFFFFE 11 = 0000001F 11 +1E 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEF 00 +1E 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEF 01 +1E 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEF 10 +1E 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEF 11 +1E 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1E 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1E 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1E 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1E 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +1E 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +1E 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +1E 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +1E 0E4 00000001 FFFFFFFF 00 = 0000001F 00 +1E 0E5 00000001 FFFFFFFF 01 = 0000001F 01 +1E 0E6 00000001 FFFFFFFF 10 = 0000001F 10 +1E 0E7 00000001 FFFFFFFF 11 = 0000001F 11 +1E 0E8 00000002 FFFFFFFF 00 = 0000002F 00 +1E 0E9 00000002 FFFFFFFF 01 = 0000002F 01 +1E 0EA 00000002 FFFFFFFF 10 = 0000002F 10 +1E 0EB 00000002 FFFFFFFF 11 = 0000002F 11 +1E 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1E 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1E 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1E 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +1E 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +1E 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +1E 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +1E 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +1E 0F4 80000001 FFFFFFFF 00 = 0000001F 00 +1E 0F5 80000001 FFFFFFFF 01 = 0000001F 01 +1E 0F6 80000001 FFFFFFFF 10 = 0000001F 10 +1E 0F7 80000001 FFFFFFFF 11 = 0000001F 11 +1E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFEF 00 +1E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFEF 01 +1E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFEF 10 +1E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFEF 11 +1E 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1E 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1E 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1E 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolnib7 ---D---- ---S---- CZ = ---Q---- CZ +1F 000 00000000 00000000 00 = 00000000 00 +1F 001 00000000 00000000 01 = 00000000 01 +1F 002 00000000 00000000 10 = 00000000 10 +1F 003 00000000 00000000 11 = 00000000 11 +1F 004 00000001 00000000 00 = 00000010 00 +1F 005 00000001 00000000 01 = 00000010 01 +1F 006 00000001 00000000 10 = 00000010 10 +1F 007 00000001 00000000 11 = 00000010 11 +1F 008 00000002 00000000 00 = 00000020 00 +1F 009 00000002 00000000 01 = 00000020 01 +1F 00A 00000002 00000000 10 = 00000020 10 +1F 00B 00000002 00000000 11 = 00000020 11 +1F 00C 7FFFFFFF 00000000 00 = FFFFFFF0 00 +1F 00D 7FFFFFFF 00000000 01 = FFFFFFF0 01 +1F 00E 7FFFFFFF 00000000 10 = FFFFFFF0 10 +1F 00F 7FFFFFFF 00000000 11 = FFFFFFF0 11 +1F 010 80000000 00000000 00 = 00000000 00 +1F 011 80000000 00000000 01 = 00000000 01 +1F 012 80000000 00000000 10 = 00000000 10 +1F 013 80000000 00000000 11 = 00000000 11 +1F 014 80000001 00000000 00 = 00000010 00 +1F 015 80000001 00000000 01 = 00000010 01 +1F 016 80000001 00000000 10 = 00000010 10 +1F 017 80000001 00000000 11 = 00000010 11 +1F 018 FFFFFFFE 00000000 00 = FFFFFFE0 00 +1F 019 FFFFFFFE 00000000 01 = FFFFFFE0 01 +1F 01A FFFFFFFE 00000000 10 = FFFFFFE0 10 +1F 01B FFFFFFFE 00000000 11 = FFFFFFE0 11 +1F 01C FFFFFFFF 00000000 00 = FFFFFFF0 00 +1F 01D FFFFFFFF 00000000 01 = FFFFFFF0 01 +1F 01E FFFFFFFF 00000000 10 = FFFFFFF0 10 +1F 01F FFFFFFFF 00000000 11 = FFFFFFF0 11 +1F 020 00000000 00000001 00 = 00000000 00 +1F 021 00000000 00000001 01 = 00000000 01 +1F 022 00000000 00000001 10 = 00000000 10 +1F 023 00000000 00000001 11 = 00000000 11 +1F 024 00000001 00000001 00 = 00000010 00 +1F 025 00000001 00000001 01 = 00000010 01 +1F 026 00000001 00000001 10 = 00000010 10 +1F 027 00000001 00000001 11 = 00000010 11 +1F 028 00000002 00000001 00 = 00000020 00 +1F 029 00000002 00000001 01 = 00000020 01 +1F 02A 00000002 00000001 10 = 00000020 10 +1F 02B 00000002 00000001 11 = 00000020 11 +1F 02C 7FFFFFFF 00000001 00 = FFFFFFF0 00 +1F 02D 7FFFFFFF 00000001 01 = FFFFFFF0 01 +1F 02E 7FFFFFFF 00000001 10 = FFFFFFF0 10 +1F 02F 7FFFFFFF 00000001 11 = FFFFFFF0 11 +1F 030 80000000 00000001 00 = 00000000 00 +1F 031 80000000 00000001 01 = 00000000 01 +1F 032 80000000 00000001 10 = 00000000 10 +1F 033 80000000 00000001 11 = 00000000 11 +1F 034 80000001 00000001 00 = 00000010 00 +1F 035 80000001 00000001 01 = 00000010 01 +1F 036 80000001 00000001 10 = 00000010 10 +1F 037 80000001 00000001 11 = 00000010 11 +1F 038 FFFFFFFE 00000001 00 = FFFFFFE0 00 +1F 039 FFFFFFFE 00000001 01 = FFFFFFE0 01 +1F 03A FFFFFFFE 00000001 10 = FFFFFFE0 10 +1F 03B FFFFFFFE 00000001 11 = FFFFFFE0 11 +1F 03C FFFFFFFF 00000001 00 = FFFFFFF0 00 +1F 03D FFFFFFFF 00000001 01 = FFFFFFF0 01 +1F 03E FFFFFFFF 00000001 10 = FFFFFFF0 10 +1F 03F FFFFFFFF 00000001 11 = FFFFFFF0 11 +1F 040 00000000 00000002 00 = 00000000 00 +1F 041 00000000 00000002 01 = 00000000 01 +1F 042 00000000 00000002 10 = 00000000 10 +1F 043 00000000 00000002 11 = 00000000 11 +1F 044 00000001 00000002 00 = 00000010 00 +1F 045 00000001 00000002 01 = 00000010 01 +1F 046 00000001 00000002 10 = 00000010 10 +1F 047 00000001 00000002 11 = 00000010 11 +1F 048 00000002 00000002 00 = 00000020 00 +1F 049 00000002 00000002 01 = 00000020 01 +1F 04A 00000002 00000002 10 = 00000020 10 +1F 04B 00000002 00000002 11 = 00000020 11 +1F 04C 7FFFFFFF 00000002 00 = FFFFFFF0 00 +1F 04D 7FFFFFFF 00000002 01 = FFFFFFF0 01 +1F 04E 7FFFFFFF 00000002 10 = FFFFFFF0 10 +1F 04F 7FFFFFFF 00000002 11 = FFFFFFF0 11 +1F 050 80000000 00000002 00 = 00000000 00 +1F 051 80000000 00000002 01 = 00000000 01 +1F 052 80000000 00000002 10 = 00000000 10 +1F 053 80000000 00000002 11 = 00000000 11 +1F 054 80000001 00000002 00 = 00000010 00 +1F 055 80000001 00000002 01 = 00000010 01 +1F 056 80000001 00000002 10 = 00000010 10 +1F 057 80000001 00000002 11 = 00000010 11 +1F 058 FFFFFFFE 00000002 00 = FFFFFFE0 00 +1F 059 FFFFFFFE 00000002 01 = FFFFFFE0 01 +1F 05A FFFFFFFE 00000002 10 = FFFFFFE0 10 +1F 05B FFFFFFFE 00000002 11 = FFFFFFE0 11 +1F 05C FFFFFFFF 00000002 00 = FFFFFFF0 00 +1F 05D FFFFFFFF 00000002 01 = FFFFFFF0 01 +1F 05E FFFFFFFF 00000002 10 = FFFFFFF0 10 +1F 05F FFFFFFFF 00000002 11 = FFFFFFF0 11 +1F 060 00000000 7FFFFFFF 00 = 00000007 00 +1F 061 00000000 7FFFFFFF 01 = 00000007 01 +1F 062 00000000 7FFFFFFF 10 = 00000007 10 +1F 063 00000000 7FFFFFFF 11 = 00000007 11 +1F 064 00000001 7FFFFFFF 00 = 00000017 00 +1F 065 00000001 7FFFFFFF 01 = 00000017 01 +1F 066 00000001 7FFFFFFF 10 = 00000017 10 +1F 067 00000001 7FFFFFFF 11 = 00000017 11 +1F 068 00000002 7FFFFFFF 00 = 00000027 00 +1F 069 00000002 7FFFFFFF 01 = 00000027 01 +1F 06A 00000002 7FFFFFFF 10 = 00000027 10 +1F 06B 00000002 7FFFFFFF 11 = 00000027 11 +1F 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFF7 00 +1F 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFF7 01 +1F 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFF7 10 +1F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFF7 11 +1F 070 80000000 7FFFFFFF 00 = 00000007 00 +1F 071 80000000 7FFFFFFF 01 = 00000007 01 +1F 072 80000000 7FFFFFFF 10 = 00000007 10 +1F 073 80000000 7FFFFFFF 11 = 00000007 11 +1F 074 80000001 7FFFFFFF 00 = 00000017 00 +1F 075 80000001 7FFFFFFF 01 = 00000017 01 +1F 076 80000001 7FFFFFFF 10 = 00000017 10 +1F 077 80000001 7FFFFFFF 11 = 00000017 11 +1F 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFE7 00 +1F 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFE7 01 +1F 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFE7 10 +1F 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFE7 11 +1F 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFF7 00 +1F 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFF7 01 +1F 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFF7 10 +1F 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFF7 11 +1F 080 00000000 80000000 00 = 00000008 00 +1F 081 00000000 80000000 01 = 00000008 01 +1F 082 00000000 80000000 10 = 00000008 10 +1F 083 00000000 80000000 11 = 00000008 11 +1F 084 00000001 80000000 00 = 00000018 00 +1F 085 00000001 80000000 01 = 00000018 01 +1F 086 00000001 80000000 10 = 00000018 10 +1F 087 00000001 80000000 11 = 00000018 11 +1F 088 00000002 80000000 00 = 00000028 00 +1F 089 00000002 80000000 01 = 00000028 01 +1F 08A 00000002 80000000 10 = 00000028 10 +1F 08B 00000002 80000000 11 = 00000028 11 +1F 08C 7FFFFFFF 80000000 00 = FFFFFFF8 00 +1F 08D 7FFFFFFF 80000000 01 = FFFFFFF8 01 +1F 08E 7FFFFFFF 80000000 10 = FFFFFFF8 10 +1F 08F 7FFFFFFF 80000000 11 = FFFFFFF8 11 +1F 090 80000000 80000000 00 = 00000008 00 +1F 091 80000000 80000000 01 = 00000008 01 +1F 092 80000000 80000000 10 = 00000008 10 +1F 093 80000000 80000000 11 = 00000008 11 +1F 094 80000001 80000000 00 = 00000018 00 +1F 095 80000001 80000000 01 = 00000018 01 +1F 096 80000001 80000000 10 = 00000018 10 +1F 097 80000001 80000000 11 = 00000018 11 +1F 098 FFFFFFFE 80000000 00 = FFFFFFE8 00 +1F 099 FFFFFFFE 80000000 01 = FFFFFFE8 01 +1F 09A FFFFFFFE 80000000 10 = FFFFFFE8 10 +1F 09B FFFFFFFE 80000000 11 = FFFFFFE8 11 +1F 09C FFFFFFFF 80000000 00 = FFFFFFF8 00 +1F 09D FFFFFFFF 80000000 01 = FFFFFFF8 01 +1F 09E FFFFFFFF 80000000 10 = FFFFFFF8 10 +1F 09F FFFFFFFF 80000000 11 = FFFFFFF8 11 +1F 0A0 00000000 80000001 00 = 00000008 00 +1F 0A1 00000000 80000001 01 = 00000008 01 +1F 0A2 00000000 80000001 10 = 00000008 10 +1F 0A3 00000000 80000001 11 = 00000008 11 +1F 0A4 00000001 80000001 00 = 00000018 00 +1F 0A5 00000001 80000001 01 = 00000018 01 +1F 0A6 00000001 80000001 10 = 00000018 10 +1F 0A7 00000001 80000001 11 = 00000018 11 +1F 0A8 00000002 80000001 00 = 00000028 00 +1F 0A9 00000002 80000001 01 = 00000028 01 +1F 0AA 00000002 80000001 10 = 00000028 10 +1F 0AB 00000002 80000001 11 = 00000028 11 +1F 0AC 7FFFFFFF 80000001 00 = FFFFFFF8 00 +1F 0AD 7FFFFFFF 80000001 01 = FFFFFFF8 01 +1F 0AE 7FFFFFFF 80000001 10 = FFFFFFF8 10 +1F 0AF 7FFFFFFF 80000001 11 = FFFFFFF8 11 +1F 0B0 80000000 80000001 00 = 00000008 00 +1F 0B1 80000000 80000001 01 = 00000008 01 +1F 0B2 80000000 80000001 10 = 00000008 10 +1F 0B3 80000000 80000001 11 = 00000008 11 +1F 0B4 80000001 80000001 00 = 00000018 00 +1F 0B5 80000001 80000001 01 = 00000018 01 +1F 0B6 80000001 80000001 10 = 00000018 10 +1F 0B7 80000001 80000001 11 = 00000018 11 +1F 0B8 FFFFFFFE 80000001 00 = FFFFFFE8 00 +1F 0B9 FFFFFFFE 80000001 01 = FFFFFFE8 01 +1F 0BA FFFFFFFE 80000001 10 = FFFFFFE8 10 +1F 0BB FFFFFFFE 80000001 11 = FFFFFFE8 11 +1F 0BC FFFFFFFF 80000001 00 = FFFFFFF8 00 +1F 0BD FFFFFFFF 80000001 01 = FFFFFFF8 01 +1F 0BE FFFFFFFF 80000001 10 = FFFFFFF8 10 +1F 0BF FFFFFFFF 80000001 11 = FFFFFFF8 11 +1F 0C0 00000000 FFFFFFFE 00 = 0000000F 00 +1F 0C1 00000000 FFFFFFFE 01 = 0000000F 01 +1F 0C2 00000000 FFFFFFFE 10 = 0000000F 10 +1F 0C3 00000000 FFFFFFFE 11 = 0000000F 11 +1F 0C4 00000001 FFFFFFFE 00 = 0000001F 00 +1F 0C5 00000001 FFFFFFFE 01 = 0000001F 01 +1F 0C6 00000001 FFFFFFFE 10 = 0000001F 10 +1F 0C7 00000001 FFFFFFFE 11 = 0000001F 11 +1F 0C8 00000002 FFFFFFFE 00 = 0000002F 00 +1F 0C9 00000002 FFFFFFFE 01 = 0000002F 01 +1F 0CA 00000002 FFFFFFFE 10 = 0000002F 10 +1F 0CB 00000002 FFFFFFFE 11 = 0000002F 11 +1F 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1F 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1F 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1F 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1F 0D0 80000000 FFFFFFFE 00 = 0000000F 00 +1F 0D1 80000000 FFFFFFFE 01 = 0000000F 01 +1F 0D2 80000000 FFFFFFFE 10 = 0000000F 10 +1F 0D3 80000000 FFFFFFFE 11 = 0000000F 11 +1F 0D4 80000001 FFFFFFFE 00 = 0000001F 00 +1F 0D5 80000001 FFFFFFFE 01 = 0000001F 01 +1F 0D6 80000001 FFFFFFFE 10 = 0000001F 10 +1F 0D7 80000001 FFFFFFFE 11 = 0000001F 11 +1F 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFEF 00 +1F 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFEF 01 +1F 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFEF 10 +1F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFEF 11 +1F 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +1F 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +1F 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +1F 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +1F 0E0 00000000 FFFFFFFF 00 = 0000000F 00 +1F 0E1 00000000 FFFFFFFF 01 = 0000000F 01 +1F 0E2 00000000 FFFFFFFF 10 = 0000000F 10 +1F 0E3 00000000 FFFFFFFF 11 = 0000000F 11 +1F 0E4 00000001 FFFFFFFF 00 = 0000001F 00 +1F 0E5 00000001 FFFFFFFF 01 = 0000001F 01 +1F 0E6 00000001 FFFFFFFF 10 = 0000001F 10 +1F 0E7 00000001 FFFFFFFF 11 = 0000001F 11 +1F 0E8 00000002 FFFFFFFF 00 = 0000002F 00 +1F 0E9 00000002 FFFFFFFF 01 = 0000002F 01 +1F 0EA 00000002 FFFFFFFF 10 = 0000002F 10 +1F 0EB 00000002 FFFFFFFF 11 = 0000002F 11 +1F 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1F 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1F 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1F 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +1F 0F0 80000000 FFFFFFFF 00 = 0000000F 00 +1F 0F1 80000000 FFFFFFFF 01 = 0000000F 01 +1F 0F2 80000000 FFFFFFFF 10 = 0000000F 10 +1F 0F3 80000000 FFFFFFFF 11 = 0000000F 11 +1F 0F4 80000001 FFFFFFFF 00 = 0000001F 00 +1F 0F5 80000001 FFFFFFFF 01 = 0000001F 01 +1F 0F6 80000001 FFFFFFFF 10 = 0000001F 10 +1F 0F7 80000001 FFFFFFFF 11 = 0000001F 11 +1F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFEF 00 +1F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFEF 01 +1F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFEF 10 +1F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFEF 11 +1F 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +1F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +1F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +1F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setbyte0 ---D---- ---S---- CZ = ---Q---- CZ +20 000 00000000 00000000 00 = 00000000 00 +20 001 00000000 00000000 01 = 00000000 01 +20 002 00000000 00000000 10 = 00000000 10 +20 003 00000000 00000000 11 = 00000000 11 +20 004 00000001 00000000 00 = 00000000 00 +20 005 00000001 00000000 01 = 00000000 01 +20 006 00000001 00000000 10 = 00000000 10 +20 007 00000001 00000000 11 = 00000000 11 +20 008 00000002 00000000 00 = 00000000 00 +20 009 00000002 00000000 01 = 00000000 01 +20 00A 00000002 00000000 10 = 00000000 10 +20 00B 00000002 00000000 11 = 00000000 11 +20 00C 7FFFFFFF 00000000 00 = 7FFFFF00 00 +20 00D 7FFFFFFF 00000000 01 = 7FFFFF00 01 +20 00E 7FFFFFFF 00000000 10 = 7FFFFF00 10 +20 00F 7FFFFFFF 00000000 11 = 7FFFFF00 11 +20 010 80000000 00000000 00 = 80000000 00 +20 011 80000000 00000000 01 = 80000000 01 +20 012 80000000 00000000 10 = 80000000 10 +20 013 80000000 00000000 11 = 80000000 11 +20 014 80000001 00000000 00 = 80000000 00 +20 015 80000001 00000000 01 = 80000000 01 +20 016 80000001 00000000 10 = 80000000 10 +20 017 80000001 00000000 11 = 80000000 11 +20 018 FFFFFFFE 00000000 00 = FFFFFF00 00 +20 019 FFFFFFFE 00000000 01 = FFFFFF00 01 +20 01A FFFFFFFE 00000000 10 = FFFFFF00 10 +20 01B FFFFFFFE 00000000 11 = FFFFFF00 11 +20 01C FFFFFFFF 00000000 00 = FFFFFF00 00 +20 01D FFFFFFFF 00000000 01 = FFFFFF00 01 +20 01E FFFFFFFF 00000000 10 = FFFFFF00 10 +20 01F FFFFFFFF 00000000 11 = FFFFFF00 11 +20 020 00000000 00000001 00 = 00000001 00 +20 021 00000000 00000001 01 = 00000001 01 +20 022 00000000 00000001 10 = 00000001 10 +20 023 00000000 00000001 11 = 00000001 11 +20 024 00000001 00000001 00 = 00000001 00 +20 025 00000001 00000001 01 = 00000001 01 +20 026 00000001 00000001 10 = 00000001 10 +20 027 00000001 00000001 11 = 00000001 11 +20 028 00000002 00000001 00 = 00000001 00 +20 029 00000002 00000001 01 = 00000001 01 +20 02A 00000002 00000001 10 = 00000001 10 +20 02B 00000002 00000001 11 = 00000001 11 +20 02C 7FFFFFFF 00000001 00 = 7FFFFF01 00 +20 02D 7FFFFFFF 00000001 01 = 7FFFFF01 01 +20 02E 7FFFFFFF 00000001 10 = 7FFFFF01 10 +20 02F 7FFFFFFF 00000001 11 = 7FFFFF01 11 +20 030 80000000 00000001 00 = 80000001 00 +20 031 80000000 00000001 01 = 80000001 01 +20 032 80000000 00000001 10 = 80000001 10 +20 033 80000000 00000001 11 = 80000001 11 +20 034 80000001 00000001 00 = 80000001 00 +20 035 80000001 00000001 01 = 80000001 01 +20 036 80000001 00000001 10 = 80000001 10 +20 037 80000001 00000001 11 = 80000001 11 +20 038 FFFFFFFE 00000001 00 = FFFFFF01 00 +20 039 FFFFFFFE 00000001 01 = FFFFFF01 01 +20 03A FFFFFFFE 00000001 10 = FFFFFF01 10 +20 03B FFFFFFFE 00000001 11 = FFFFFF01 11 +20 03C FFFFFFFF 00000001 00 = FFFFFF01 00 +20 03D FFFFFFFF 00000001 01 = FFFFFF01 01 +20 03E FFFFFFFF 00000001 10 = FFFFFF01 10 +20 03F FFFFFFFF 00000001 11 = FFFFFF01 11 +20 040 00000000 00000002 00 = 00000002 00 +20 041 00000000 00000002 01 = 00000002 01 +20 042 00000000 00000002 10 = 00000002 10 +20 043 00000000 00000002 11 = 00000002 11 +20 044 00000001 00000002 00 = 00000002 00 +20 045 00000001 00000002 01 = 00000002 01 +20 046 00000001 00000002 10 = 00000002 10 +20 047 00000001 00000002 11 = 00000002 11 +20 048 00000002 00000002 00 = 00000002 00 +20 049 00000002 00000002 01 = 00000002 01 +20 04A 00000002 00000002 10 = 00000002 10 +20 04B 00000002 00000002 11 = 00000002 11 +20 04C 7FFFFFFF 00000002 00 = 7FFFFF02 00 +20 04D 7FFFFFFF 00000002 01 = 7FFFFF02 01 +20 04E 7FFFFFFF 00000002 10 = 7FFFFF02 10 +20 04F 7FFFFFFF 00000002 11 = 7FFFFF02 11 +20 050 80000000 00000002 00 = 80000002 00 +20 051 80000000 00000002 01 = 80000002 01 +20 052 80000000 00000002 10 = 80000002 10 +20 053 80000000 00000002 11 = 80000002 11 +20 054 80000001 00000002 00 = 80000002 00 +20 055 80000001 00000002 01 = 80000002 01 +20 056 80000001 00000002 10 = 80000002 10 +20 057 80000001 00000002 11 = 80000002 11 +20 058 FFFFFFFE 00000002 00 = FFFFFF02 00 +20 059 FFFFFFFE 00000002 01 = FFFFFF02 01 +20 05A FFFFFFFE 00000002 10 = FFFFFF02 10 +20 05B FFFFFFFE 00000002 11 = FFFFFF02 11 +20 05C FFFFFFFF 00000002 00 = FFFFFF02 00 +20 05D FFFFFFFF 00000002 01 = FFFFFF02 01 +20 05E FFFFFFFF 00000002 10 = FFFFFF02 10 +20 05F FFFFFFFF 00000002 11 = FFFFFF02 11 +20 060 00000000 7FFFFFFF 00 = 000000FF 00 +20 061 00000000 7FFFFFFF 01 = 000000FF 01 +20 062 00000000 7FFFFFFF 10 = 000000FF 10 +20 063 00000000 7FFFFFFF 11 = 000000FF 11 +20 064 00000001 7FFFFFFF 00 = 000000FF 00 +20 065 00000001 7FFFFFFF 01 = 000000FF 01 +20 066 00000001 7FFFFFFF 10 = 000000FF 10 +20 067 00000001 7FFFFFFF 11 = 000000FF 11 +20 068 00000002 7FFFFFFF 00 = 000000FF 00 +20 069 00000002 7FFFFFFF 01 = 000000FF 01 +20 06A 00000002 7FFFFFFF 10 = 000000FF 10 +20 06B 00000002 7FFFFFFF 11 = 000000FF 11 +20 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +20 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +20 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +20 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +20 070 80000000 7FFFFFFF 00 = 800000FF 00 +20 071 80000000 7FFFFFFF 01 = 800000FF 01 +20 072 80000000 7FFFFFFF 10 = 800000FF 10 +20 073 80000000 7FFFFFFF 11 = 800000FF 11 +20 074 80000001 7FFFFFFF 00 = 800000FF 00 +20 075 80000001 7FFFFFFF 01 = 800000FF 01 +20 076 80000001 7FFFFFFF 10 = 800000FF 10 +20 077 80000001 7FFFFFFF 11 = 800000FF 11 +20 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +20 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 +20 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +20 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 +20 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +20 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +20 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +20 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +20 080 00000000 80000000 00 = 00000000 00 +20 081 00000000 80000000 01 = 00000000 01 +20 082 00000000 80000000 10 = 00000000 10 +20 083 00000000 80000000 11 = 00000000 11 +20 084 00000001 80000000 00 = 00000000 00 +20 085 00000001 80000000 01 = 00000000 01 +20 086 00000001 80000000 10 = 00000000 10 +20 087 00000001 80000000 11 = 00000000 11 +20 088 00000002 80000000 00 = 00000000 00 +20 089 00000002 80000000 01 = 00000000 01 +20 08A 00000002 80000000 10 = 00000000 10 +20 08B 00000002 80000000 11 = 00000000 11 +20 08C 7FFFFFFF 80000000 00 = 7FFFFF00 00 +20 08D 7FFFFFFF 80000000 01 = 7FFFFF00 01 +20 08E 7FFFFFFF 80000000 10 = 7FFFFF00 10 +20 08F 7FFFFFFF 80000000 11 = 7FFFFF00 11 +20 090 80000000 80000000 00 = 80000000 00 +20 091 80000000 80000000 01 = 80000000 01 +20 092 80000000 80000000 10 = 80000000 10 +20 093 80000000 80000000 11 = 80000000 11 +20 094 80000001 80000000 00 = 80000000 00 +20 095 80000001 80000000 01 = 80000000 01 +20 096 80000001 80000000 10 = 80000000 10 +20 097 80000001 80000000 11 = 80000000 11 +20 098 FFFFFFFE 80000000 00 = FFFFFF00 00 +20 099 FFFFFFFE 80000000 01 = FFFFFF00 01 +20 09A FFFFFFFE 80000000 10 = FFFFFF00 10 +20 09B FFFFFFFE 80000000 11 = FFFFFF00 11 +20 09C FFFFFFFF 80000000 00 = FFFFFF00 00 +20 09D FFFFFFFF 80000000 01 = FFFFFF00 01 +20 09E FFFFFFFF 80000000 10 = FFFFFF00 10 +20 09F FFFFFFFF 80000000 11 = FFFFFF00 11 +20 0A0 00000000 80000001 00 = 00000001 00 +20 0A1 00000000 80000001 01 = 00000001 01 +20 0A2 00000000 80000001 10 = 00000001 10 +20 0A3 00000000 80000001 11 = 00000001 11 +20 0A4 00000001 80000001 00 = 00000001 00 +20 0A5 00000001 80000001 01 = 00000001 01 +20 0A6 00000001 80000001 10 = 00000001 10 +20 0A7 00000001 80000001 11 = 00000001 11 +20 0A8 00000002 80000001 00 = 00000001 00 +20 0A9 00000002 80000001 01 = 00000001 01 +20 0AA 00000002 80000001 10 = 00000001 10 +20 0AB 00000002 80000001 11 = 00000001 11 +20 0AC 7FFFFFFF 80000001 00 = 7FFFFF01 00 +20 0AD 7FFFFFFF 80000001 01 = 7FFFFF01 01 +20 0AE 7FFFFFFF 80000001 10 = 7FFFFF01 10 +20 0AF 7FFFFFFF 80000001 11 = 7FFFFF01 11 +20 0B0 80000000 80000001 00 = 80000001 00 +20 0B1 80000000 80000001 01 = 80000001 01 +20 0B2 80000000 80000001 10 = 80000001 10 +20 0B3 80000000 80000001 11 = 80000001 11 +20 0B4 80000001 80000001 00 = 80000001 00 +20 0B5 80000001 80000001 01 = 80000001 01 +20 0B6 80000001 80000001 10 = 80000001 10 +20 0B7 80000001 80000001 11 = 80000001 11 +20 0B8 FFFFFFFE 80000001 00 = FFFFFF01 00 +20 0B9 FFFFFFFE 80000001 01 = FFFFFF01 01 +20 0BA FFFFFFFE 80000001 10 = FFFFFF01 10 +20 0BB FFFFFFFE 80000001 11 = FFFFFF01 11 +20 0BC FFFFFFFF 80000001 00 = FFFFFF01 00 +20 0BD FFFFFFFF 80000001 01 = FFFFFF01 01 +20 0BE FFFFFFFF 80000001 10 = FFFFFF01 10 +20 0BF FFFFFFFF 80000001 11 = FFFFFF01 11 +20 0C0 00000000 FFFFFFFE 00 = 000000FE 00 +20 0C1 00000000 FFFFFFFE 01 = 000000FE 01 +20 0C2 00000000 FFFFFFFE 10 = 000000FE 10 +20 0C3 00000000 FFFFFFFE 11 = 000000FE 11 +20 0C4 00000001 FFFFFFFE 00 = 000000FE 00 +20 0C5 00000001 FFFFFFFE 01 = 000000FE 01 +20 0C6 00000001 FFFFFFFE 10 = 000000FE 10 +20 0C7 00000001 FFFFFFFE 11 = 000000FE 11 +20 0C8 00000002 FFFFFFFE 00 = 000000FE 00 +20 0C9 00000002 FFFFFFFE 01 = 000000FE 01 +20 0CA 00000002 FFFFFFFE 10 = 000000FE 10 +20 0CB 00000002 FFFFFFFE 11 = 000000FE 11 +20 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 +20 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 01 +20 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 10 +20 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 11 +20 0D0 80000000 FFFFFFFE 00 = 800000FE 00 +20 0D1 80000000 FFFFFFFE 01 = 800000FE 01 +20 0D2 80000000 FFFFFFFE 10 = 800000FE 10 +20 0D3 80000000 FFFFFFFE 11 = 800000FE 11 +20 0D4 80000001 FFFFFFFE 00 = 800000FE 00 +20 0D5 80000001 FFFFFFFE 01 = 800000FE 01 +20 0D6 80000001 FFFFFFFE 10 = 800000FE 10 +20 0D7 80000001 FFFFFFFE 11 = 800000FE 11 +20 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +20 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +20 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +20 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +20 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +20 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +20 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +20 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +20 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +20 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +20 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +20 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +20 0E4 00000001 FFFFFFFF 00 = 000000FF 00 +20 0E5 00000001 FFFFFFFF 01 = 000000FF 01 +20 0E6 00000001 FFFFFFFF 10 = 000000FF 10 +20 0E7 00000001 FFFFFFFF 11 = 000000FF 11 +20 0E8 00000002 FFFFFFFF 00 = 000000FF 00 +20 0E9 00000002 FFFFFFFF 01 = 000000FF 01 +20 0EA 00000002 FFFFFFFF 10 = 000000FF 10 +20 0EB 00000002 FFFFFFFF 11 = 000000FF 11 +20 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +20 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +20 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +20 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +20 0F0 80000000 FFFFFFFF 00 = 800000FF 00 +20 0F1 80000000 FFFFFFFF 01 = 800000FF 01 +20 0F2 80000000 FFFFFFFF 10 = 800000FF 10 +20 0F3 80000000 FFFFFFFF 11 = 800000FF 11 +20 0F4 80000001 FFFFFFFF 00 = 800000FF 00 +20 0F5 80000001 FFFFFFFF 01 = 800000FF 01 +20 0F6 80000001 FFFFFFFF 10 = 800000FF 10 +20 0F7 80000001 FFFFFFFF 11 = 800000FF 11 +20 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +20 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +20 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +20 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +20 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +20 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +20 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +20 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setbyte1 ---D---- ---S---- CZ = ---Q---- CZ +21 000 00000000 00000000 00 = 00000000 00 +21 001 00000000 00000000 01 = 00000000 01 +21 002 00000000 00000000 10 = 00000000 10 +21 003 00000000 00000000 11 = 00000000 11 +21 004 00000001 00000000 00 = 00000001 00 +21 005 00000001 00000000 01 = 00000001 01 +21 006 00000001 00000000 10 = 00000001 10 +21 007 00000001 00000000 11 = 00000001 11 +21 008 00000002 00000000 00 = 00000002 00 +21 009 00000002 00000000 01 = 00000002 01 +21 00A 00000002 00000000 10 = 00000002 10 +21 00B 00000002 00000000 11 = 00000002 11 +21 00C 7FFFFFFF 00000000 00 = 7FFF00FF 00 +21 00D 7FFFFFFF 00000000 01 = 7FFF00FF 01 +21 00E 7FFFFFFF 00000000 10 = 7FFF00FF 10 +21 00F 7FFFFFFF 00000000 11 = 7FFF00FF 11 +21 010 80000000 00000000 00 = 80000000 00 +21 011 80000000 00000000 01 = 80000000 01 +21 012 80000000 00000000 10 = 80000000 10 +21 013 80000000 00000000 11 = 80000000 11 +21 014 80000001 00000000 00 = 80000001 00 +21 015 80000001 00000000 01 = 80000001 01 +21 016 80000001 00000000 10 = 80000001 10 +21 017 80000001 00000000 11 = 80000001 11 +21 018 FFFFFFFE 00000000 00 = FFFF00FE 00 +21 019 FFFFFFFE 00000000 01 = FFFF00FE 01 +21 01A FFFFFFFE 00000000 10 = FFFF00FE 10 +21 01B FFFFFFFE 00000000 11 = FFFF00FE 11 +21 01C FFFFFFFF 00000000 00 = FFFF00FF 00 +21 01D FFFFFFFF 00000000 01 = FFFF00FF 01 +21 01E FFFFFFFF 00000000 10 = FFFF00FF 10 +21 01F FFFFFFFF 00000000 11 = FFFF00FF 11 +21 020 00000000 00000001 00 = 00000100 00 +21 021 00000000 00000001 01 = 00000100 01 +21 022 00000000 00000001 10 = 00000100 10 +21 023 00000000 00000001 11 = 00000100 11 +21 024 00000001 00000001 00 = 00000101 00 +21 025 00000001 00000001 01 = 00000101 01 +21 026 00000001 00000001 10 = 00000101 10 +21 027 00000001 00000001 11 = 00000101 11 +21 028 00000002 00000001 00 = 00000102 00 +21 029 00000002 00000001 01 = 00000102 01 +21 02A 00000002 00000001 10 = 00000102 10 +21 02B 00000002 00000001 11 = 00000102 11 +21 02C 7FFFFFFF 00000001 00 = 7FFF01FF 00 +21 02D 7FFFFFFF 00000001 01 = 7FFF01FF 01 +21 02E 7FFFFFFF 00000001 10 = 7FFF01FF 10 +21 02F 7FFFFFFF 00000001 11 = 7FFF01FF 11 +21 030 80000000 00000001 00 = 80000100 00 +21 031 80000000 00000001 01 = 80000100 01 +21 032 80000000 00000001 10 = 80000100 10 +21 033 80000000 00000001 11 = 80000100 11 +21 034 80000001 00000001 00 = 80000101 00 +21 035 80000001 00000001 01 = 80000101 01 +21 036 80000001 00000001 10 = 80000101 10 +21 037 80000001 00000001 11 = 80000101 11 +21 038 FFFFFFFE 00000001 00 = FFFF01FE 00 +21 039 FFFFFFFE 00000001 01 = FFFF01FE 01 +21 03A FFFFFFFE 00000001 10 = FFFF01FE 10 +21 03B FFFFFFFE 00000001 11 = FFFF01FE 11 +21 03C FFFFFFFF 00000001 00 = FFFF01FF 00 +21 03D FFFFFFFF 00000001 01 = FFFF01FF 01 +21 03E FFFFFFFF 00000001 10 = FFFF01FF 10 +21 03F FFFFFFFF 00000001 11 = FFFF01FF 11 +21 040 00000000 00000002 00 = 00000200 00 +21 041 00000000 00000002 01 = 00000200 01 +21 042 00000000 00000002 10 = 00000200 10 +21 043 00000000 00000002 11 = 00000200 11 +21 044 00000001 00000002 00 = 00000201 00 +21 045 00000001 00000002 01 = 00000201 01 +21 046 00000001 00000002 10 = 00000201 10 +21 047 00000001 00000002 11 = 00000201 11 +21 048 00000002 00000002 00 = 00000202 00 +21 049 00000002 00000002 01 = 00000202 01 +21 04A 00000002 00000002 10 = 00000202 10 +21 04B 00000002 00000002 11 = 00000202 11 +21 04C 7FFFFFFF 00000002 00 = 7FFF02FF 00 +21 04D 7FFFFFFF 00000002 01 = 7FFF02FF 01 +21 04E 7FFFFFFF 00000002 10 = 7FFF02FF 10 +21 04F 7FFFFFFF 00000002 11 = 7FFF02FF 11 +21 050 80000000 00000002 00 = 80000200 00 +21 051 80000000 00000002 01 = 80000200 01 +21 052 80000000 00000002 10 = 80000200 10 +21 053 80000000 00000002 11 = 80000200 11 +21 054 80000001 00000002 00 = 80000201 00 +21 055 80000001 00000002 01 = 80000201 01 +21 056 80000001 00000002 10 = 80000201 10 +21 057 80000001 00000002 11 = 80000201 11 +21 058 FFFFFFFE 00000002 00 = FFFF02FE 00 +21 059 FFFFFFFE 00000002 01 = FFFF02FE 01 +21 05A FFFFFFFE 00000002 10 = FFFF02FE 10 +21 05B FFFFFFFE 00000002 11 = FFFF02FE 11 +21 05C FFFFFFFF 00000002 00 = FFFF02FF 00 +21 05D FFFFFFFF 00000002 01 = FFFF02FF 01 +21 05E FFFFFFFF 00000002 10 = FFFF02FF 10 +21 05F FFFFFFFF 00000002 11 = FFFF02FF 11 +21 060 00000000 7FFFFFFF 00 = 0000FF00 00 +21 061 00000000 7FFFFFFF 01 = 0000FF00 01 +21 062 00000000 7FFFFFFF 10 = 0000FF00 10 +21 063 00000000 7FFFFFFF 11 = 0000FF00 11 +21 064 00000001 7FFFFFFF 00 = 0000FF01 00 +21 065 00000001 7FFFFFFF 01 = 0000FF01 01 +21 066 00000001 7FFFFFFF 10 = 0000FF01 10 +21 067 00000001 7FFFFFFF 11 = 0000FF01 11 +21 068 00000002 7FFFFFFF 00 = 0000FF02 00 +21 069 00000002 7FFFFFFF 01 = 0000FF02 01 +21 06A 00000002 7FFFFFFF 10 = 0000FF02 10 +21 06B 00000002 7FFFFFFF 11 = 0000FF02 11 +21 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +21 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +21 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +21 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +21 070 80000000 7FFFFFFF 00 = 8000FF00 00 +21 071 80000000 7FFFFFFF 01 = 8000FF00 01 +21 072 80000000 7FFFFFFF 10 = 8000FF00 10 +21 073 80000000 7FFFFFFF 11 = 8000FF00 11 +21 074 80000001 7FFFFFFF 00 = 8000FF01 00 +21 075 80000001 7FFFFFFF 01 = 8000FF01 01 +21 076 80000001 7FFFFFFF 10 = 8000FF01 10 +21 077 80000001 7FFFFFFF 11 = 8000FF01 11 +21 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +21 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +21 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +21 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +21 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +21 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +21 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +21 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +21 080 00000000 80000000 00 = 00000000 00 +21 081 00000000 80000000 01 = 00000000 01 +21 082 00000000 80000000 10 = 00000000 10 +21 083 00000000 80000000 11 = 00000000 11 +21 084 00000001 80000000 00 = 00000001 00 +21 085 00000001 80000000 01 = 00000001 01 +21 086 00000001 80000000 10 = 00000001 10 +21 087 00000001 80000000 11 = 00000001 11 +21 088 00000002 80000000 00 = 00000002 00 +21 089 00000002 80000000 01 = 00000002 01 +21 08A 00000002 80000000 10 = 00000002 10 +21 08B 00000002 80000000 11 = 00000002 11 +21 08C 7FFFFFFF 80000000 00 = 7FFF00FF 00 +21 08D 7FFFFFFF 80000000 01 = 7FFF00FF 01 +21 08E 7FFFFFFF 80000000 10 = 7FFF00FF 10 +21 08F 7FFFFFFF 80000000 11 = 7FFF00FF 11 +21 090 80000000 80000000 00 = 80000000 00 +21 091 80000000 80000000 01 = 80000000 01 +21 092 80000000 80000000 10 = 80000000 10 +21 093 80000000 80000000 11 = 80000000 11 +21 094 80000001 80000000 00 = 80000001 00 +21 095 80000001 80000000 01 = 80000001 01 +21 096 80000001 80000000 10 = 80000001 10 +21 097 80000001 80000000 11 = 80000001 11 +21 098 FFFFFFFE 80000000 00 = FFFF00FE 00 +21 099 FFFFFFFE 80000000 01 = FFFF00FE 01 +21 09A FFFFFFFE 80000000 10 = FFFF00FE 10 +21 09B FFFFFFFE 80000000 11 = FFFF00FE 11 +21 09C FFFFFFFF 80000000 00 = FFFF00FF 00 +21 09D FFFFFFFF 80000000 01 = FFFF00FF 01 +21 09E FFFFFFFF 80000000 10 = FFFF00FF 10 +21 09F FFFFFFFF 80000000 11 = FFFF00FF 11 +21 0A0 00000000 80000001 00 = 00000100 00 +21 0A1 00000000 80000001 01 = 00000100 01 +21 0A2 00000000 80000001 10 = 00000100 10 +21 0A3 00000000 80000001 11 = 00000100 11 +21 0A4 00000001 80000001 00 = 00000101 00 +21 0A5 00000001 80000001 01 = 00000101 01 +21 0A6 00000001 80000001 10 = 00000101 10 +21 0A7 00000001 80000001 11 = 00000101 11 +21 0A8 00000002 80000001 00 = 00000102 00 +21 0A9 00000002 80000001 01 = 00000102 01 +21 0AA 00000002 80000001 10 = 00000102 10 +21 0AB 00000002 80000001 11 = 00000102 11 +21 0AC 7FFFFFFF 80000001 00 = 7FFF01FF 00 +21 0AD 7FFFFFFF 80000001 01 = 7FFF01FF 01 +21 0AE 7FFFFFFF 80000001 10 = 7FFF01FF 10 +21 0AF 7FFFFFFF 80000001 11 = 7FFF01FF 11 +21 0B0 80000000 80000001 00 = 80000100 00 +21 0B1 80000000 80000001 01 = 80000100 01 +21 0B2 80000000 80000001 10 = 80000100 10 +21 0B3 80000000 80000001 11 = 80000100 11 +21 0B4 80000001 80000001 00 = 80000101 00 +21 0B5 80000001 80000001 01 = 80000101 01 +21 0B6 80000001 80000001 10 = 80000101 10 +21 0B7 80000001 80000001 11 = 80000101 11 +21 0B8 FFFFFFFE 80000001 00 = FFFF01FE 00 +21 0B9 FFFFFFFE 80000001 01 = FFFF01FE 01 +21 0BA FFFFFFFE 80000001 10 = FFFF01FE 10 +21 0BB FFFFFFFE 80000001 11 = FFFF01FE 11 +21 0BC FFFFFFFF 80000001 00 = FFFF01FF 00 +21 0BD FFFFFFFF 80000001 01 = FFFF01FF 01 +21 0BE FFFFFFFF 80000001 10 = FFFF01FF 10 +21 0BF FFFFFFFF 80000001 11 = FFFF01FF 11 +21 0C0 00000000 FFFFFFFE 00 = 0000FE00 00 +21 0C1 00000000 FFFFFFFE 01 = 0000FE00 01 +21 0C2 00000000 FFFFFFFE 10 = 0000FE00 10 +21 0C3 00000000 FFFFFFFE 11 = 0000FE00 11 +21 0C4 00000001 FFFFFFFE 00 = 0000FE01 00 +21 0C5 00000001 FFFFFFFE 01 = 0000FE01 01 +21 0C6 00000001 FFFFFFFE 10 = 0000FE01 10 +21 0C7 00000001 FFFFFFFE 11 = 0000FE01 11 +21 0C8 00000002 FFFFFFFE 00 = 0000FE02 00 +21 0C9 00000002 FFFFFFFE 01 = 0000FE02 01 +21 0CA 00000002 FFFFFFFE 10 = 0000FE02 10 +21 0CB 00000002 FFFFFFFE 11 = 0000FE02 11 +21 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFEFF 00 +21 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFEFF 01 +21 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFEFF 10 +21 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFEFF 11 +21 0D0 80000000 FFFFFFFE 00 = 8000FE00 00 +21 0D1 80000000 FFFFFFFE 01 = 8000FE00 01 +21 0D2 80000000 FFFFFFFE 10 = 8000FE00 10 +21 0D3 80000000 FFFFFFFE 11 = 8000FE00 11 +21 0D4 80000001 FFFFFFFE 00 = 8000FE01 00 +21 0D5 80000001 FFFFFFFE 01 = 8000FE01 01 +21 0D6 80000001 FFFFFFFE 10 = 8000FE01 10 +21 0D7 80000001 FFFFFFFE 11 = 8000FE01 11 +21 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFEFE 00 +21 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFEFE 01 +21 0DA FFFFFFFE FFFFFFFE 10 = FFFFFEFE 10 +21 0DB FFFFFFFE FFFFFFFE 11 = FFFFFEFE 11 +21 0DC FFFFFFFF FFFFFFFE 00 = FFFFFEFF 00 +21 0DD FFFFFFFF FFFFFFFE 01 = FFFFFEFF 01 +21 0DE FFFFFFFF FFFFFFFE 10 = FFFFFEFF 10 +21 0DF FFFFFFFF FFFFFFFE 11 = FFFFFEFF 11 +21 0E0 00000000 FFFFFFFF 00 = 0000FF00 00 +21 0E1 00000000 FFFFFFFF 01 = 0000FF00 01 +21 0E2 00000000 FFFFFFFF 10 = 0000FF00 10 +21 0E3 00000000 FFFFFFFF 11 = 0000FF00 11 +21 0E4 00000001 FFFFFFFF 00 = 0000FF01 00 +21 0E5 00000001 FFFFFFFF 01 = 0000FF01 01 +21 0E6 00000001 FFFFFFFF 10 = 0000FF01 10 +21 0E7 00000001 FFFFFFFF 11 = 0000FF01 11 +21 0E8 00000002 FFFFFFFF 00 = 0000FF02 00 +21 0E9 00000002 FFFFFFFF 01 = 0000FF02 01 +21 0EA 00000002 FFFFFFFF 10 = 0000FF02 10 +21 0EB 00000002 FFFFFFFF 11 = 0000FF02 11 +21 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +21 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +21 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +21 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +21 0F0 80000000 FFFFFFFF 00 = 8000FF00 00 +21 0F1 80000000 FFFFFFFF 01 = 8000FF00 01 +21 0F2 80000000 FFFFFFFF 10 = 8000FF00 10 +21 0F3 80000000 FFFFFFFF 11 = 8000FF00 11 +21 0F4 80000001 FFFFFFFF 00 = 8000FF01 00 +21 0F5 80000001 FFFFFFFF 01 = 8000FF01 01 +21 0F6 80000001 FFFFFFFF 10 = 8000FF01 10 +21 0F7 80000001 FFFFFFFF 11 = 8000FF01 11 +21 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +21 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +21 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +21 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +21 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +21 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +21 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +21 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setbyte2 ---D---- ---S---- CZ = ---Q---- CZ +22 000 00000000 00000000 00 = 00000000 00 +22 001 00000000 00000000 01 = 00000000 01 +22 002 00000000 00000000 10 = 00000000 10 +22 003 00000000 00000000 11 = 00000000 11 +22 004 00000001 00000000 00 = 00000001 00 +22 005 00000001 00000000 01 = 00000001 01 +22 006 00000001 00000000 10 = 00000001 10 +22 007 00000001 00000000 11 = 00000001 11 +22 008 00000002 00000000 00 = 00000002 00 +22 009 00000002 00000000 01 = 00000002 01 +22 00A 00000002 00000000 10 = 00000002 10 +22 00B 00000002 00000000 11 = 00000002 11 +22 00C 7FFFFFFF 00000000 00 = 7F00FFFF 00 +22 00D 7FFFFFFF 00000000 01 = 7F00FFFF 01 +22 00E 7FFFFFFF 00000000 10 = 7F00FFFF 10 +22 00F 7FFFFFFF 00000000 11 = 7F00FFFF 11 +22 010 80000000 00000000 00 = 80000000 00 +22 011 80000000 00000000 01 = 80000000 01 +22 012 80000000 00000000 10 = 80000000 10 +22 013 80000000 00000000 11 = 80000000 11 +22 014 80000001 00000000 00 = 80000001 00 +22 015 80000001 00000000 01 = 80000001 01 +22 016 80000001 00000000 10 = 80000001 10 +22 017 80000001 00000000 11 = 80000001 11 +22 018 FFFFFFFE 00000000 00 = FF00FFFE 00 +22 019 FFFFFFFE 00000000 01 = FF00FFFE 01 +22 01A FFFFFFFE 00000000 10 = FF00FFFE 10 +22 01B FFFFFFFE 00000000 11 = FF00FFFE 11 +22 01C FFFFFFFF 00000000 00 = FF00FFFF 00 +22 01D FFFFFFFF 00000000 01 = FF00FFFF 01 +22 01E FFFFFFFF 00000000 10 = FF00FFFF 10 +22 01F FFFFFFFF 00000000 11 = FF00FFFF 11 +22 020 00000000 00000001 00 = 00010000 00 +22 021 00000000 00000001 01 = 00010000 01 +22 022 00000000 00000001 10 = 00010000 10 +22 023 00000000 00000001 11 = 00010000 11 +22 024 00000001 00000001 00 = 00010001 00 +22 025 00000001 00000001 01 = 00010001 01 +22 026 00000001 00000001 10 = 00010001 10 +22 027 00000001 00000001 11 = 00010001 11 +22 028 00000002 00000001 00 = 00010002 00 +22 029 00000002 00000001 01 = 00010002 01 +22 02A 00000002 00000001 10 = 00010002 10 +22 02B 00000002 00000001 11 = 00010002 11 +22 02C 7FFFFFFF 00000001 00 = 7F01FFFF 00 +22 02D 7FFFFFFF 00000001 01 = 7F01FFFF 01 +22 02E 7FFFFFFF 00000001 10 = 7F01FFFF 10 +22 02F 7FFFFFFF 00000001 11 = 7F01FFFF 11 +22 030 80000000 00000001 00 = 80010000 00 +22 031 80000000 00000001 01 = 80010000 01 +22 032 80000000 00000001 10 = 80010000 10 +22 033 80000000 00000001 11 = 80010000 11 +22 034 80000001 00000001 00 = 80010001 00 +22 035 80000001 00000001 01 = 80010001 01 +22 036 80000001 00000001 10 = 80010001 10 +22 037 80000001 00000001 11 = 80010001 11 +22 038 FFFFFFFE 00000001 00 = FF01FFFE 00 +22 039 FFFFFFFE 00000001 01 = FF01FFFE 01 +22 03A FFFFFFFE 00000001 10 = FF01FFFE 10 +22 03B FFFFFFFE 00000001 11 = FF01FFFE 11 +22 03C FFFFFFFF 00000001 00 = FF01FFFF 00 +22 03D FFFFFFFF 00000001 01 = FF01FFFF 01 +22 03E FFFFFFFF 00000001 10 = FF01FFFF 10 +22 03F FFFFFFFF 00000001 11 = FF01FFFF 11 +22 040 00000000 00000002 00 = 00020000 00 +22 041 00000000 00000002 01 = 00020000 01 +22 042 00000000 00000002 10 = 00020000 10 +22 043 00000000 00000002 11 = 00020000 11 +22 044 00000001 00000002 00 = 00020001 00 +22 045 00000001 00000002 01 = 00020001 01 +22 046 00000001 00000002 10 = 00020001 10 +22 047 00000001 00000002 11 = 00020001 11 +22 048 00000002 00000002 00 = 00020002 00 +22 049 00000002 00000002 01 = 00020002 01 +22 04A 00000002 00000002 10 = 00020002 10 +22 04B 00000002 00000002 11 = 00020002 11 +22 04C 7FFFFFFF 00000002 00 = 7F02FFFF 00 +22 04D 7FFFFFFF 00000002 01 = 7F02FFFF 01 +22 04E 7FFFFFFF 00000002 10 = 7F02FFFF 10 +22 04F 7FFFFFFF 00000002 11 = 7F02FFFF 11 +22 050 80000000 00000002 00 = 80020000 00 +22 051 80000000 00000002 01 = 80020000 01 +22 052 80000000 00000002 10 = 80020000 10 +22 053 80000000 00000002 11 = 80020000 11 +22 054 80000001 00000002 00 = 80020001 00 +22 055 80000001 00000002 01 = 80020001 01 +22 056 80000001 00000002 10 = 80020001 10 +22 057 80000001 00000002 11 = 80020001 11 +22 058 FFFFFFFE 00000002 00 = FF02FFFE 00 +22 059 FFFFFFFE 00000002 01 = FF02FFFE 01 +22 05A FFFFFFFE 00000002 10 = FF02FFFE 10 +22 05B FFFFFFFE 00000002 11 = FF02FFFE 11 +22 05C FFFFFFFF 00000002 00 = FF02FFFF 00 +22 05D FFFFFFFF 00000002 01 = FF02FFFF 01 +22 05E FFFFFFFF 00000002 10 = FF02FFFF 10 +22 05F FFFFFFFF 00000002 11 = FF02FFFF 11 +22 060 00000000 7FFFFFFF 00 = 00FF0000 00 +22 061 00000000 7FFFFFFF 01 = 00FF0000 01 +22 062 00000000 7FFFFFFF 10 = 00FF0000 10 +22 063 00000000 7FFFFFFF 11 = 00FF0000 11 +22 064 00000001 7FFFFFFF 00 = 00FF0001 00 +22 065 00000001 7FFFFFFF 01 = 00FF0001 01 +22 066 00000001 7FFFFFFF 10 = 00FF0001 10 +22 067 00000001 7FFFFFFF 11 = 00FF0001 11 +22 068 00000002 7FFFFFFF 00 = 00FF0002 00 +22 069 00000002 7FFFFFFF 01 = 00FF0002 01 +22 06A 00000002 7FFFFFFF 10 = 00FF0002 10 +22 06B 00000002 7FFFFFFF 11 = 00FF0002 11 +22 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +22 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +22 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +22 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +22 070 80000000 7FFFFFFF 00 = 80FF0000 00 +22 071 80000000 7FFFFFFF 01 = 80FF0000 01 +22 072 80000000 7FFFFFFF 10 = 80FF0000 10 +22 073 80000000 7FFFFFFF 11 = 80FF0000 11 +22 074 80000001 7FFFFFFF 00 = 80FF0001 00 +22 075 80000001 7FFFFFFF 01 = 80FF0001 01 +22 076 80000001 7FFFFFFF 10 = 80FF0001 10 +22 077 80000001 7FFFFFFF 11 = 80FF0001 11 +22 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +22 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +22 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +22 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +22 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +22 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +22 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +22 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +22 080 00000000 80000000 00 = 00000000 00 +22 081 00000000 80000000 01 = 00000000 01 +22 082 00000000 80000000 10 = 00000000 10 +22 083 00000000 80000000 11 = 00000000 11 +22 084 00000001 80000000 00 = 00000001 00 +22 085 00000001 80000000 01 = 00000001 01 +22 086 00000001 80000000 10 = 00000001 10 +22 087 00000001 80000000 11 = 00000001 11 +22 088 00000002 80000000 00 = 00000002 00 +22 089 00000002 80000000 01 = 00000002 01 +22 08A 00000002 80000000 10 = 00000002 10 +22 08B 00000002 80000000 11 = 00000002 11 +22 08C 7FFFFFFF 80000000 00 = 7F00FFFF 00 +22 08D 7FFFFFFF 80000000 01 = 7F00FFFF 01 +22 08E 7FFFFFFF 80000000 10 = 7F00FFFF 10 +22 08F 7FFFFFFF 80000000 11 = 7F00FFFF 11 +22 090 80000000 80000000 00 = 80000000 00 +22 091 80000000 80000000 01 = 80000000 01 +22 092 80000000 80000000 10 = 80000000 10 +22 093 80000000 80000000 11 = 80000000 11 +22 094 80000001 80000000 00 = 80000001 00 +22 095 80000001 80000000 01 = 80000001 01 +22 096 80000001 80000000 10 = 80000001 10 +22 097 80000001 80000000 11 = 80000001 11 +22 098 FFFFFFFE 80000000 00 = FF00FFFE 00 +22 099 FFFFFFFE 80000000 01 = FF00FFFE 01 +22 09A FFFFFFFE 80000000 10 = FF00FFFE 10 +22 09B FFFFFFFE 80000000 11 = FF00FFFE 11 +22 09C FFFFFFFF 80000000 00 = FF00FFFF 00 +22 09D FFFFFFFF 80000000 01 = FF00FFFF 01 +22 09E FFFFFFFF 80000000 10 = FF00FFFF 10 +22 09F FFFFFFFF 80000000 11 = FF00FFFF 11 +22 0A0 00000000 80000001 00 = 00010000 00 +22 0A1 00000000 80000001 01 = 00010000 01 +22 0A2 00000000 80000001 10 = 00010000 10 +22 0A3 00000000 80000001 11 = 00010000 11 +22 0A4 00000001 80000001 00 = 00010001 00 +22 0A5 00000001 80000001 01 = 00010001 01 +22 0A6 00000001 80000001 10 = 00010001 10 +22 0A7 00000001 80000001 11 = 00010001 11 +22 0A8 00000002 80000001 00 = 00010002 00 +22 0A9 00000002 80000001 01 = 00010002 01 +22 0AA 00000002 80000001 10 = 00010002 10 +22 0AB 00000002 80000001 11 = 00010002 11 +22 0AC 7FFFFFFF 80000001 00 = 7F01FFFF 00 +22 0AD 7FFFFFFF 80000001 01 = 7F01FFFF 01 +22 0AE 7FFFFFFF 80000001 10 = 7F01FFFF 10 +22 0AF 7FFFFFFF 80000001 11 = 7F01FFFF 11 +22 0B0 80000000 80000001 00 = 80010000 00 +22 0B1 80000000 80000001 01 = 80010000 01 +22 0B2 80000000 80000001 10 = 80010000 10 +22 0B3 80000000 80000001 11 = 80010000 11 +22 0B4 80000001 80000001 00 = 80010001 00 +22 0B5 80000001 80000001 01 = 80010001 01 +22 0B6 80000001 80000001 10 = 80010001 10 +22 0B7 80000001 80000001 11 = 80010001 11 +22 0B8 FFFFFFFE 80000001 00 = FF01FFFE 00 +22 0B9 FFFFFFFE 80000001 01 = FF01FFFE 01 +22 0BA FFFFFFFE 80000001 10 = FF01FFFE 10 +22 0BB FFFFFFFE 80000001 11 = FF01FFFE 11 +22 0BC FFFFFFFF 80000001 00 = FF01FFFF 00 +22 0BD FFFFFFFF 80000001 01 = FF01FFFF 01 +22 0BE FFFFFFFF 80000001 10 = FF01FFFF 10 +22 0BF FFFFFFFF 80000001 11 = FF01FFFF 11 +22 0C0 00000000 FFFFFFFE 00 = 00FE0000 00 +22 0C1 00000000 FFFFFFFE 01 = 00FE0000 01 +22 0C2 00000000 FFFFFFFE 10 = 00FE0000 10 +22 0C3 00000000 FFFFFFFE 11 = 00FE0000 11 +22 0C4 00000001 FFFFFFFE 00 = 00FE0001 00 +22 0C5 00000001 FFFFFFFE 01 = 00FE0001 01 +22 0C6 00000001 FFFFFFFE 10 = 00FE0001 10 +22 0C7 00000001 FFFFFFFE 11 = 00FE0001 11 +22 0C8 00000002 FFFFFFFE 00 = 00FE0002 00 +22 0C9 00000002 FFFFFFFE 01 = 00FE0002 01 +22 0CA 00000002 FFFFFFFE 10 = 00FE0002 10 +22 0CB 00000002 FFFFFFFE 11 = 00FE0002 11 +22 0CC 7FFFFFFF FFFFFFFE 00 = 7FFEFFFF 00 +22 0CD 7FFFFFFF FFFFFFFE 01 = 7FFEFFFF 01 +22 0CE 7FFFFFFF FFFFFFFE 10 = 7FFEFFFF 10 +22 0CF 7FFFFFFF FFFFFFFE 11 = 7FFEFFFF 11 +22 0D0 80000000 FFFFFFFE 00 = 80FE0000 00 +22 0D1 80000000 FFFFFFFE 01 = 80FE0000 01 +22 0D2 80000000 FFFFFFFE 10 = 80FE0000 10 +22 0D3 80000000 FFFFFFFE 11 = 80FE0000 11 +22 0D4 80000001 FFFFFFFE 00 = 80FE0001 00 +22 0D5 80000001 FFFFFFFE 01 = 80FE0001 01 +22 0D6 80000001 FFFFFFFE 10 = 80FE0001 10 +22 0D7 80000001 FFFFFFFE 11 = 80FE0001 11 +22 0D8 FFFFFFFE FFFFFFFE 00 = FFFEFFFE 00 +22 0D9 FFFFFFFE FFFFFFFE 01 = FFFEFFFE 01 +22 0DA FFFFFFFE FFFFFFFE 10 = FFFEFFFE 10 +22 0DB FFFFFFFE FFFFFFFE 11 = FFFEFFFE 11 +22 0DC FFFFFFFF FFFFFFFE 00 = FFFEFFFF 00 +22 0DD FFFFFFFF FFFFFFFE 01 = FFFEFFFF 01 +22 0DE FFFFFFFF FFFFFFFE 10 = FFFEFFFF 10 +22 0DF FFFFFFFF FFFFFFFE 11 = FFFEFFFF 11 +22 0E0 00000000 FFFFFFFF 00 = 00FF0000 00 +22 0E1 00000000 FFFFFFFF 01 = 00FF0000 01 +22 0E2 00000000 FFFFFFFF 10 = 00FF0000 10 +22 0E3 00000000 FFFFFFFF 11 = 00FF0000 11 +22 0E4 00000001 FFFFFFFF 00 = 00FF0001 00 +22 0E5 00000001 FFFFFFFF 01 = 00FF0001 01 +22 0E6 00000001 FFFFFFFF 10 = 00FF0001 10 +22 0E7 00000001 FFFFFFFF 11 = 00FF0001 11 +22 0E8 00000002 FFFFFFFF 00 = 00FF0002 00 +22 0E9 00000002 FFFFFFFF 01 = 00FF0002 01 +22 0EA 00000002 FFFFFFFF 10 = 00FF0002 10 +22 0EB 00000002 FFFFFFFF 11 = 00FF0002 11 +22 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +22 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +22 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +22 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +22 0F0 80000000 FFFFFFFF 00 = 80FF0000 00 +22 0F1 80000000 FFFFFFFF 01 = 80FF0000 01 +22 0F2 80000000 FFFFFFFF 10 = 80FF0000 10 +22 0F3 80000000 FFFFFFFF 11 = 80FF0000 11 +22 0F4 80000001 FFFFFFFF 00 = 80FF0001 00 +22 0F5 80000001 FFFFFFFF 01 = 80FF0001 01 +22 0F6 80000001 FFFFFFFF 10 = 80FF0001 10 +22 0F7 80000001 FFFFFFFF 11 = 80FF0001 11 +22 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +22 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +22 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +22 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +22 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +22 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +22 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +22 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setbyte3 ---D---- ---S---- CZ = ---Q---- CZ +23 000 00000000 00000000 00 = 00000000 00 +23 001 00000000 00000000 01 = 00000000 01 +23 002 00000000 00000000 10 = 00000000 10 +23 003 00000000 00000000 11 = 00000000 11 +23 004 00000001 00000000 00 = 00000001 00 +23 005 00000001 00000000 01 = 00000001 01 +23 006 00000001 00000000 10 = 00000001 10 +23 007 00000001 00000000 11 = 00000001 11 +23 008 00000002 00000000 00 = 00000002 00 +23 009 00000002 00000000 01 = 00000002 01 +23 00A 00000002 00000000 10 = 00000002 10 +23 00B 00000002 00000000 11 = 00000002 11 +23 00C 7FFFFFFF 00000000 00 = 00FFFFFF 00 +23 00D 7FFFFFFF 00000000 01 = 00FFFFFF 01 +23 00E 7FFFFFFF 00000000 10 = 00FFFFFF 10 +23 00F 7FFFFFFF 00000000 11 = 00FFFFFF 11 +23 010 80000000 00000000 00 = 00000000 00 +23 011 80000000 00000000 01 = 00000000 01 +23 012 80000000 00000000 10 = 00000000 10 +23 013 80000000 00000000 11 = 00000000 11 +23 014 80000001 00000000 00 = 00000001 00 +23 015 80000001 00000000 01 = 00000001 01 +23 016 80000001 00000000 10 = 00000001 10 +23 017 80000001 00000000 11 = 00000001 11 +23 018 FFFFFFFE 00000000 00 = 00FFFFFE 00 +23 019 FFFFFFFE 00000000 01 = 00FFFFFE 01 +23 01A FFFFFFFE 00000000 10 = 00FFFFFE 10 +23 01B FFFFFFFE 00000000 11 = 00FFFFFE 11 +23 01C FFFFFFFF 00000000 00 = 00FFFFFF 00 +23 01D FFFFFFFF 00000000 01 = 00FFFFFF 01 +23 01E FFFFFFFF 00000000 10 = 00FFFFFF 10 +23 01F FFFFFFFF 00000000 11 = 00FFFFFF 11 +23 020 00000000 00000001 00 = 01000000 00 +23 021 00000000 00000001 01 = 01000000 01 +23 022 00000000 00000001 10 = 01000000 10 +23 023 00000000 00000001 11 = 01000000 11 +23 024 00000001 00000001 00 = 01000001 00 +23 025 00000001 00000001 01 = 01000001 01 +23 026 00000001 00000001 10 = 01000001 10 +23 027 00000001 00000001 11 = 01000001 11 +23 028 00000002 00000001 00 = 01000002 00 +23 029 00000002 00000001 01 = 01000002 01 +23 02A 00000002 00000001 10 = 01000002 10 +23 02B 00000002 00000001 11 = 01000002 11 +23 02C 7FFFFFFF 00000001 00 = 01FFFFFF 00 +23 02D 7FFFFFFF 00000001 01 = 01FFFFFF 01 +23 02E 7FFFFFFF 00000001 10 = 01FFFFFF 10 +23 02F 7FFFFFFF 00000001 11 = 01FFFFFF 11 +23 030 80000000 00000001 00 = 01000000 00 +23 031 80000000 00000001 01 = 01000000 01 +23 032 80000000 00000001 10 = 01000000 10 +23 033 80000000 00000001 11 = 01000000 11 +23 034 80000001 00000001 00 = 01000001 00 +23 035 80000001 00000001 01 = 01000001 01 +23 036 80000001 00000001 10 = 01000001 10 +23 037 80000001 00000001 11 = 01000001 11 +23 038 FFFFFFFE 00000001 00 = 01FFFFFE 00 +23 039 FFFFFFFE 00000001 01 = 01FFFFFE 01 +23 03A FFFFFFFE 00000001 10 = 01FFFFFE 10 +23 03B FFFFFFFE 00000001 11 = 01FFFFFE 11 +23 03C FFFFFFFF 00000001 00 = 01FFFFFF 00 +23 03D FFFFFFFF 00000001 01 = 01FFFFFF 01 +23 03E FFFFFFFF 00000001 10 = 01FFFFFF 10 +23 03F FFFFFFFF 00000001 11 = 01FFFFFF 11 +23 040 00000000 00000002 00 = 02000000 00 +23 041 00000000 00000002 01 = 02000000 01 +23 042 00000000 00000002 10 = 02000000 10 +23 043 00000000 00000002 11 = 02000000 11 +23 044 00000001 00000002 00 = 02000001 00 +23 045 00000001 00000002 01 = 02000001 01 +23 046 00000001 00000002 10 = 02000001 10 +23 047 00000001 00000002 11 = 02000001 11 +23 048 00000002 00000002 00 = 02000002 00 +23 049 00000002 00000002 01 = 02000002 01 +23 04A 00000002 00000002 10 = 02000002 10 +23 04B 00000002 00000002 11 = 02000002 11 +23 04C 7FFFFFFF 00000002 00 = 02FFFFFF 00 +23 04D 7FFFFFFF 00000002 01 = 02FFFFFF 01 +23 04E 7FFFFFFF 00000002 10 = 02FFFFFF 10 +23 04F 7FFFFFFF 00000002 11 = 02FFFFFF 11 +23 050 80000000 00000002 00 = 02000000 00 +23 051 80000000 00000002 01 = 02000000 01 +23 052 80000000 00000002 10 = 02000000 10 +23 053 80000000 00000002 11 = 02000000 11 +23 054 80000001 00000002 00 = 02000001 00 +23 055 80000001 00000002 01 = 02000001 01 +23 056 80000001 00000002 10 = 02000001 10 +23 057 80000001 00000002 11 = 02000001 11 +23 058 FFFFFFFE 00000002 00 = 02FFFFFE 00 +23 059 FFFFFFFE 00000002 01 = 02FFFFFE 01 +23 05A FFFFFFFE 00000002 10 = 02FFFFFE 10 +23 05B FFFFFFFE 00000002 11 = 02FFFFFE 11 +23 05C FFFFFFFF 00000002 00 = 02FFFFFF 00 +23 05D FFFFFFFF 00000002 01 = 02FFFFFF 01 +23 05E FFFFFFFF 00000002 10 = 02FFFFFF 10 +23 05F FFFFFFFF 00000002 11 = 02FFFFFF 11 +23 060 00000000 7FFFFFFF 00 = FF000000 00 +23 061 00000000 7FFFFFFF 01 = FF000000 01 +23 062 00000000 7FFFFFFF 10 = FF000000 10 +23 063 00000000 7FFFFFFF 11 = FF000000 11 +23 064 00000001 7FFFFFFF 00 = FF000001 00 +23 065 00000001 7FFFFFFF 01 = FF000001 01 +23 066 00000001 7FFFFFFF 10 = FF000001 10 +23 067 00000001 7FFFFFFF 11 = FF000001 11 +23 068 00000002 7FFFFFFF 00 = FF000002 00 +23 069 00000002 7FFFFFFF 01 = FF000002 01 +23 06A 00000002 7FFFFFFF 10 = FF000002 10 +23 06B 00000002 7FFFFFFF 11 = FF000002 11 +23 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +23 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +23 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +23 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +23 070 80000000 7FFFFFFF 00 = FF000000 00 +23 071 80000000 7FFFFFFF 01 = FF000000 01 +23 072 80000000 7FFFFFFF 10 = FF000000 10 +23 073 80000000 7FFFFFFF 11 = FF000000 11 +23 074 80000001 7FFFFFFF 00 = FF000001 00 +23 075 80000001 7FFFFFFF 01 = FF000001 01 +23 076 80000001 7FFFFFFF 10 = FF000001 10 +23 077 80000001 7FFFFFFF 11 = FF000001 11 +23 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +23 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +23 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +23 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +23 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +23 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +23 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +23 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +23 080 00000000 80000000 00 = 00000000 00 +23 081 00000000 80000000 01 = 00000000 01 +23 082 00000000 80000000 10 = 00000000 10 +23 083 00000000 80000000 11 = 00000000 11 +23 084 00000001 80000000 00 = 00000001 00 +23 085 00000001 80000000 01 = 00000001 01 +23 086 00000001 80000000 10 = 00000001 10 +23 087 00000001 80000000 11 = 00000001 11 +23 088 00000002 80000000 00 = 00000002 00 +23 089 00000002 80000000 01 = 00000002 01 +23 08A 00000002 80000000 10 = 00000002 10 +23 08B 00000002 80000000 11 = 00000002 11 +23 08C 7FFFFFFF 80000000 00 = 00FFFFFF 00 +23 08D 7FFFFFFF 80000000 01 = 00FFFFFF 01 +23 08E 7FFFFFFF 80000000 10 = 00FFFFFF 10 +23 08F 7FFFFFFF 80000000 11 = 00FFFFFF 11 +23 090 80000000 80000000 00 = 00000000 00 +23 091 80000000 80000000 01 = 00000000 01 +23 092 80000000 80000000 10 = 00000000 10 +23 093 80000000 80000000 11 = 00000000 11 +23 094 80000001 80000000 00 = 00000001 00 +23 095 80000001 80000000 01 = 00000001 01 +23 096 80000001 80000000 10 = 00000001 10 +23 097 80000001 80000000 11 = 00000001 11 +23 098 FFFFFFFE 80000000 00 = 00FFFFFE 00 +23 099 FFFFFFFE 80000000 01 = 00FFFFFE 01 +23 09A FFFFFFFE 80000000 10 = 00FFFFFE 10 +23 09B FFFFFFFE 80000000 11 = 00FFFFFE 11 +23 09C FFFFFFFF 80000000 00 = 00FFFFFF 00 +23 09D FFFFFFFF 80000000 01 = 00FFFFFF 01 +23 09E FFFFFFFF 80000000 10 = 00FFFFFF 10 +23 09F FFFFFFFF 80000000 11 = 00FFFFFF 11 +23 0A0 00000000 80000001 00 = 01000000 00 +23 0A1 00000000 80000001 01 = 01000000 01 +23 0A2 00000000 80000001 10 = 01000000 10 +23 0A3 00000000 80000001 11 = 01000000 11 +23 0A4 00000001 80000001 00 = 01000001 00 +23 0A5 00000001 80000001 01 = 01000001 01 +23 0A6 00000001 80000001 10 = 01000001 10 +23 0A7 00000001 80000001 11 = 01000001 11 +23 0A8 00000002 80000001 00 = 01000002 00 +23 0A9 00000002 80000001 01 = 01000002 01 +23 0AA 00000002 80000001 10 = 01000002 10 +23 0AB 00000002 80000001 11 = 01000002 11 +23 0AC 7FFFFFFF 80000001 00 = 01FFFFFF 00 +23 0AD 7FFFFFFF 80000001 01 = 01FFFFFF 01 +23 0AE 7FFFFFFF 80000001 10 = 01FFFFFF 10 +23 0AF 7FFFFFFF 80000001 11 = 01FFFFFF 11 +23 0B0 80000000 80000001 00 = 01000000 00 +23 0B1 80000000 80000001 01 = 01000000 01 +23 0B2 80000000 80000001 10 = 01000000 10 +23 0B3 80000000 80000001 11 = 01000000 11 +23 0B4 80000001 80000001 00 = 01000001 00 +23 0B5 80000001 80000001 01 = 01000001 01 +23 0B6 80000001 80000001 10 = 01000001 10 +23 0B7 80000001 80000001 11 = 01000001 11 +23 0B8 FFFFFFFE 80000001 00 = 01FFFFFE 00 +23 0B9 FFFFFFFE 80000001 01 = 01FFFFFE 01 +23 0BA FFFFFFFE 80000001 10 = 01FFFFFE 10 +23 0BB FFFFFFFE 80000001 11 = 01FFFFFE 11 +23 0BC FFFFFFFF 80000001 00 = 01FFFFFF 00 +23 0BD FFFFFFFF 80000001 01 = 01FFFFFF 01 +23 0BE FFFFFFFF 80000001 10 = 01FFFFFF 10 +23 0BF FFFFFFFF 80000001 11 = 01FFFFFF 11 +23 0C0 00000000 FFFFFFFE 00 = FE000000 00 +23 0C1 00000000 FFFFFFFE 01 = FE000000 01 +23 0C2 00000000 FFFFFFFE 10 = FE000000 10 +23 0C3 00000000 FFFFFFFE 11 = FE000000 11 +23 0C4 00000001 FFFFFFFE 00 = FE000001 00 +23 0C5 00000001 FFFFFFFE 01 = FE000001 01 +23 0C6 00000001 FFFFFFFE 10 = FE000001 10 +23 0C7 00000001 FFFFFFFE 11 = FE000001 11 +23 0C8 00000002 FFFFFFFE 00 = FE000002 00 +23 0C9 00000002 FFFFFFFE 01 = FE000002 01 +23 0CA 00000002 FFFFFFFE 10 = FE000002 10 +23 0CB 00000002 FFFFFFFE 11 = FE000002 11 +23 0CC 7FFFFFFF FFFFFFFE 00 = FEFFFFFF 00 +23 0CD 7FFFFFFF FFFFFFFE 01 = FEFFFFFF 01 +23 0CE 7FFFFFFF FFFFFFFE 10 = FEFFFFFF 10 +23 0CF 7FFFFFFF FFFFFFFE 11 = FEFFFFFF 11 +23 0D0 80000000 FFFFFFFE 00 = FE000000 00 +23 0D1 80000000 FFFFFFFE 01 = FE000000 01 +23 0D2 80000000 FFFFFFFE 10 = FE000000 10 +23 0D3 80000000 FFFFFFFE 11 = FE000000 11 +23 0D4 80000001 FFFFFFFE 00 = FE000001 00 +23 0D5 80000001 FFFFFFFE 01 = FE000001 01 +23 0D6 80000001 FFFFFFFE 10 = FE000001 10 +23 0D7 80000001 FFFFFFFE 11 = FE000001 11 +23 0D8 FFFFFFFE FFFFFFFE 00 = FEFFFFFE 00 +23 0D9 FFFFFFFE FFFFFFFE 01 = FEFFFFFE 01 +23 0DA FFFFFFFE FFFFFFFE 10 = FEFFFFFE 10 +23 0DB FFFFFFFE FFFFFFFE 11 = FEFFFFFE 11 +23 0DC FFFFFFFF FFFFFFFE 00 = FEFFFFFF 00 +23 0DD FFFFFFFF FFFFFFFE 01 = FEFFFFFF 01 +23 0DE FFFFFFFF FFFFFFFE 10 = FEFFFFFF 10 +23 0DF FFFFFFFF FFFFFFFE 11 = FEFFFFFF 11 +23 0E0 00000000 FFFFFFFF 00 = FF000000 00 +23 0E1 00000000 FFFFFFFF 01 = FF000000 01 +23 0E2 00000000 FFFFFFFF 10 = FF000000 10 +23 0E3 00000000 FFFFFFFF 11 = FF000000 11 +23 0E4 00000001 FFFFFFFF 00 = FF000001 00 +23 0E5 00000001 FFFFFFFF 01 = FF000001 01 +23 0E6 00000001 FFFFFFFF 10 = FF000001 10 +23 0E7 00000001 FFFFFFFF 11 = FF000001 11 +23 0E8 00000002 FFFFFFFF 00 = FF000002 00 +23 0E9 00000002 FFFFFFFF 01 = FF000002 01 +23 0EA 00000002 FFFFFFFF 10 = FF000002 10 +23 0EB 00000002 FFFFFFFF 11 = FF000002 11 +23 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +23 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +23 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +23 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +23 0F0 80000000 FFFFFFFF 00 = FF000000 00 +23 0F1 80000000 FFFFFFFF 01 = FF000000 01 +23 0F2 80000000 FFFFFFFF 10 = FF000000 10 +23 0F3 80000000 FFFFFFFF 11 = FF000000 11 +23 0F4 80000001 FFFFFFFF 00 = FF000001 00 +23 0F5 80000001 FFFFFFFF 01 = FF000001 01 +23 0F6 80000001 FFFFFFFF 10 = FF000001 10 +23 0F7 80000001 FFFFFFFF 11 = FF000001 11 +23 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +23 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +23 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +23 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +23 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +23 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +23 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +23 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +getbyte0 ---D---- ---S---- CZ = ---Q---- CZ +24 000 00000000 00000000 00 = 00000000 00 +24 001 00000000 00000000 01 = 00000000 01 +24 002 00000000 00000000 10 = 00000000 10 +24 003 00000000 00000000 11 = 00000000 11 +24 004 00000001 00000000 00 = 00000000 00 +24 005 00000001 00000000 01 = 00000000 01 +24 006 00000001 00000000 10 = 00000000 10 +24 007 00000001 00000000 11 = 00000000 11 +24 008 00000002 00000000 00 = 00000000 00 +24 009 00000002 00000000 01 = 00000000 01 +24 00A 00000002 00000000 10 = 00000000 10 +24 00B 00000002 00000000 11 = 00000000 11 +24 00C 7FFFFFFF 00000000 00 = 00000000 00 +24 00D 7FFFFFFF 00000000 01 = 00000000 01 +24 00E 7FFFFFFF 00000000 10 = 00000000 10 +24 00F 7FFFFFFF 00000000 11 = 00000000 11 +24 010 80000000 00000000 00 = 00000000 00 +24 011 80000000 00000000 01 = 00000000 01 +24 012 80000000 00000000 10 = 00000000 10 +24 013 80000000 00000000 11 = 00000000 11 +24 014 80000001 00000000 00 = 00000000 00 +24 015 80000001 00000000 01 = 00000000 01 +24 016 80000001 00000000 10 = 00000000 10 +24 017 80000001 00000000 11 = 00000000 11 +24 018 FFFFFFFE 00000000 00 = 00000000 00 +24 019 FFFFFFFE 00000000 01 = 00000000 01 +24 01A FFFFFFFE 00000000 10 = 00000000 10 +24 01B FFFFFFFE 00000000 11 = 00000000 11 +24 01C FFFFFFFF 00000000 00 = 00000000 00 +24 01D FFFFFFFF 00000000 01 = 00000000 01 +24 01E FFFFFFFF 00000000 10 = 00000000 10 +24 01F FFFFFFFF 00000000 11 = 00000000 11 +24 020 00000000 00000001 00 = 00000001 00 +24 021 00000000 00000001 01 = 00000001 01 +24 022 00000000 00000001 10 = 00000001 10 +24 023 00000000 00000001 11 = 00000001 11 +24 024 00000001 00000001 00 = 00000001 00 +24 025 00000001 00000001 01 = 00000001 01 +24 026 00000001 00000001 10 = 00000001 10 +24 027 00000001 00000001 11 = 00000001 11 +24 028 00000002 00000001 00 = 00000001 00 +24 029 00000002 00000001 01 = 00000001 01 +24 02A 00000002 00000001 10 = 00000001 10 +24 02B 00000002 00000001 11 = 00000001 11 +24 02C 7FFFFFFF 00000001 00 = 00000001 00 +24 02D 7FFFFFFF 00000001 01 = 00000001 01 +24 02E 7FFFFFFF 00000001 10 = 00000001 10 +24 02F 7FFFFFFF 00000001 11 = 00000001 11 +24 030 80000000 00000001 00 = 00000001 00 +24 031 80000000 00000001 01 = 00000001 01 +24 032 80000000 00000001 10 = 00000001 10 +24 033 80000000 00000001 11 = 00000001 11 +24 034 80000001 00000001 00 = 00000001 00 +24 035 80000001 00000001 01 = 00000001 01 +24 036 80000001 00000001 10 = 00000001 10 +24 037 80000001 00000001 11 = 00000001 11 +24 038 FFFFFFFE 00000001 00 = 00000001 00 +24 039 FFFFFFFE 00000001 01 = 00000001 01 +24 03A FFFFFFFE 00000001 10 = 00000001 10 +24 03B FFFFFFFE 00000001 11 = 00000001 11 +24 03C FFFFFFFF 00000001 00 = 00000001 00 +24 03D FFFFFFFF 00000001 01 = 00000001 01 +24 03E FFFFFFFF 00000001 10 = 00000001 10 +24 03F FFFFFFFF 00000001 11 = 00000001 11 +24 040 00000000 00000002 00 = 00000002 00 +24 041 00000000 00000002 01 = 00000002 01 +24 042 00000000 00000002 10 = 00000002 10 +24 043 00000000 00000002 11 = 00000002 11 +24 044 00000001 00000002 00 = 00000002 00 +24 045 00000001 00000002 01 = 00000002 01 +24 046 00000001 00000002 10 = 00000002 10 +24 047 00000001 00000002 11 = 00000002 11 +24 048 00000002 00000002 00 = 00000002 00 +24 049 00000002 00000002 01 = 00000002 01 +24 04A 00000002 00000002 10 = 00000002 10 +24 04B 00000002 00000002 11 = 00000002 11 +24 04C 7FFFFFFF 00000002 00 = 00000002 00 +24 04D 7FFFFFFF 00000002 01 = 00000002 01 +24 04E 7FFFFFFF 00000002 10 = 00000002 10 +24 04F 7FFFFFFF 00000002 11 = 00000002 11 +24 050 80000000 00000002 00 = 00000002 00 +24 051 80000000 00000002 01 = 00000002 01 +24 052 80000000 00000002 10 = 00000002 10 +24 053 80000000 00000002 11 = 00000002 11 +24 054 80000001 00000002 00 = 00000002 00 +24 055 80000001 00000002 01 = 00000002 01 +24 056 80000001 00000002 10 = 00000002 10 +24 057 80000001 00000002 11 = 00000002 11 +24 058 FFFFFFFE 00000002 00 = 00000002 00 +24 059 FFFFFFFE 00000002 01 = 00000002 01 +24 05A FFFFFFFE 00000002 10 = 00000002 10 +24 05B FFFFFFFE 00000002 11 = 00000002 11 +24 05C FFFFFFFF 00000002 00 = 00000002 00 +24 05D FFFFFFFF 00000002 01 = 00000002 01 +24 05E FFFFFFFF 00000002 10 = 00000002 10 +24 05F FFFFFFFF 00000002 11 = 00000002 11 +24 060 00000000 7FFFFFFF 00 = 000000FF 00 +24 061 00000000 7FFFFFFF 01 = 000000FF 01 +24 062 00000000 7FFFFFFF 10 = 000000FF 10 +24 063 00000000 7FFFFFFF 11 = 000000FF 11 +24 064 00000001 7FFFFFFF 00 = 000000FF 00 +24 065 00000001 7FFFFFFF 01 = 000000FF 01 +24 066 00000001 7FFFFFFF 10 = 000000FF 10 +24 067 00000001 7FFFFFFF 11 = 000000FF 11 +24 068 00000002 7FFFFFFF 00 = 000000FF 00 +24 069 00000002 7FFFFFFF 01 = 000000FF 01 +24 06A 00000002 7FFFFFFF 10 = 000000FF 10 +24 06B 00000002 7FFFFFFF 11 = 000000FF 11 +24 06C 7FFFFFFF 7FFFFFFF 00 = 000000FF 00 +24 06D 7FFFFFFF 7FFFFFFF 01 = 000000FF 01 +24 06E 7FFFFFFF 7FFFFFFF 10 = 000000FF 10 +24 06F 7FFFFFFF 7FFFFFFF 11 = 000000FF 11 +24 070 80000000 7FFFFFFF 00 = 000000FF 00 +24 071 80000000 7FFFFFFF 01 = 000000FF 01 +24 072 80000000 7FFFFFFF 10 = 000000FF 10 +24 073 80000000 7FFFFFFF 11 = 000000FF 11 +24 074 80000001 7FFFFFFF 00 = 000000FF 00 +24 075 80000001 7FFFFFFF 01 = 000000FF 01 +24 076 80000001 7FFFFFFF 10 = 000000FF 10 +24 077 80000001 7FFFFFFF 11 = 000000FF 11 +24 078 FFFFFFFE 7FFFFFFF 00 = 000000FF 00 +24 079 FFFFFFFE 7FFFFFFF 01 = 000000FF 01 +24 07A FFFFFFFE 7FFFFFFF 10 = 000000FF 10 +24 07B FFFFFFFE 7FFFFFFF 11 = 000000FF 11 +24 07C FFFFFFFF 7FFFFFFF 00 = 000000FF 00 +24 07D FFFFFFFF 7FFFFFFF 01 = 000000FF 01 +24 07E FFFFFFFF 7FFFFFFF 10 = 000000FF 10 +24 07F FFFFFFFF 7FFFFFFF 11 = 000000FF 11 +24 080 00000000 80000000 00 = 00000000 00 +24 081 00000000 80000000 01 = 00000000 01 +24 082 00000000 80000000 10 = 00000000 10 +24 083 00000000 80000000 11 = 00000000 11 +24 084 00000001 80000000 00 = 00000000 00 +24 085 00000001 80000000 01 = 00000000 01 +24 086 00000001 80000000 10 = 00000000 10 +24 087 00000001 80000000 11 = 00000000 11 +24 088 00000002 80000000 00 = 00000000 00 +24 089 00000002 80000000 01 = 00000000 01 +24 08A 00000002 80000000 10 = 00000000 10 +24 08B 00000002 80000000 11 = 00000000 11 +24 08C 7FFFFFFF 80000000 00 = 00000000 00 +24 08D 7FFFFFFF 80000000 01 = 00000000 01 +24 08E 7FFFFFFF 80000000 10 = 00000000 10 +24 08F 7FFFFFFF 80000000 11 = 00000000 11 +24 090 80000000 80000000 00 = 00000000 00 +24 091 80000000 80000000 01 = 00000000 01 +24 092 80000000 80000000 10 = 00000000 10 +24 093 80000000 80000000 11 = 00000000 11 +24 094 80000001 80000000 00 = 00000000 00 +24 095 80000001 80000000 01 = 00000000 01 +24 096 80000001 80000000 10 = 00000000 10 +24 097 80000001 80000000 11 = 00000000 11 +24 098 FFFFFFFE 80000000 00 = 00000000 00 +24 099 FFFFFFFE 80000000 01 = 00000000 01 +24 09A FFFFFFFE 80000000 10 = 00000000 10 +24 09B FFFFFFFE 80000000 11 = 00000000 11 +24 09C FFFFFFFF 80000000 00 = 00000000 00 +24 09D FFFFFFFF 80000000 01 = 00000000 01 +24 09E FFFFFFFF 80000000 10 = 00000000 10 +24 09F FFFFFFFF 80000000 11 = 00000000 11 +24 0A0 00000000 80000001 00 = 00000001 00 +24 0A1 00000000 80000001 01 = 00000001 01 +24 0A2 00000000 80000001 10 = 00000001 10 +24 0A3 00000000 80000001 11 = 00000001 11 +24 0A4 00000001 80000001 00 = 00000001 00 +24 0A5 00000001 80000001 01 = 00000001 01 +24 0A6 00000001 80000001 10 = 00000001 10 +24 0A7 00000001 80000001 11 = 00000001 11 +24 0A8 00000002 80000001 00 = 00000001 00 +24 0A9 00000002 80000001 01 = 00000001 01 +24 0AA 00000002 80000001 10 = 00000001 10 +24 0AB 00000002 80000001 11 = 00000001 11 +24 0AC 7FFFFFFF 80000001 00 = 00000001 00 +24 0AD 7FFFFFFF 80000001 01 = 00000001 01 +24 0AE 7FFFFFFF 80000001 10 = 00000001 10 +24 0AF 7FFFFFFF 80000001 11 = 00000001 11 +24 0B0 80000000 80000001 00 = 00000001 00 +24 0B1 80000000 80000001 01 = 00000001 01 +24 0B2 80000000 80000001 10 = 00000001 10 +24 0B3 80000000 80000001 11 = 00000001 11 +24 0B4 80000001 80000001 00 = 00000001 00 +24 0B5 80000001 80000001 01 = 00000001 01 +24 0B6 80000001 80000001 10 = 00000001 10 +24 0B7 80000001 80000001 11 = 00000001 11 +24 0B8 FFFFFFFE 80000001 00 = 00000001 00 +24 0B9 FFFFFFFE 80000001 01 = 00000001 01 +24 0BA FFFFFFFE 80000001 10 = 00000001 10 +24 0BB FFFFFFFE 80000001 11 = 00000001 11 +24 0BC FFFFFFFF 80000001 00 = 00000001 00 +24 0BD FFFFFFFF 80000001 01 = 00000001 01 +24 0BE FFFFFFFF 80000001 10 = 00000001 10 +24 0BF FFFFFFFF 80000001 11 = 00000001 11 +24 0C0 00000000 FFFFFFFE 00 = 000000FE 00 +24 0C1 00000000 FFFFFFFE 01 = 000000FE 01 +24 0C2 00000000 FFFFFFFE 10 = 000000FE 10 +24 0C3 00000000 FFFFFFFE 11 = 000000FE 11 +24 0C4 00000001 FFFFFFFE 00 = 000000FE 00 +24 0C5 00000001 FFFFFFFE 01 = 000000FE 01 +24 0C6 00000001 FFFFFFFE 10 = 000000FE 10 +24 0C7 00000001 FFFFFFFE 11 = 000000FE 11 +24 0C8 00000002 FFFFFFFE 00 = 000000FE 00 +24 0C9 00000002 FFFFFFFE 01 = 000000FE 01 +24 0CA 00000002 FFFFFFFE 10 = 000000FE 10 +24 0CB 00000002 FFFFFFFE 11 = 000000FE 11 +24 0CC 7FFFFFFF FFFFFFFE 00 = 000000FE 00 +24 0CD 7FFFFFFF FFFFFFFE 01 = 000000FE 01 +24 0CE 7FFFFFFF FFFFFFFE 10 = 000000FE 10 +24 0CF 7FFFFFFF FFFFFFFE 11 = 000000FE 11 +24 0D0 80000000 FFFFFFFE 00 = 000000FE 00 +24 0D1 80000000 FFFFFFFE 01 = 000000FE 01 +24 0D2 80000000 FFFFFFFE 10 = 000000FE 10 +24 0D3 80000000 FFFFFFFE 11 = 000000FE 11 +24 0D4 80000001 FFFFFFFE 00 = 000000FE 00 +24 0D5 80000001 FFFFFFFE 01 = 000000FE 01 +24 0D6 80000001 FFFFFFFE 10 = 000000FE 10 +24 0D7 80000001 FFFFFFFE 11 = 000000FE 11 +24 0D8 FFFFFFFE FFFFFFFE 00 = 000000FE 00 +24 0D9 FFFFFFFE FFFFFFFE 01 = 000000FE 01 +24 0DA FFFFFFFE FFFFFFFE 10 = 000000FE 10 +24 0DB FFFFFFFE FFFFFFFE 11 = 000000FE 11 +24 0DC FFFFFFFF FFFFFFFE 00 = 000000FE 00 +24 0DD FFFFFFFF FFFFFFFE 01 = 000000FE 01 +24 0DE FFFFFFFF FFFFFFFE 10 = 000000FE 10 +24 0DF FFFFFFFF FFFFFFFE 11 = 000000FE 11 +24 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +24 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +24 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +24 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +24 0E4 00000001 FFFFFFFF 00 = 000000FF 00 +24 0E5 00000001 FFFFFFFF 01 = 000000FF 01 +24 0E6 00000001 FFFFFFFF 10 = 000000FF 10 +24 0E7 00000001 FFFFFFFF 11 = 000000FF 11 +24 0E8 00000002 FFFFFFFF 00 = 000000FF 00 +24 0E9 00000002 FFFFFFFF 01 = 000000FF 01 +24 0EA 00000002 FFFFFFFF 10 = 000000FF 10 +24 0EB 00000002 FFFFFFFF 11 = 000000FF 11 +24 0EC 7FFFFFFF FFFFFFFF 00 = 000000FF 00 +24 0ED 7FFFFFFF FFFFFFFF 01 = 000000FF 01 +24 0EE 7FFFFFFF FFFFFFFF 10 = 000000FF 10 +24 0EF 7FFFFFFF FFFFFFFF 11 = 000000FF 11 +24 0F0 80000000 FFFFFFFF 00 = 000000FF 00 +24 0F1 80000000 FFFFFFFF 01 = 000000FF 01 +24 0F2 80000000 FFFFFFFF 10 = 000000FF 10 +24 0F3 80000000 FFFFFFFF 11 = 000000FF 11 +24 0F4 80000001 FFFFFFFF 00 = 000000FF 00 +24 0F5 80000001 FFFFFFFF 01 = 000000FF 01 +24 0F6 80000001 FFFFFFFF 10 = 000000FF 10 +24 0F7 80000001 FFFFFFFF 11 = 000000FF 11 +24 0F8 FFFFFFFE FFFFFFFF 00 = 000000FF 00 +24 0F9 FFFFFFFE FFFFFFFF 01 = 000000FF 01 +24 0FA FFFFFFFE FFFFFFFF 10 = 000000FF 10 +24 0FB FFFFFFFE FFFFFFFF 11 = 000000FF 11 +24 0FC FFFFFFFF FFFFFFFF 00 = 000000FF 00 +24 0FD FFFFFFFF FFFFFFFF 01 = 000000FF 01 +24 0FE FFFFFFFF FFFFFFFF 10 = 000000FF 10 +24 0FF FFFFFFFF FFFFFFFF 11 = 000000FF 11 +getbyte1 ---D---- ---S---- CZ = ---Q---- CZ +25 000 00000000 00000000 00 = 00000000 00 +25 001 00000000 00000000 01 = 00000000 01 +25 002 00000000 00000000 10 = 00000000 10 +25 003 00000000 00000000 11 = 00000000 11 +25 004 00000001 00000000 00 = 00000000 00 +25 005 00000001 00000000 01 = 00000000 01 +25 006 00000001 00000000 10 = 00000000 10 +25 007 00000001 00000000 11 = 00000000 11 +25 008 00000002 00000000 00 = 00000000 00 +25 009 00000002 00000000 01 = 00000000 01 +25 00A 00000002 00000000 10 = 00000000 10 +25 00B 00000002 00000000 11 = 00000000 11 +25 00C 7FFFFFFF 00000000 00 = 00000000 00 +25 00D 7FFFFFFF 00000000 01 = 00000000 01 +25 00E 7FFFFFFF 00000000 10 = 00000000 10 +25 00F 7FFFFFFF 00000000 11 = 00000000 11 +25 010 80000000 00000000 00 = 00000000 00 +25 011 80000000 00000000 01 = 00000000 01 +25 012 80000000 00000000 10 = 00000000 10 +25 013 80000000 00000000 11 = 00000000 11 +25 014 80000001 00000000 00 = 00000000 00 +25 015 80000001 00000000 01 = 00000000 01 +25 016 80000001 00000000 10 = 00000000 10 +25 017 80000001 00000000 11 = 00000000 11 +25 018 FFFFFFFE 00000000 00 = 00000000 00 +25 019 FFFFFFFE 00000000 01 = 00000000 01 +25 01A FFFFFFFE 00000000 10 = 00000000 10 +25 01B FFFFFFFE 00000000 11 = 00000000 11 +25 01C FFFFFFFF 00000000 00 = 00000000 00 +25 01D FFFFFFFF 00000000 01 = 00000000 01 +25 01E FFFFFFFF 00000000 10 = 00000000 10 +25 01F FFFFFFFF 00000000 11 = 00000000 11 +25 020 00000000 00000001 00 = 00000000 00 +25 021 00000000 00000001 01 = 00000000 01 +25 022 00000000 00000001 10 = 00000000 10 +25 023 00000000 00000001 11 = 00000000 11 +25 024 00000001 00000001 00 = 00000000 00 +25 025 00000001 00000001 01 = 00000000 01 +25 026 00000001 00000001 10 = 00000000 10 +25 027 00000001 00000001 11 = 00000000 11 +25 028 00000002 00000001 00 = 00000000 00 +25 029 00000002 00000001 01 = 00000000 01 +25 02A 00000002 00000001 10 = 00000000 10 +25 02B 00000002 00000001 11 = 00000000 11 +25 02C 7FFFFFFF 00000001 00 = 00000000 00 +25 02D 7FFFFFFF 00000001 01 = 00000000 01 +25 02E 7FFFFFFF 00000001 10 = 00000000 10 +25 02F 7FFFFFFF 00000001 11 = 00000000 11 +25 030 80000000 00000001 00 = 00000000 00 +25 031 80000000 00000001 01 = 00000000 01 +25 032 80000000 00000001 10 = 00000000 10 +25 033 80000000 00000001 11 = 00000000 11 +25 034 80000001 00000001 00 = 00000000 00 +25 035 80000001 00000001 01 = 00000000 01 +25 036 80000001 00000001 10 = 00000000 10 +25 037 80000001 00000001 11 = 00000000 11 +25 038 FFFFFFFE 00000001 00 = 00000000 00 +25 039 FFFFFFFE 00000001 01 = 00000000 01 +25 03A FFFFFFFE 00000001 10 = 00000000 10 +25 03B FFFFFFFE 00000001 11 = 00000000 11 +25 03C FFFFFFFF 00000001 00 = 00000000 00 +25 03D FFFFFFFF 00000001 01 = 00000000 01 +25 03E FFFFFFFF 00000001 10 = 00000000 10 +25 03F FFFFFFFF 00000001 11 = 00000000 11 +25 040 00000000 00000002 00 = 00000000 00 +25 041 00000000 00000002 01 = 00000000 01 +25 042 00000000 00000002 10 = 00000000 10 +25 043 00000000 00000002 11 = 00000000 11 +25 044 00000001 00000002 00 = 00000000 00 +25 045 00000001 00000002 01 = 00000000 01 +25 046 00000001 00000002 10 = 00000000 10 +25 047 00000001 00000002 11 = 00000000 11 +25 048 00000002 00000002 00 = 00000000 00 +25 049 00000002 00000002 01 = 00000000 01 +25 04A 00000002 00000002 10 = 00000000 10 +25 04B 00000002 00000002 11 = 00000000 11 +25 04C 7FFFFFFF 00000002 00 = 00000000 00 +25 04D 7FFFFFFF 00000002 01 = 00000000 01 +25 04E 7FFFFFFF 00000002 10 = 00000000 10 +25 04F 7FFFFFFF 00000002 11 = 00000000 11 +25 050 80000000 00000002 00 = 00000000 00 +25 051 80000000 00000002 01 = 00000000 01 +25 052 80000000 00000002 10 = 00000000 10 +25 053 80000000 00000002 11 = 00000000 11 +25 054 80000001 00000002 00 = 00000000 00 +25 055 80000001 00000002 01 = 00000000 01 +25 056 80000001 00000002 10 = 00000000 10 +25 057 80000001 00000002 11 = 00000000 11 +25 058 FFFFFFFE 00000002 00 = 00000000 00 +25 059 FFFFFFFE 00000002 01 = 00000000 01 +25 05A FFFFFFFE 00000002 10 = 00000000 10 +25 05B FFFFFFFE 00000002 11 = 00000000 11 +25 05C FFFFFFFF 00000002 00 = 00000000 00 +25 05D FFFFFFFF 00000002 01 = 00000000 01 +25 05E FFFFFFFF 00000002 10 = 00000000 10 +25 05F FFFFFFFF 00000002 11 = 00000000 11 +25 060 00000000 7FFFFFFF 00 = 000000FF 00 +25 061 00000000 7FFFFFFF 01 = 000000FF 01 +25 062 00000000 7FFFFFFF 10 = 000000FF 10 +25 063 00000000 7FFFFFFF 11 = 000000FF 11 +25 064 00000001 7FFFFFFF 00 = 000000FF 00 +25 065 00000001 7FFFFFFF 01 = 000000FF 01 +25 066 00000001 7FFFFFFF 10 = 000000FF 10 +25 067 00000001 7FFFFFFF 11 = 000000FF 11 +25 068 00000002 7FFFFFFF 00 = 000000FF 00 +25 069 00000002 7FFFFFFF 01 = 000000FF 01 +25 06A 00000002 7FFFFFFF 10 = 000000FF 10 +25 06B 00000002 7FFFFFFF 11 = 000000FF 11 +25 06C 7FFFFFFF 7FFFFFFF 00 = 000000FF 00 +25 06D 7FFFFFFF 7FFFFFFF 01 = 000000FF 01 +25 06E 7FFFFFFF 7FFFFFFF 10 = 000000FF 10 +25 06F 7FFFFFFF 7FFFFFFF 11 = 000000FF 11 +25 070 80000000 7FFFFFFF 00 = 000000FF 00 +25 071 80000000 7FFFFFFF 01 = 000000FF 01 +25 072 80000000 7FFFFFFF 10 = 000000FF 10 +25 073 80000000 7FFFFFFF 11 = 000000FF 11 +25 074 80000001 7FFFFFFF 00 = 000000FF 00 +25 075 80000001 7FFFFFFF 01 = 000000FF 01 +25 076 80000001 7FFFFFFF 10 = 000000FF 10 +25 077 80000001 7FFFFFFF 11 = 000000FF 11 +25 078 FFFFFFFE 7FFFFFFF 00 = 000000FF 00 +25 079 FFFFFFFE 7FFFFFFF 01 = 000000FF 01 +25 07A FFFFFFFE 7FFFFFFF 10 = 000000FF 10 +25 07B FFFFFFFE 7FFFFFFF 11 = 000000FF 11 +25 07C FFFFFFFF 7FFFFFFF 00 = 000000FF 00 +25 07D FFFFFFFF 7FFFFFFF 01 = 000000FF 01 +25 07E FFFFFFFF 7FFFFFFF 10 = 000000FF 10 +25 07F FFFFFFFF 7FFFFFFF 11 = 000000FF 11 +25 080 00000000 80000000 00 = 00000000 00 +25 081 00000000 80000000 01 = 00000000 01 +25 082 00000000 80000000 10 = 00000000 10 +25 083 00000000 80000000 11 = 00000000 11 +25 084 00000001 80000000 00 = 00000000 00 +25 085 00000001 80000000 01 = 00000000 01 +25 086 00000001 80000000 10 = 00000000 10 +25 087 00000001 80000000 11 = 00000000 11 +25 088 00000002 80000000 00 = 00000000 00 +25 089 00000002 80000000 01 = 00000000 01 +25 08A 00000002 80000000 10 = 00000000 10 +25 08B 00000002 80000000 11 = 00000000 11 +25 08C 7FFFFFFF 80000000 00 = 00000000 00 +25 08D 7FFFFFFF 80000000 01 = 00000000 01 +25 08E 7FFFFFFF 80000000 10 = 00000000 10 +25 08F 7FFFFFFF 80000000 11 = 00000000 11 +25 090 80000000 80000000 00 = 00000000 00 +25 091 80000000 80000000 01 = 00000000 01 +25 092 80000000 80000000 10 = 00000000 10 +25 093 80000000 80000000 11 = 00000000 11 +25 094 80000001 80000000 00 = 00000000 00 +25 095 80000001 80000000 01 = 00000000 01 +25 096 80000001 80000000 10 = 00000000 10 +25 097 80000001 80000000 11 = 00000000 11 +25 098 FFFFFFFE 80000000 00 = 00000000 00 +25 099 FFFFFFFE 80000000 01 = 00000000 01 +25 09A FFFFFFFE 80000000 10 = 00000000 10 +25 09B FFFFFFFE 80000000 11 = 00000000 11 +25 09C FFFFFFFF 80000000 00 = 00000000 00 +25 09D FFFFFFFF 80000000 01 = 00000000 01 +25 09E FFFFFFFF 80000000 10 = 00000000 10 +25 09F FFFFFFFF 80000000 11 = 00000000 11 +25 0A0 00000000 80000001 00 = 00000000 00 +25 0A1 00000000 80000001 01 = 00000000 01 +25 0A2 00000000 80000001 10 = 00000000 10 +25 0A3 00000000 80000001 11 = 00000000 11 +25 0A4 00000001 80000001 00 = 00000000 00 +25 0A5 00000001 80000001 01 = 00000000 01 +25 0A6 00000001 80000001 10 = 00000000 10 +25 0A7 00000001 80000001 11 = 00000000 11 +25 0A8 00000002 80000001 00 = 00000000 00 +25 0A9 00000002 80000001 01 = 00000000 01 +25 0AA 00000002 80000001 10 = 00000000 10 +25 0AB 00000002 80000001 11 = 00000000 11 +25 0AC 7FFFFFFF 80000001 00 = 00000000 00 +25 0AD 7FFFFFFF 80000001 01 = 00000000 01 +25 0AE 7FFFFFFF 80000001 10 = 00000000 10 +25 0AF 7FFFFFFF 80000001 11 = 00000000 11 +25 0B0 80000000 80000001 00 = 00000000 00 +25 0B1 80000000 80000001 01 = 00000000 01 +25 0B2 80000000 80000001 10 = 00000000 10 +25 0B3 80000000 80000001 11 = 00000000 11 +25 0B4 80000001 80000001 00 = 00000000 00 +25 0B5 80000001 80000001 01 = 00000000 01 +25 0B6 80000001 80000001 10 = 00000000 10 +25 0B7 80000001 80000001 11 = 00000000 11 +25 0B8 FFFFFFFE 80000001 00 = 00000000 00 +25 0B9 FFFFFFFE 80000001 01 = 00000000 01 +25 0BA FFFFFFFE 80000001 10 = 00000000 10 +25 0BB FFFFFFFE 80000001 11 = 00000000 11 +25 0BC FFFFFFFF 80000001 00 = 00000000 00 +25 0BD FFFFFFFF 80000001 01 = 00000000 01 +25 0BE FFFFFFFF 80000001 10 = 00000000 10 +25 0BF FFFFFFFF 80000001 11 = 00000000 11 +25 0C0 00000000 FFFFFFFE 00 = 000000FF 00 +25 0C1 00000000 FFFFFFFE 01 = 000000FF 01 +25 0C2 00000000 FFFFFFFE 10 = 000000FF 10 +25 0C3 00000000 FFFFFFFE 11 = 000000FF 11 +25 0C4 00000001 FFFFFFFE 00 = 000000FF 00 +25 0C5 00000001 FFFFFFFE 01 = 000000FF 01 +25 0C6 00000001 FFFFFFFE 10 = 000000FF 10 +25 0C7 00000001 FFFFFFFE 11 = 000000FF 11 +25 0C8 00000002 FFFFFFFE 00 = 000000FF 00 +25 0C9 00000002 FFFFFFFE 01 = 000000FF 01 +25 0CA 00000002 FFFFFFFE 10 = 000000FF 10 +25 0CB 00000002 FFFFFFFE 11 = 000000FF 11 +25 0CC 7FFFFFFF FFFFFFFE 00 = 000000FF 00 +25 0CD 7FFFFFFF FFFFFFFE 01 = 000000FF 01 +25 0CE 7FFFFFFF FFFFFFFE 10 = 000000FF 10 +25 0CF 7FFFFFFF FFFFFFFE 11 = 000000FF 11 +25 0D0 80000000 FFFFFFFE 00 = 000000FF 00 +25 0D1 80000000 FFFFFFFE 01 = 000000FF 01 +25 0D2 80000000 FFFFFFFE 10 = 000000FF 10 +25 0D3 80000000 FFFFFFFE 11 = 000000FF 11 +25 0D4 80000001 FFFFFFFE 00 = 000000FF 00 +25 0D5 80000001 FFFFFFFE 01 = 000000FF 01 +25 0D6 80000001 FFFFFFFE 10 = 000000FF 10 +25 0D7 80000001 FFFFFFFE 11 = 000000FF 11 +25 0D8 FFFFFFFE FFFFFFFE 00 = 000000FF 00 +25 0D9 FFFFFFFE FFFFFFFE 01 = 000000FF 01 +25 0DA FFFFFFFE FFFFFFFE 10 = 000000FF 10 +25 0DB FFFFFFFE FFFFFFFE 11 = 000000FF 11 +25 0DC FFFFFFFF FFFFFFFE 00 = 000000FF 00 +25 0DD FFFFFFFF FFFFFFFE 01 = 000000FF 01 +25 0DE FFFFFFFF FFFFFFFE 10 = 000000FF 10 +25 0DF FFFFFFFF FFFFFFFE 11 = 000000FF 11 +25 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +25 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +25 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +25 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +25 0E4 00000001 FFFFFFFF 00 = 000000FF 00 +25 0E5 00000001 FFFFFFFF 01 = 000000FF 01 +25 0E6 00000001 FFFFFFFF 10 = 000000FF 10 +25 0E7 00000001 FFFFFFFF 11 = 000000FF 11 +25 0E8 00000002 FFFFFFFF 00 = 000000FF 00 +25 0E9 00000002 FFFFFFFF 01 = 000000FF 01 +25 0EA 00000002 FFFFFFFF 10 = 000000FF 10 +25 0EB 00000002 FFFFFFFF 11 = 000000FF 11 +25 0EC 7FFFFFFF FFFFFFFF 00 = 000000FF 00 +25 0ED 7FFFFFFF FFFFFFFF 01 = 000000FF 01 +25 0EE 7FFFFFFF FFFFFFFF 10 = 000000FF 10 +25 0EF 7FFFFFFF FFFFFFFF 11 = 000000FF 11 +25 0F0 80000000 FFFFFFFF 00 = 000000FF 00 +25 0F1 80000000 FFFFFFFF 01 = 000000FF 01 +25 0F2 80000000 FFFFFFFF 10 = 000000FF 10 +25 0F3 80000000 FFFFFFFF 11 = 000000FF 11 +25 0F4 80000001 FFFFFFFF 00 = 000000FF 00 +25 0F5 80000001 FFFFFFFF 01 = 000000FF 01 +25 0F6 80000001 FFFFFFFF 10 = 000000FF 10 +25 0F7 80000001 FFFFFFFF 11 = 000000FF 11 +25 0F8 FFFFFFFE FFFFFFFF 00 = 000000FF 00 +25 0F9 FFFFFFFE FFFFFFFF 01 = 000000FF 01 +25 0FA FFFFFFFE FFFFFFFF 10 = 000000FF 10 +25 0FB FFFFFFFE FFFFFFFF 11 = 000000FF 11 +25 0FC FFFFFFFF FFFFFFFF 00 = 000000FF 00 +25 0FD FFFFFFFF FFFFFFFF 01 = 000000FF 01 +25 0FE FFFFFFFF FFFFFFFF 10 = 000000FF 10 +25 0FF FFFFFFFF FFFFFFFF 11 = 000000FF 11 +getbyte2 ---D---- ---S---- CZ = ---Q---- CZ +26 000 00000000 00000000 00 = 00000000 00 +26 001 00000000 00000000 01 = 00000000 01 +26 002 00000000 00000000 10 = 00000000 10 +26 003 00000000 00000000 11 = 00000000 11 +26 004 00000001 00000000 00 = 00000000 00 +26 005 00000001 00000000 01 = 00000000 01 +26 006 00000001 00000000 10 = 00000000 10 +26 007 00000001 00000000 11 = 00000000 11 +26 008 00000002 00000000 00 = 00000000 00 +26 009 00000002 00000000 01 = 00000000 01 +26 00A 00000002 00000000 10 = 00000000 10 +26 00B 00000002 00000000 11 = 00000000 11 +26 00C 7FFFFFFF 00000000 00 = 00000000 00 +26 00D 7FFFFFFF 00000000 01 = 00000000 01 +26 00E 7FFFFFFF 00000000 10 = 00000000 10 +26 00F 7FFFFFFF 00000000 11 = 00000000 11 +26 010 80000000 00000000 00 = 00000000 00 +26 011 80000000 00000000 01 = 00000000 01 +26 012 80000000 00000000 10 = 00000000 10 +26 013 80000000 00000000 11 = 00000000 11 +26 014 80000001 00000000 00 = 00000000 00 +26 015 80000001 00000000 01 = 00000000 01 +26 016 80000001 00000000 10 = 00000000 10 +26 017 80000001 00000000 11 = 00000000 11 +26 018 FFFFFFFE 00000000 00 = 00000000 00 +26 019 FFFFFFFE 00000000 01 = 00000000 01 +26 01A FFFFFFFE 00000000 10 = 00000000 10 +26 01B FFFFFFFE 00000000 11 = 00000000 11 +26 01C FFFFFFFF 00000000 00 = 00000000 00 +26 01D FFFFFFFF 00000000 01 = 00000000 01 +26 01E FFFFFFFF 00000000 10 = 00000000 10 +26 01F FFFFFFFF 00000000 11 = 00000000 11 +26 020 00000000 00000001 00 = 00000000 00 +26 021 00000000 00000001 01 = 00000000 01 +26 022 00000000 00000001 10 = 00000000 10 +26 023 00000000 00000001 11 = 00000000 11 +26 024 00000001 00000001 00 = 00000000 00 +26 025 00000001 00000001 01 = 00000000 01 +26 026 00000001 00000001 10 = 00000000 10 +26 027 00000001 00000001 11 = 00000000 11 +26 028 00000002 00000001 00 = 00000000 00 +26 029 00000002 00000001 01 = 00000000 01 +26 02A 00000002 00000001 10 = 00000000 10 +26 02B 00000002 00000001 11 = 00000000 11 +26 02C 7FFFFFFF 00000001 00 = 00000000 00 +26 02D 7FFFFFFF 00000001 01 = 00000000 01 +26 02E 7FFFFFFF 00000001 10 = 00000000 10 +26 02F 7FFFFFFF 00000001 11 = 00000000 11 +26 030 80000000 00000001 00 = 00000000 00 +26 031 80000000 00000001 01 = 00000000 01 +26 032 80000000 00000001 10 = 00000000 10 +26 033 80000000 00000001 11 = 00000000 11 +26 034 80000001 00000001 00 = 00000000 00 +26 035 80000001 00000001 01 = 00000000 01 +26 036 80000001 00000001 10 = 00000000 10 +26 037 80000001 00000001 11 = 00000000 11 +26 038 FFFFFFFE 00000001 00 = 00000000 00 +26 039 FFFFFFFE 00000001 01 = 00000000 01 +26 03A FFFFFFFE 00000001 10 = 00000000 10 +26 03B FFFFFFFE 00000001 11 = 00000000 11 +26 03C FFFFFFFF 00000001 00 = 00000000 00 +26 03D FFFFFFFF 00000001 01 = 00000000 01 +26 03E FFFFFFFF 00000001 10 = 00000000 10 +26 03F FFFFFFFF 00000001 11 = 00000000 11 +26 040 00000000 00000002 00 = 00000000 00 +26 041 00000000 00000002 01 = 00000000 01 +26 042 00000000 00000002 10 = 00000000 10 +26 043 00000000 00000002 11 = 00000000 11 +26 044 00000001 00000002 00 = 00000000 00 +26 045 00000001 00000002 01 = 00000000 01 +26 046 00000001 00000002 10 = 00000000 10 +26 047 00000001 00000002 11 = 00000000 11 +26 048 00000002 00000002 00 = 00000000 00 +26 049 00000002 00000002 01 = 00000000 01 +26 04A 00000002 00000002 10 = 00000000 10 +26 04B 00000002 00000002 11 = 00000000 11 +26 04C 7FFFFFFF 00000002 00 = 00000000 00 +26 04D 7FFFFFFF 00000002 01 = 00000000 01 +26 04E 7FFFFFFF 00000002 10 = 00000000 10 +26 04F 7FFFFFFF 00000002 11 = 00000000 11 +26 050 80000000 00000002 00 = 00000000 00 +26 051 80000000 00000002 01 = 00000000 01 +26 052 80000000 00000002 10 = 00000000 10 +26 053 80000000 00000002 11 = 00000000 11 +26 054 80000001 00000002 00 = 00000000 00 +26 055 80000001 00000002 01 = 00000000 01 +26 056 80000001 00000002 10 = 00000000 10 +26 057 80000001 00000002 11 = 00000000 11 +26 058 FFFFFFFE 00000002 00 = 00000000 00 +26 059 FFFFFFFE 00000002 01 = 00000000 01 +26 05A FFFFFFFE 00000002 10 = 00000000 10 +26 05B FFFFFFFE 00000002 11 = 00000000 11 +26 05C FFFFFFFF 00000002 00 = 00000000 00 +26 05D FFFFFFFF 00000002 01 = 00000000 01 +26 05E FFFFFFFF 00000002 10 = 00000000 10 +26 05F FFFFFFFF 00000002 11 = 00000000 11 +26 060 00000000 7FFFFFFF 00 = 000000FF 00 +26 061 00000000 7FFFFFFF 01 = 000000FF 01 +26 062 00000000 7FFFFFFF 10 = 000000FF 10 +26 063 00000000 7FFFFFFF 11 = 000000FF 11 +26 064 00000001 7FFFFFFF 00 = 000000FF 00 +26 065 00000001 7FFFFFFF 01 = 000000FF 01 +26 066 00000001 7FFFFFFF 10 = 000000FF 10 +26 067 00000001 7FFFFFFF 11 = 000000FF 11 +26 068 00000002 7FFFFFFF 00 = 000000FF 00 +26 069 00000002 7FFFFFFF 01 = 000000FF 01 +26 06A 00000002 7FFFFFFF 10 = 000000FF 10 +26 06B 00000002 7FFFFFFF 11 = 000000FF 11 +26 06C 7FFFFFFF 7FFFFFFF 00 = 000000FF 00 +26 06D 7FFFFFFF 7FFFFFFF 01 = 000000FF 01 +26 06E 7FFFFFFF 7FFFFFFF 10 = 000000FF 10 +26 06F 7FFFFFFF 7FFFFFFF 11 = 000000FF 11 +26 070 80000000 7FFFFFFF 00 = 000000FF 00 +26 071 80000000 7FFFFFFF 01 = 000000FF 01 +26 072 80000000 7FFFFFFF 10 = 000000FF 10 +26 073 80000000 7FFFFFFF 11 = 000000FF 11 +26 074 80000001 7FFFFFFF 00 = 000000FF 00 +26 075 80000001 7FFFFFFF 01 = 000000FF 01 +26 076 80000001 7FFFFFFF 10 = 000000FF 10 +26 077 80000001 7FFFFFFF 11 = 000000FF 11 +26 078 FFFFFFFE 7FFFFFFF 00 = 000000FF 00 +26 079 FFFFFFFE 7FFFFFFF 01 = 000000FF 01 +26 07A FFFFFFFE 7FFFFFFF 10 = 000000FF 10 +26 07B FFFFFFFE 7FFFFFFF 11 = 000000FF 11 +26 07C FFFFFFFF 7FFFFFFF 00 = 000000FF 00 +26 07D FFFFFFFF 7FFFFFFF 01 = 000000FF 01 +26 07E FFFFFFFF 7FFFFFFF 10 = 000000FF 10 +26 07F FFFFFFFF 7FFFFFFF 11 = 000000FF 11 +26 080 00000000 80000000 00 = 00000000 00 +26 081 00000000 80000000 01 = 00000000 01 +26 082 00000000 80000000 10 = 00000000 10 +26 083 00000000 80000000 11 = 00000000 11 +26 084 00000001 80000000 00 = 00000000 00 +26 085 00000001 80000000 01 = 00000000 01 +26 086 00000001 80000000 10 = 00000000 10 +26 087 00000001 80000000 11 = 00000000 11 +26 088 00000002 80000000 00 = 00000000 00 +26 089 00000002 80000000 01 = 00000000 01 +26 08A 00000002 80000000 10 = 00000000 10 +26 08B 00000002 80000000 11 = 00000000 11 +26 08C 7FFFFFFF 80000000 00 = 00000000 00 +26 08D 7FFFFFFF 80000000 01 = 00000000 01 +26 08E 7FFFFFFF 80000000 10 = 00000000 10 +26 08F 7FFFFFFF 80000000 11 = 00000000 11 +26 090 80000000 80000000 00 = 00000000 00 +26 091 80000000 80000000 01 = 00000000 01 +26 092 80000000 80000000 10 = 00000000 10 +26 093 80000000 80000000 11 = 00000000 11 +26 094 80000001 80000000 00 = 00000000 00 +26 095 80000001 80000000 01 = 00000000 01 +26 096 80000001 80000000 10 = 00000000 10 +26 097 80000001 80000000 11 = 00000000 11 +26 098 FFFFFFFE 80000000 00 = 00000000 00 +26 099 FFFFFFFE 80000000 01 = 00000000 01 +26 09A FFFFFFFE 80000000 10 = 00000000 10 +26 09B FFFFFFFE 80000000 11 = 00000000 11 +26 09C FFFFFFFF 80000000 00 = 00000000 00 +26 09D FFFFFFFF 80000000 01 = 00000000 01 +26 09E FFFFFFFF 80000000 10 = 00000000 10 +26 09F FFFFFFFF 80000000 11 = 00000000 11 +26 0A0 00000000 80000001 00 = 00000000 00 +26 0A1 00000000 80000001 01 = 00000000 01 +26 0A2 00000000 80000001 10 = 00000000 10 +26 0A3 00000000 80000001 11 = 00000000 11 +26 0A4 00000001 80000001 00 = 00000000 00 +26 0A5 00000001 80000001 01 = 00000000 01 +26 0A6 00000001 80000001 10 = 00000000 10 +26 0A7 00000001 80000001 11 = 00000000 11 +26 0A8 00000002 80000001 00 = 00000000 00 +26 0A9 00000002 80000001 01 = 00000000 01 +26 0AA 00000002 80000001 10 = 00000000 10 +26 0AB 00000002 80000001 11 = 00000000 11 +26 0AC 7FFFFFFF 80000001 00 = 00000000 00 +26 0AD 7FFFFFFF 80000001 01 = 00000000 01 +26 0AE 7FFFFFFF 80000001 10 = 00000000 10 +26 0AF 7FFFFFFF 80000001 11 = 00000000 11 +26 0B0 80000000 80000001 00 = 00000000 00 +26 0B1 80000000 80000001 01 = 00000000 01 +26 0B2 80000000 80000001 10 = 00000000 10 +26 0B3 80000000 80000001 11 = 00000000 11 +26 0B4 80000001 80000001 00 = 00000000 00 +26 0B5 80000001 80000001 01 = 00000000 01 +26 0B6 80000001 80000001 10 = 00000000 10 +26 0B7 80000001 80000001 11 = 00000000 11 +26 0B8 FFFFFFFE 80000001 00 = 00000000 00 +26 0B9 FFFFFFFE 80000001 01 = 00000000 01 +26 0BA FFFFFFFE 80000001 10 = 00000000 10 +26 0BB FFFFFFFE 80000001 11 = 00000000 11 +26 0BC FFFFFFFF 80000001 00 = 00000000 00 +26 0BD FFFFFFFF 80000001 01 = 00000000 01 +26 0BE FFFFFFFF 80000001 10 = 00000000 10 +26 0BF FFFFFFFF 80000001 11 = 00000000 11 +26 0C0 00000000 FFFFFFFE 00 = 000000FF 00 +26 0C1 00000000 FFFFFFFE 01 = 000000FF 01 +26 0C2 00000000 FFFFFFFE 10 = 000000FF 10 +26 0C3 00000000 FFFFFFFE 11 = 000000FF 11 +26 0C4 00000001 FFFFFFFE 00 = 000000FF 00 +26 0C5 00000001 FFFFFFFE 01 = 000000FF 01 +26 0C6 00000001 FFFFFFFE 10 = 000000FF 10 +26 0C7 00000001 FFFFFFFE 11 = 000000FF 11 +26 0C8 00000002 FFFFFFFE 00 = 000000FF 00 +26 0C9 00000002 FFFFFFFE 01 = 000000FF 01 +26 0CA 00000002 FFFFFFFE 10 = 000000FF 10 +26 0CB 00000002 FFFFFFFE 11 = 000000FF 11 +26 0CC 7FFFFFFF FFFFFFFE 00 = 000000FF 00 +26 0CD 7FFFFFFF FFFFFFFE 01 = 000000FF 01 +26 0CE 7FFFFFFF FFFFFFFE 10 = 000000FF 10 +26 0CF 7FFFFFFF FFFFFFFE 11 = 000000FF 11 +26 0D0 80000000 FFFFFFFE 00 = 000000FF 00 +26 0D1 80000000 FFFFFFFE 01 = 000000FF 01 +26 0D2 80000000 FFFFFFFE 10 = 000000FF 10 +26 0D3 80000000 FFFFFFFE 11 = 000000FF 11 +26 0D4 80000001 FFFFFFFE 00 = 000000FF 00 +26 0D5 80000001 FFFFFFFE 01 = 000000FF 01 +26 0D6 80000001 FFFFFFFE 10 = 000000FF 10 +26 0D7 80000001 FFFFFFFE 11 = 000000FF 11 +26 0D8 FFFFFFFE FFFFFFFE 00 = 000000FF 00 +26 0D9 FFFFFFFE FFFFFFFE 01 = 000000FF 01 +26 0DA FFFFFFFE FFFFFFFE 10 = 000000FF 10 +26 0DB FFFFFFFE FFFFFFFE 11 = 000000FF 11 +26 0DC FFFFFFFF FFFFFFFE 00 = 000000FF 00 +26 0DD FFFFFFFF FFFFFFFE 01 = 000000FF 01 +26 0DE FFFFFFFF FFFFFFFE 10 = 000000FF 10 +26 0DF FFFFFFFF FFFFFFFE 11 = 000000FF 11 +26 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +26 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +26 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +26 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +26 0E4 00000001 FFFFFFFF 00 = 000000FF 00 +26 0E5 00000001 FFFFFFFF 01 = 000000FF 01 +26 0E6 00000001 FFFFFFFF 10 = 000000FF 10 +26 0E7 00000001 FFFFFFFF 11 = 000000FF 11 +26 0E8 00000002 FFFFFFFF 00 = 000000FF 00 +26 0E9 00000002 FFFFFFFF 01 = 000000FF 01 +26 0EA 00000002 FFFFFFFF 10 = 000000FF 10 +26 0EB 00000002 FFFFFFFF 11 = 000000FF 11 +26 0EC 7FFFFFFF FFFFFFFF 00 = 000000FF 00 +26 0ED 7FFFFFFF FFFFFFFF 01 = 000000FF 01 +26 0EE 7FFFFFFF FFFFFFFF 10 = 000000FF 10 +26 0EF 7FFFFFFF FFFFFFFF 11 = 000000FF 11 +26 0F0 80000000 FFFFFFFF 00 = 000000FF 00 +26 0F1 80000000 FFFFFFFF 01 = 000000FF 01 +26 0F2 80000000 FFFFFFFF 10 = 000000FF 10 +26 0F3 80000000 FFFFFFFF 11 = 000000FF 11 +26 0F4 80000001 FFFFFFFF 00 = 000000FF 00 +26 0F5 80000001 FFFFFFFF 01 = 000000FF 01 +26 0F6 80000001 FFFFFFFF 10 = 000000FF 10 +26 0F7 80000001 FFFFFFFF 11 = 000000FF 11 +26 0F8 FFFFFFFE FFFFFFFF 00 = 000000FF 00 +26 0F9 FFFFFFFE FFFFFFFF 01 = 000000FF 01 +26 0FA FFFFFFFE FFFFFFFF 10 = 000000FF 10 +26 0FB FFFFFFFE FFFFFFFF 11 = 000000FF 11 +26 0FC FFFFFFFF FFFFFFFF 00 = 000000FF 00 +26 0FD FFFFFFFF FFFFFFFF 01 = 000000FF 01 +26 0FE FFFFFFFF FFFFFFFF 10 = 000000FF 10 +26 0FF FFFFFFFF FFFFFFFF 11 = 000000FF 11 +getbyte3 ---D---- ---S---- CZ = ---Q---- CZ +27 000 00000000 00000000 00 = 00000000 00 +27 001 00000000 00000000 01 = 00000000 01 +27 002 00000000 00000000 10 = 00000000 10 +27 003 00000000 00000000 11 = 00000000 11 +27 004 00000001 00000000 00 = 00000000 00 +27 005 00000001 00000000 01 = 00000000 01 +27 006 00000001 00000000 10 = 00000000 10 +27 007 00000001 00000000 11 = 00000000 11 +27 008 00000002 00000000 00 = 00000000 00 +27 009 00000002 00000000 01 = 00000000 01 +27 00A 00000002 00000000 10 = 00000000 10 +27 00B 00000002 00000000 11 = 00000000 11 +27 00C 7FFFFFFF 00000000 00 = 00000000 00 +27 00D 7FFFFFFF 00000000 01 = 00000000 01 +27 00E 7FFFFFFF 00000000 10 = 00000000 10 +27 00F 7FFFFFFF 00000000 11 = 00000000 11 +27 010 80000000 00000000 00 = 00000000 00 +27 011 80000000 00000000 01 = 00000000 01 +27 012 80000000 00000000 10 = 00000000 10 +27 013 80000000 00000000 11 = 00000000 11 +27 014 80000001 00000000 00 = 00000000 00 +27 015 80000001 00000000 01 = 00000000 01 +27 016 80000001 00000000 10 = 00000000 10 +27 017 80000001 00000000 11 = 00000000 11 +27 018 FFFFFFFE 00000000 00 = 00000000 00 +27 019 FFFFFFFE 00000000 01 = 00000000 01 +27 01A FFFFFFFE 00000000 10 = 00000000 10 +27 01B FFFFFFFE 00000000 11 = 00000000 11 +27 01C FFFFFFFF 00000000 00 = 00000000 00 +27 01D FFFFFFFF 00000000 01 = 00000000 01 +27 01E FFFFFFFF 00000000 10 = 00000000 10 +27 01F FFFFFFFF 00000000 11 = 00000000 11 +27 020 00000000 00000001 00 = 00000000 00 +27 021 00000000 00000001 01 = 00000000 01 +27 022 00000000 00000001 10 = 00000000 10 +27 023 00000000 00000001 11 = 00000000 11 +27 024 00000001 00000001 00 = 00000000 00 +27 025 00000001 00000001 01 = 00000000 01 +27 026 00000001 00000001 10 = 00000000 10 +27 027 00000001 00000001 11 = 00000000 11 +27 028 00000002 00000001 00 = 00000000 00 +27 029 00000002 00000001 01 = 00000000 01 +27 02A 00000002 00000001 10 = 00000000 10 +27 02B 00000002 00000001 11 = 00000000 11 +27 02C 7FFFFFFF 00000001 00 = 00000000 00 +27 02D 7FFFFFFF 00000001 01 = 00000000 01 +27 02E 7FFFFFFF 00000001 10 = 00000000 10 +27 02F 7FFFFFFF 00000001 11 = 00000000 11 +27 030 80000000 00000001 00 = 00000000 00 +27 031 80000000 00000001 01 = 00000000 01 +27 032 80000000 00000001 10 = 00000000 10 +27 033 80000000 00000001 11 = 00000000 11 +27 034 80000001 00000001 00 = 00000000 00 +27 035 80000001 00000001 01 = 00000000 01 +27 036 80000001 00000001 10 = 00000000 10 +27 037 80000001 00000001 11 = 00000000 11 +27 038 FFFFFFFE 00000001 00 = 00000000 00 +27 039 FFFFFFFE 00000001 01 = 00000000 01 +27 03A FFFFFFFE 00000001 10 = 00000000 10 +27 03B FFFFFFFE 00000001 11 = 00000000 11 +27 03C FFFFFFFF 00000001 00 = 00000000 00 +27 03D FFFFFFFF 00000001 01 = 00000000 01 +27 03E FFFFFFFF 00000001 10 = 00000000 10 +27 03F FFFFFFFF 00000001 11 = 00000000 11 +27 040 00000000 00000002 00 = 00000000 00 +27 041 00000000 00000002 01 = 00000000 01 +27 042 00000000 00000002 10 = 00000000 10 +27 043 00000000 00000002 11 = 00000000 11 +27 044 00000001 00000002 00 = 00000000 00 +27 045 00000001 00000002 01 = 00000000 01 +27 046 00000001 00000002 10 = 00000000 10 +27 047 00000001 00000002 11 = 00000000 11 +27 048 00000002 00000002 00 = 00000000 00 +27 049 00000002 00000002 01 = 00000000 01 +27 04A 00000002 00000002 10 = 00000000 10 +27 04B 00000002 00000002 11 = 00000000 11 +27 04C 7FFFFFFF 00000002 00 = 00000000 00 +27 04D 7FFFFFFF 00000002 01 = 00000000 01 +27 04E 7FFFFFFF 00000002 10 = 00000000 10 +27 04F 7FFFFFFF 00000002 11 = 00000000 11 +27 050 80000000 00000002 00 = 00000000 00 +27 051 80000000 00000002 01 = 00000000 01 +27 052 80000000 00000002 10 = 00000000 10 +27 053 80000000 00000002 11 = 00000000 11 +27 054 80000001 00000002 00 = 00000000 00 +27 055 80000001 00000002 01 = 00000000 01 +27 056 80000001 00000002 10 = 00000000 10 +27 057 80000001 00000002 11 = 00000000 11 +27 058 FFFFFFFE 00000002 00 = 00000000 00 +27 059 FFFFFFFE 00000002 01 = 00000000 01 +27 05A FFFFFFFE 00000002 10 = 00000000 10 +27 05B FFFFFFFE 00000002 11 = 00000000 11 +27 05C FFFFFFFF 00000002 00 = 00000000 00 +27 05D FFFFFFFF 00000002 01 = 00000000 01 +27 05E FFFFFFFF 00000002 10 = 00000000 10 +27 05F FFFFFFFF 00000002 11 = 00000000 11 +27 060 00000000 7FFFFFFF 00 = 0000007F 00 +27 061 00000000 7FFFFFFF 01 = 0000007F 01 +27 062 00000000 7FFFFFFF 10 = 0000007F 10 +27 063 00000000 7FFFFFFF 11 = 0000007F 11 +27 064 00000001 7FFFFFFF 00 = 0000007F 00 +27 065 00000001 7FFFFFFF 01 = 0000007F 01 +27 066 00000001 7FFFFFFF 10 = 0000007F 10 +27 067 00000001 7FFFFFFF 11 = 0000007F 11 +27 068 00000002 7FFFFFFF 00 = 0000007F 00 +27 069 00000002 7FFFFFFF 01 = 0000007F 01 +27 06A 00000002 7FFFFFFF 10 = 0000007F 10 +27 06B 00000002 7FFFFFFF 11 = 0000007F 11 +27 06C 7FFFFFFF 7FFFFFFF 00 = 0000007F 00 +27 06D 7FFFFFFF 7FFFFFFF 01 = 0000007F 01 +27 06E 7FFFFFFF 7FFFFFFF 10 = 0000007F 10 +27 06F 7FFFFFFF 7FFFFFFF 11 = 0000007F 11 +27 070 80000000 7FFFFFFF 00 = 0000007F 00 +27 071 80000000 7FFFFFFF 01 = 0000007F 01 +27 072 80000000 7FFFFFFF 10 = 0000007F 10 +27 073 80000000 7FFFFFFF 11 = 0000007F 11 +27 074 80000001 7FFFFFFF 00 = 0000007F 00 +27 075 80000001 7FFFFFFF 01 = 0000007F 01 +27 076 80000001 7FFFFFFF 10 = 0000007F 10 +27 077 80000001 7FFFFFFF 11 = 0000007F 11 +27 078 FFFFFFFE 7FFFFFFF 00 = 0000007F 00 +27 079 FFFFFFFE 7FFFFFFF 01 = 0000007F 01 +27 07A FFFFFFFE 7FFFFFFF 10 = 0000007F 10 +27 07B FFFFFFFE 7FFFFFFF 11 = 0000007F 11 +27 07C FFFFFFFF 7FFFFFFF 00 = 0000007F 00 +27 07D FFFFFFFF 7FFFFFFF 01 = 0000007F 01 +27 07E FFFFFFFF 7FFFFFFF 10 = 0000007F 10 +27 07F FFFFFFFF 7FFFFFFF 11 = 0000007F 11 +27 080 00000000 80000000 00 = 00000080 00 +27 081 00000000 80000000 01 = 00000080 01 +27 082 00000000 80000000 10 = 00000080 10 +27 083 00000000 80000000 11 = 00000080 11 +27 084 00000001 80000000 00 = 00000080 00 +27 085 00000001 80000000 01 = 00000080 01 +27 086 00000001 80000000 10 = 00000080 10 +27 087 00000001 80000000 11 = 00000080 11 +27 088 00000002 80000000 00 = 00000080 00 +27 089 00000002 80000000 01 = 00000080 01 +27 08A 00000002 80000000 10 = 00000080 10 +27 08B 00000002 80000000 11 = 00000080 11 +27 08C 7FFFFFFF 80000000 00 = 00000080 00 +27 08D 7FFFFFFF 80000000 01 = 00000080 01 +27 08E 7FFFFFFF 80000000 10 = 00000080 10 +27 08F 7FFFFFFF 80000000 11 = 00000080 11 +27 090 80000000 80000000 00 = 00000080 00 +27 091 80000000 80000000 01 = 00000080 01 +27 092 80000000 80000000 10 = 00000080 10 +27 093 80000000 80000000 11 = 00000080 11 +27 094 80000001 80000000 00 = 00000080 00 +27 095 80000001 80000000 01 = 00000080 01 +27 096 80000001 80000000 10 = 00000080 10 +27 097 80000001 80000000 11 = 00000080 11 +27 098 FFFFFFFE 80000000 00 = 00000080 00 +27 099 FFFFFFFE 80000000 01 = 00000080 01 +27 09A FFFFFFFE 80000000 10 = 00000080 10 +27 09B FFFFFFFE 80000000 11 = 00000080 11 +27 09C FFFFFFFF 80000000 00 = 00000080 00 +27 09D FFFFFFFF 80000000 01 = 00000080 01 +27 09E FFFFFFFF 80000000 10 = 00000080 10 +27 09F FFFFFFFF 80000000 11 = 00000080 11 +27 0A0 00000000 80000001 00 = 00000080 00 +27 0A1 00000000 80000001 01 = 00000080 01 +27 0A2 00000000 80000001 10 = 00000080 10 +27 0A3 00000000 80000001 11 = 00000080 11 +27 0A4 00000001 80000001 00 = 00000080 00 +27 0A5 00000001 80000001 01 = 00000080 01 +27 0A6 00000001 80000001 10 = 00000080 10 +27 0A7 00000001 80000001 11 = 00000080 11 +27 0A8 00000002 80000001 00 = 00000080 00 +27 0A9 00000002 80000001 01 = 00000080 01 +27 0AA 00000002 80000001 10 = 00000080 10 +27 0AB 00000002 80000001 11 = 00000080 11 +27 0AC 7FFFFFFF 80000001 00 = 00000080 00 +27 0AD 7FFFFFFF 80000001 01 = 00000080 01 +27 0AE 7FFFFFFF 80000001 10 = 00000080 10 +27 0AF 7FFFFFFF 80000001 11 = 00000080 11 +27 0B0 80000000 80000001 00 = 00000080 00 +27 0B1 80000000 80000001 01 = 00000080 01 +27 0B2 80000000 80000001 10 = 00000080 10 +27 0B3 80000000 80000001 11 = 00000080 11 +27 0B4 80000001 80000001 00 = 00000080 00 +27 0B5 80000001 80000001 01 = 00000080 01 +27 0B6 80000001 80000001 10 = 00000080 10 +27 0B7 80000001 80000001 11 = 00000080 11 +27 0B8 FFFFFFFE 80000001 00 = 00000080 00 +27 0B9 FFFFFFFE 80000001 01 = 00000080 01 +27 0BA FFFFFFFE 80000001 10 = 00000080 10 +27 0BB FFFFFFFE 80000001 11 = 00000080 11 +27 0BC FFFFFFFF 80000001 00 = 00000080 00 +27 0BD FFFFFFFF 80000001 01 = 00000080 01 +27 0BE FFFFFFFF 80000001 10 = 00000080 10 +27 0BF FFFFFFFF 80000001 11 = 00000080 11 +27 0C0 00000000 FFFFFFFE 00 = 000000FF 00 +27 0C1 00000000 FFFFFFFE 01 = 000000FF 01 +27 0C2 00000000 FFFFFFFE 10 = 000000FF 10 +27 0C3 00000000 FFFFFFFE 11 = 000000FF 11 +27 0C4 00000001 FFFFFFFE 00 = 000000FF 00 +27 0C5 00000001 FFFFFFFE 01 = 000000FF 01 +27 0C6 00000001 FFFFFFFE 10 = 000000FF 10 +27 0C7 00000001 FFFFFFFE 11 = 000000FF 11 +27 0C8 00000002 FFFFFFFE 00 = 000000FF 00 +27 0C9 00000002 FFFFFFFE 01 = 000000FF 01 +27 0CA 00000002 FFFFFFFE 10 = 000000FF 10 +27 0CB 00000002 FFFFFFFE 11 = 000000FF 11 +27 0CC 7FFFFFFF FFFFFFFE 00 = 000000FF 00 +27 0CD 7FFFFFFF FFFFFFFE 01 = 000000FF 01 +27 0CE 7FFFFFFF FFFFFFFE 10 = 000000FF 10 +27 0CF 7FFFFFFF FFFFFFFE 11 = 000000FF 11 +27 0D0 80000000 FFFFFFFE 00 = 000000FF 00 +27 0D1 80000000 FFFFFFFE 01 = 000000FF 01 +27 0D2 80000000 FFFFFFFE 10 = 000000FF 10 +27 0D3 80000000 FFFFFFFE 11 = 000000FF 11 +27 0D4 80000001 FFFFFFFE 00 = 000000FF 00 +27 0D5 80000001 FFFFFFFE 01 = 000000FF 01 +27 0D6 80000001 FFFFFFFE 10 = 000000FF 10 +27 0D7 80000001 FFFFFFFE 11 = 000000FF 11 +27 0D8 FFFFFFFE FFFFFFFE 00 = 000000FF 00 +27 0D9 FFFFFFFE FFFFFFFE 01 = 000000FF 01 +27 0DA FFFFFFFE FFFFFFFE 10 = 000000FF 10 +27 0DB FFFFFFFE FFFFFFFE 11 = 000000FF 11 +27 0DC FFFFFFFF FFFFFFFE 00 = 000000FF 00 +27 0DD FFFFFFFF FFFFFFFE 01 = 000000FF 01 +27 0DE FFFFFFFF FFFFFFFE 10 = 000000FF 10 +27 0DF FFFFFFFF FFFFFFFE 11 = 000000FF 11 +27 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +27 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +27 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +27 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +27 0E4 00000001 FFFFFFFF 00 = 000000FF 00 +27 0E5 00000001 FFFFFFFF 01 = 000000FF 01 +27 0E6 00000001 FFFFFFFF 10 = 000000FF 10 +27 0E7 00000001 FFFFFFFF 11 = 000000FF 11 +27 0E8 00000002 FFFFFFFF 00 = 000000FF 00 +27 0E9 00000002 FFFFFFFF 01 = 000000FF 01 +27 0EA 00000002 FFFFFFFF 10 = 000000FF 10 +27 0EB 00000002 FFFFFFFF 11 = 000000FF 11 +27 0EC 7FFFFFFF FFFFFFFF 00 = 000000FF 00 +27 0ED 7FFFFFFF FFFFFFFF 01 = 000000FF 01 +27 0EE 7FFFFFFF FFFFFFFF 10 = 000000FF 10 +27 0EF 7FFFFFFF FFFFFFFF 11 = 000000FF 11 +27 0F0 80000000 FFFFFFFF 00 = 000000FF 00 +27 0F1 80000000 FFFFFFFF 01 = 000000FF 01 +27 0F2 80000000 FFFFFFFF 10 = 000000FF 10 +27 0F3 80000000 FFFFFFFF 11 = 000000FF 11 +27 0F4 80000001 FFFFFFFF 00 = 000000FF 00 +27 0F5 80000001 FFFFFFFF 01 = 000000FF 01 +27 0F6 80000001 FFFFFFFF 10 = 000000FF 10 +27 0F7 80000001 FFFFFFFF 11 = 000000FF 11 +27 0F8 FFFFFFFE FFFFFFFF 00 = 000000FF 00 +27 0F9 FFFFFFFE FFFFFFFF 01 = 000000FF 01 +27 0FA FFFFFFFE FFFFFFFF 10 = 000000FF 10 +27 0FB FFFFFFFE FFFFFFFF 11 = 000000FF 11 +27 0FC FFFFFFFF FFFFFFFF 00 = 000000FF 00 +27 0FD FFFFFFFF FFFFFFFF 01 = 000000FF 01 +27 0FE FFFFFFFF FFFFFFFF 10 = 000000FF 10 +27 0FF FFFFFFFF FFFFFFFF 11 = 000000FF 11 +rolbyte0 ---D---- ---S---- CZ = ---Q---- CZ +28 000 00000000 00000000 00 = 00000000 00 +28 001 00000000 00000000 01 = 00000000 01 +28 002 00000000 00000000 10 = 00000000 10 +28 003 00000000 00000000 11 = 00000000 11 +28 004 00000001 00000000 00 = 00000100 00 +28 005 00000001 00000000 01 = 00000100 01 +28 006 00000001 00000000 10 = 00000100 10 +28 007 00000001 00000000 11 = 00000100 11 +28 008 00000002 00000000 00 = 00000200 00 +28 009 00000002 00000000 01 = 00000200 01 +28 00A 00000002 00000000 10 = 00000200 10 +28 00B 00000002 00000000 11 = 00000200 11 +28 00C 7FFFFFFF 00000000 00 = FFFFFF00 00 +28 00D 7FFFFFFF 00000000 01 = FFFFFF00 01 +28 00E 7FFFFFFF 00000000 10 = FFFFFF00 10 +28 00F 7FFFFFFF 00000000 11 = FFFFFF00 11 +28 010 80000000 00000000 00 = 00000000 00 +28 011 80000000 00000000 01 = 00000000 01 +28 012 80000000 00000000 10 = 00000000 10 +28 013 80000000 00000000 11 = 00000000 11 +28 014 80000001 00000000 00 = 00000100 00 +28 015 80000001 00000000 01 = 00000100 01 +28 016 80000001 00000000 10 = 00000100 10 +28 017 80000001 00000000 11 = 00000100 11 +28 018 FFFFFFFE 00000000 00 = FFFFFE00 00 +28 019 FFFFFFFE 00000000 01 = FFFFFE00 01 +28 01A FFFFFFFE 00000000 10 = FFFFFE00 10 +28 01B FFFFFFFE 00000000 11 = FFFFFE00 11 +28 01C FFFFFFFF 00000000 00 = FFFFFF00 00 +28 01D FFFFFFFF 00000000 01 = FFFFFF00 01 +28 01E FFFFFFFF 00000000 10 = FFFFFF00 10 +28 01F FFFFFFFF 00000000 11 = FFFFFF00 11 +28 020 00000000 00000001 00 = 00000001 00 +28 021 00000000 00000001 01 = 00000001 01 +28 022 00000000 00000001 10 = 00000001 10 +28 023 00000000 00000001 11 = 00000001 11 +28 024 00000001 00000001 00 = 00000101 00 +28 025 00000001 00000001 01 = 00000101 01 +28 026 00000001 00000001 10 = 00000101 10 +28 027 00000001 00000001 11 = 00000101 11 +28 028 00000002 00000001 00 = 00000201 00 +28 029 00000002 00000001 01 = 00000201 01 +28 02A 00000002 00000001 10 = 00000201 10 +28 02B 00000002 00000001 11 = 00000201 11 +28 02C 7FFFFFFF 00000001 00 = FFFFFF01 00 +28 02D 7FFFFFFF 00000001 01 = FFFFFF01 01 +28 02E 7FFFFFFF 00000001 10 = FFFFFF01 10 +28 02F 7FFFFFFF 00000001 11 = FFFFFF01 11 +28 030 80000000 00000001 00 = 00000001 00 +28 031 80000000 00000001 01 = 00000001 01 +28 032 80000000 00000001 10 = 00000001 10 +28 033 80000000 00000001 11 = 00000001 11 +28 034 80000001 00000001 00 = 00000101 00 +28 035 80000001 00000001 01 = 00000101 01 +28 036 80000001 00000001 10 = 00000101 10 +28 037 80000001 00000001 11 = 00000101 11 +28 038 FFFFFFFE 00000001 00 = FFFFFE01 00 +28 039 FFFFFFFE 00000001 01 = FFFFFE01 01 +28 03A FFFFFFFE 00000001 10 = FFFFFE01 10 +28 03B FFFFFFFE 00000001 11 = FFFFFE01 11 +28 03C FFFFFFFF 00000001 00 = FFFFFF01 00 +28 03D FFFFFFFF 00000001 01 = FFFFFF01 01 +28 03E FFFFFFFF 00000001 10 = FFFFFF01 10 +28 03F FFFFFFFF 00000001 11 = FFFFFF01 11 +28 040 00000000 00000002 00 = 00000002 00 +28 041 00000000 00000002 01 = 00000002 01 +28 042 00000000 00000002 10 = 00000002 10 +28 043 00000000 00000002 11 = 00000002 11 +28 044 00000001 00000002 00 = 00000102 00 +28 045 00000001 00000002 01 = 00000102 01 +28 046 00000001 00000002 10 = 00000102 10 +28 047 00000001 00000002 11 = 00000102 11 +28 048 00000002 00000002 00 = 00000202 00 +28 049 00000002 00000002 01 = 00000202 01 +28 04A 00000002 00000002 10 = 00000202 10 +28 04B 00000002 00000002 11 = 00000202 11 +28 04C 7FFFFFFF 00000002 00 = FFFFFF02 00 +28 04D 7FFFFFFF 00000002 01 = FFFFFF02 01 +28 04E 7FFFFFFF 00000002 10 = FFFFFF02 10 +28 04F 7FFFFFFF 00000002 11 = FFFFFF02 11 +28 050 80000000 00000002 00 = 00000002 00 +28 051 80000000 00000002 01 = 00000002 01 +28 052 80000000 00000002 10 = 00000002 10 +28 053 80000000 00000002 11 = 00000002 11 +28 054 80000001 00000002 00 = 00000102 00 +28 055 80000001 00000002 01 = 00000102 01 +28 056 80000001 00000002 10 = 00000102 10 +28 057 80000001 00000002 11 = 00000102 11 +28 058 FFFFFFFE 00000002 00 = FFFFFE02 00 +28 059 FFFFFFFE 00000002 01 = FFFFFE02 01 +28 05A FFFFFFFE 00000002 10 = FFFFFE02 10 +28 05B FFFFFFFE 00000002 11 = FFFFFE02 11 +28 05C FFFFFFFF 00000002 00 = FFFFFF02 00 +28 05D FFFFFFFF 00000002 01 = FFFFFF02 01 +28 05E FFFFFFFF 00000002 10 = FFFFFF02 10 +28 05F FFFFFFFF 00000002 11 = FFFFFF02 11 +28 060 00000000 7FFFFFFF 00 = 000000FF 00 +28 061 00000000 7FFFFFFF 01 = 000000FF 01 +28 062 00000000 7FFFFFFF 10 = 000000FF 10 +28 063 00000000 7FFFFFFF 11 = 000000FF 11 +28 064 00000001 7FFFFFFF 00 = 000001FF 00 +28 065 00000001 7FFFFFFF 01 = 000001FF 01 +28 066 00000001 7FFFFFFF 10 = 000001FF 10 +28 067 00000001 7FFFFFFF 11 = 000001FF 11 +28 068 00000002 7FFFFFFF 00 = 000002FF 00 +28 069 00000002 7FFFFFFF 01 = 000002FF 01 +28 06A 00000002 7FFFFFFF 10 = 000002FF 10 +28 06B 00000002 7FFFFFFF 11 = 000002FF 11 +28 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +28 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +28 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +28 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +28 070 80000000 7FFFFFFF 00 = 000000FF 00 +28 071 80000000 7FFFFFFF 01 = 000000FF 01 +28 072 80000000 7FFFFFFF 10 = 000000FF 10 +28 073 80000000 7FFFFFFF 11 = 000000FF 11 +28 074 80000001 7FFFFFFF 00 = 000001FF 00 +28 075 80000001 7FFFFFFF 01 = 000001FF 01 +28 076 80000001 7FFFFFFF 10 = 000001FF 10 +28 077 80000001 7FFFFFFF 11 = 000001FF 11 +28 078 FFFFFFFE 7FFFFFFF 00 = FFFFFEFF 00 +28 079 FFFFFFFE 7FFFFFFF 01 = FFFFFEFF 01 +28 07A FFFFFFFE 7FFFFFFF 10 = FFFFFEFF 10 +28 07B FFFFFFFE 7FFFFFFF 11 = FFFFFEFF 11 +28 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +28 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +28 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +28 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +28 080 00000000 80000000 00 = 00000000 00 +28 081 00000000 80000000 01 = 00000000 01 +28 082 00000000 80000000 10 = 00000000 10 +28 083 00000000 80000000 11 = 00000000 11 +28 084 00000001 80000000 00 = 00000100 00 +28 085 00000001 80000000 01 = 00000100 01 +28 086 00000001 80000000 10 = 00000100 10 +28 087 00000001 80000000 11 = 00000100 11 +28 088 00000002 80000000 00 = 00000200 00 +28 089 00000002 80000000 01 = 00000200 01 +28 08A 00000002 80000000 10 = 00000200 10 +28 08B 00000002 80000000 11 = 00000200 11 +28 08C 7FFFFFFF 80000000 00 = FFFFFF00 00 +28 08D 7FFFFFFF 80000000 01 = FFFFFF00 01 +28 08E 7FFFFFFF 80000000 10 = FFFFFF00 10 +28 08F 7FFFFFFF 80000000 11 = FFFFFF00 11 +28 090 80000000 80000000 00 = 00000000 00 +28 091 80000000 80000000 01 = 00000000 01 +28 092 80000000 80000000 10 = 00000000 10 +28 093 80000000 80000000 11 = 00000000 11 +28 094 80000001 80000000 00 = 00000100 00 +28 095 80000001 80000000 01 = 00000100 01 +28 096 80000001 80000000 10 = 00000100 10 +28 097 80000001 80000000 11 = 00000100 11 +28 098 FFFFFFFE 80000000 00 = FFFFFE00 00 +28 099 FFFFFFFE 80000000 01 = FFFFFE00 01 +28 09A FFFFFFFE 80000000 10 = FFFFFE00 10 +28 09B FFFFFFFE 80000000 11 = FFFFFE00 11 +28 09C FFFFFFFF 80000000 00 = FFFFFF00 00 +28 09D FFFFFFFF 80000000 01 = FFFFFF00 01 +28 09E FFFFFFFF 80000000 10 = FFFFFF00 10 +28 09F FFFFFFFF 80000000 11 = FFFFFF00 11 +28 0A0 00000000 80000001 00 = 00000001 00 +28 0A1 00000000 80000001 01 = 00000001 01 +28 0A2 00000000 80000001 10 = 00000001 10 +28 0A3 00000000 80000001 11 = 00000001 11 +28 0A4 00000001 80000001 00 = 00000101 00 +28 0A5 00000001 80000001 01 = 00000101 01 +28 0A6 00000001 80000001 10 = 00000101 10 +28 0A7 00000001 80000001 11 = 00000101 11 +28 0A8 00000002 80000001 00 = 00000201 00 +28 0A9 00000002 80000001 01 = 00000201 01 +28 0AA 00000002 80000001 10 = 00000201 10 +28 0AB 00000002 80000001 11 = 00000201 11 +28 0AC 7FFFFFFF 80000001 00 = FFFFFF01 00 +28 0AD 7FFFFFFF 80000001 01 = FFFFFF01 01 +28 0AE 7FFFFFFF 80000001 10 = FFFFFF01 10 +28 0AF 7FFFFFFF 80000001 11 = FFFFFF01 11 +28 0B0 80000000 80000001 00 = 00000001 00 +28 0B1 80000000 80000001 01 = 00000001 01 +28 0B2 80000000 80000001 10 = 00000001 10 +28 0B3 80000000 80000001 11 = 00000001 11 +28 0B4 80000001 80000001 00 = 00000101 00 +28 0B5 80000001 80000001 01 = 00000101 01 +28 0B6 80000001 80000001 10 = 00000101 10 +28 0B7 80000001 80000001 11 = 00000101 11 +28 0B8 FFFFFFFE 80000001 00 = FFFFFE01 00 +28 0B9 FFFFFFFE 80000001 01 = FFFFFE01 01 +28 0BA FFFFFFFE 80000001 10 = FFFFFE01 10 +28 0BB FFFFFFFE 80000001 11 = FFFFFE01 11 +28 0BC FFFFFFFF 80000001 00 = FFFFFF01 00 +28 0BD FFFFFFFF 80000001 01 = FFFFFF01 01 +28 0BE FFFFFFFF 80000001 10 = FFFFFF01 10 +28 0BF FFFFFFFF 80000001 11 = FFFFFF01 11 +28 0C0 00000000 FFFFFFFE 00 = 000000FE 00 +28 0C1 00000000 FFFFFFFE 01 = 000000FE 01 +28 0C2 00000000 FFFFFFFE 10 = 000000FE 10 +28 0C3 00000000 FFFFFFFE 11 = 000000FE 11 +28 0C4 00000001 FFFFFFFE 00 = 000001FE 00 +28 0C5 00000001 FFFFFFFE 01 = 000001FE 01 +28 0C6 00000001 FFFFFFFE 10 = 000001FE 10 +28 0C7 00000001 FFFFFFFE 11 = 000001FE 11 +28 0C8 00000002 FFFFFFFE 00 = 000002FE 00 +28 0C9 00000002 FFFFFFFE 01 = 000002FE 01 +28 0CA 00000002 FFFFFFFE 10 = 000002FE 10 +28 0CB 00000002 FFFFFFFE 11 = 000002FE 11 +28 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +28 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +28 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +28 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +28 0D0 80000000 FFFFFFFE 00 = 000000FE 00 +28 0D1 80000000 FFFFFFFE 01 = 000000FE 01 +28 0D2 80000000 FFFFFFFE 10 = 000000FE 10 +28 0D3 80000000 FFFFFFFE 11 = 000000FE 11 +28 0D4 80000001 FFFFFFFE 00 = 000001FE 00 +28 0D5 80000001 FFFFFFFE 01 = 000001FE 01 +28 0D6 80000001 FFFFFFFE 10 = 000001FE 10 +28 0D7 80000001 FFFFFFFE 11 = 000001FE 11 +28 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFEFE 00 +28 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFEFE 01 +28 0DA FFFFFFFE FFFFFFFE 10 = FFFFFEFE 10 +28 0DB FFFFFFFE FFFFFFFE 11 = FFFFFEFE 11 +28 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +28 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +28 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +28 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +28 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +28 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +28 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +28 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +28 0E4 00000001 FFFFFFFF 00 = 000001FF 00 +28 0E5 00000001 FFFFFFFF 01 = 000001FF 01 +28 0E6 00000001 FFFFFFFF 10 = 000001FF 10 +28 0E7 00000001 FFFFFFFF 11 = 000001FF 11 +28 0E8 00000002 FFFFFFFF 00 = 000002FF 00 +28 0E9 00000002 FFFFFFFF 01 = 000002FF 01 +28 0EA 00000002 FFFFFFFF 10 = 000002FF 10 +28 0EB 00000002 FFFFFFFF 11 = 000002FF 11 +28 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +28 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +28 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +28 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +28 0F0 80000000 FFFFFFFF 00 = 000000FF 00 +28 0F1 80000000 FFFFFFFF 01 = 000000FF 01 +28 0F2 80000000 FFFFFFFF 10 = 000000FF 10 +28 0F3 80000000 FFFFFFFF 11 = 000000FF 11 +28 0F4 80000001 FFFFFFFF 00 = 000001FF 00 +28 0F5 80000001 FFFFFFFF 01 = 000001FF 01 +28 0F6 80000001 FFFFFFFF 10 = 000001FF 10 +28 0F7 80000001 FFFFFFFF 11 = 000001FF 11 +28 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFEFF 00 +28 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFEFF 01 +28 0FA FFFFFFFE FFFFFFFF 10 = FFFFFEFF 10 +28 0FB FFFFFFFE FFFFFFFF 11 = FFFFFEFF 11 +28 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +28 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +28 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +28 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolbyte1 ---D---- ---S---- CZ = ---Q---- CZ +29 000 00000000 00000000 00 = 00000000 00 +29 001 00000000 00000000 01 = 00000000 01 +29 002 00000000 00000000 10 = 00000000 10 +29 003 00000000 00000000 11 = 00000000 11 +29 004 00000001 00000000 00 = 00000100 00 +29 005 00000001 00000000 01 = 00000100 01 +29 006 00000001 00000000 10 = 00000100 10 +29 007 00000001 00000000 11 = 00000100 11 +29 008 00000002 00000000 00 = 00000200 00 +29 009 00000002 00000000 01 = 00000200 01 +29 00A 00000002 00000000 10 = 00000200 10 +29 00B 00000002 00000000 11 = 00000200 11 +29 00C 7FFFFFFF 00000000 00 = FFFFFF00 00 +29 00D 7FFFFFFF 00000000 01 = FFFFFF00 01 +29 00E 7FFFFFFF 00000000 10 = FFFFFF00 10 +29 00F 7FFFFFFF 00000000 11 = FFFFFF00 11 +29 010 80000000 00000000 00 = 00000000 00 +29 011 80000000 00000000 01 = 00000000 01 +29 012 80000000 00000000 10 = 00000000 10 +29 013 80000000 00000000 11 = 00000000 11 +29 014 80000001 00000000 00 = 00000100 00 +29 015 80000001 00000000 01 = 00000100 01 +29 016 80000001 00000000 10 = 00000100 10 +29 017 80000001 00000000 11 = 00000100 11 +29 018 FFFFFFFE 00000000 00 = FFFFFE00 00 +29 019 FFFFFFFE 00000000 01 = FFFFFE00 01 +29 01A FFFFFFFE 00000000 10 = FFFFFE00 10 +29 01B FFFFFFFE 00000000 11 = FFFFFE00 11 +29 01C FFFFFFFF 00000000 00 = FFFFFF00 00 +29 01D FFFFFFFF 00000000 01 = FFFFFF00 01 +29 01E FFFFFFFF 00000000 10 = FFFFFF00 10 +29 01F FFFFFFFF 00000000 11 = FFFFFF00 11 +29 020 00000000 00000001 00 = 00000000 00 +29 021 00000000 00000001 01 = 00000000 01 +29 022 00000000 00000001 10 = 00000000 10 +29 023 00000000 00000001 11 = 00000000 11 +29 024 00000001 00000001 00 = 00000100 00 +29 025 00000001 00000001 01 = 00000100 01 +29 026 00000001 00000001 10 = 00000100 10 +29 027 00000001 00000001 11 = 00000100 11 +29 028 00000002 00000001 00 = 00000200 00 +29 029 00000002 00000001 01 = 00000200 01 +29 02A 00000002 00000001 10 = 00000200 10 +29 02B 00000002 00000001 11 = 00000200 11 +29 02C 7FFFFFFF 00000001 00 = FFFFFF00 00 +29 02D 7FFFFFFF 00000001 01 = FFFFFF00 01 +29 02E 7FFFFFFF 00000001 10 = FFFFFF00 10 +29 02F 7FFFFFFF 00000001 11 = FFFFFF00 11 +29 030 80000000 00000001 00 = 00000000 00 +29 031 80000000 00000001 01 = 00000000 01 +29 032 80000000 00000001 10 = 00000000 10 +29 033 80000000 00000001 11 = 00000000 11 +29 034 80000001 00000001 00 = 00000100 00 +29 035 80000001 00000001 01 = 00000100 01 +29 036 80000001 00000001 10 = 00000100 10 +29 037 80000001 00000001 11 = 00000100 11 +29 038 FFFFFFFE 00000001 00 = FFFFFE00 00 +29 039 FFFFFFFE 00000001 01 = FFFFFE00 01 +29 03A FFFFFFFE 00000001 10 = FFFFFE00 10 +29 03B FFFFFFFE 00000001 11 = FFFFFE00 11 +29 03C FFFFFFFF 00000001 00 = FFFFFF00 00 +29 03D FFFFFFFF 00000001 01 = FFFFFF00 01 +29 03E FFFFFFFF 00000001 10 = FFFFFF00 10 +29 03F FFFFFFFF 00000001 11 = FFFFFF00 11 +29 040 00000000 00000002 00 = 00000000 00 +29 041 00000000 00000002 01 = 00000000 01 +29 042 00000000 00000002 10 = 00000000 10 +29 043 00000000 00000002 11 = 00000000 11 +29 044 00000001 00000002 00 = 00000100 00 +29 045 00000001 00000002 01 = 00000100 01 +29 046 00000001 00000002 10 = 00000100 10 +29 047 00000001 00000002 11 = 00000100 11 +29 048 00000002 00000002 00 = 00000200 00 +29 049 00000002 00000002 01 = 00000200 01 +29 04A 00000002 00000002 10 = 00000200 10 +29 04B 00000002 00000002 11 = 00000200 11 +29 04C 7FFFFFFF 00000002 00 = FFFFFF00 00 +29 04D 7FFFFFFF 00000002 01 = FFFFFF00 01 +29 04E 7FFFFFFF 00000002 10 = FFFFFF00 10 +29 04F 7FFFFFFF 00000002 11 = FFFFFF00 11 +29 050 80000000 00000002 00 = 00000000 00 +29 051 80000000 00000002 01 = 00000000 01 +29 052 80000000 00000002 10 = 00000000 10 +29 053 80000000 00000002 11 = 00000000 11 +29 054 80000001 00000002 00 = 00000100 00 +29 055 80000001 00000002 01 = 00000100 01 +29 056 80000001 00000002 10 = 00000100 10 +29 057 80000001 00000002 11 = 00000100 11 +29 058 FFFFFFFE 00000002 00 = FFFFFE00 00 +29 059 FFFFFFFE 00000002 01 = FFFFFE00 01 +29 05A FFFFFFFE 00000002 10 = FFFFFE00 10 +29 05B FFFFFFFE 00000002 11 = FFFFFE00 11 +29 05C FFFFFFFF 00000002 00 = FFFFFF00 00 +29 05D FFFFFFFF 00000002 01 = FFFFFF00 01 +29 05E FFFFFFFF 00000002 10 = FFFFFF00 10 +29 05F FFFFFFFF 00000002 11 = FFFFFF00 11 +29 060 00000000 7FFFFFFF 00 = 000000FF 00 +29 061 00000000 7FFFFFFF 01 = 000000FF 01 +29 062 00000000 7FFFFFFF 10 = 000000FF 10 +29 063 00000000 7FFFFFFF 11 = 000000FF 11 +29 064 00000001 7FFFFFFF 00 = 000001FF 00 +29 065 00000001 7FFFFFFF 01 = 000001FF 01 +29 066 00000001 7FFFFFFF 10 = 000001FF 10 +29 067 00000001 7FFFFFFF 11 = 000001FF 11 +29 068 00000002 7FFFFFFF 00 = 000002FF 00 +29 069 00000002 7FFFFFFF 01 = 000002FF 01 +29 06A 00000002 7FFFFFFF 10 = 000002FF 10 +29 06B 00000002 7FFFFFFF 11 = 000002FF 11 +29 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +29 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +29 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +29 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +29 070 80000000 7FFFFFFF 00 = 000000FF 00 +29 071 80000000 7FFFFFFF 01 = 000000FF 01 +29 072 80000000 7FFFFFFF 10 = 000000FF 10 +29 073 80000000 7FFFFFFF 11 = 000000FF 11 +29 074 80000001 7FFFFFFF 00 = 000001FF 00 +29 075 80000001 7FFFFFFF 01 = 000001FF 01 +29 076 80000001 7FFFFFFF 10 = 000001FF 10 +29 077 80000001 7FFFFFFF 11 = 000001FF 11 +29 078 FFFFFFFE 7FFFFFFF 00 = FFFFFEFF 00 +29 079 FFFFFFFE 7FFFFFFF 01 = FFFFFEFF 01 +29 07A FFFFFFFE 7FFFFFFF 10 = FFFFFEFF 10 +29 07B FFFFFFFE 7FFFFFFF 11 = FFFFFEFF 11 +29 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +29 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +29 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +29 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +29 080 00000000 80000000 00 = 00000000 00 +29 081 00000000 80000000 01 = 00000000 01 +29 082 00000000 80000000 10 = 00000000 10 +29 083 00000000 80000000 11 = 00000000 11 +29 084 00000001 80000000 00 = 00000100 00 +29 085 00000001 80000000 01 = 00000100 01 +29 086 00000001 80000000 10 = 00000100 10 +29 087 00000001 80000000 11 = 00000100 11 +29 088 00000002 80000000 00 = 00000200 00 +29 089 00000002 80000000 01 = 00000200 01 +29 08A 00000002 80000000 10 = 00000200 10 +29 08B 00000002 80000000 11 = 00000200 11 +29 08C 7FFFFFFF 80000000 00 = FFFFFF00 00 +29 08D 7FFFFFFF 80000000 01 = FFFFFF00 01 +29 08E 7FFFFFFF 80000000 10 = FFFFFF00 10 +29 08F 7FFFFFFF 80000000 11 = FFFFFF00 11 +29 090 80000000 80000000 00 = 00000000 00 +29 091 80000000 80000000 01 = 00000000 01 +29 092 80000000 80000000 10 = 00000000 10 +29 093 80000000 80000000 11 = 00000000 11 +29 094 80000001 80000000 00 = 00000100 00 +29 095 80000001 80000000 01 = 00000100 01 +29 096 80000001 80000000 10 = 00000100 10 +29 097 80000001 80000000 11 = 00000100 11 +29 098 FFFFFFFE 80000000 00 = FFFFFE00 00 +29 099 FFFFFFFE 80000000 01 = FFFFFE00 01 +29 09A FFFFFFFE 80000000 10 = FFFFFE00 10 +29 09B FFFFFFFE 80000000 11 = FFFFFE00 11 +29 09C FFFFFFFF 80000000 00 = FFFFFF00 00 +29 09D FFFFFFFF 80000000 01 = FFFFFF00 01 +29 09E FFFFFFFF 80000000 10 = FFFFFF00 10 +29 09F FFFFFFFF 80000000 11 = FFFFFF00 11 +29 0A0 00000000 80000001 00 = 00000000 00 +29 0A1 00000000 80000001 01 = 00000000 01 +29 0A2 00000000 80000001 10 = 00000000 10 +29 0A3 00000000 80000001 11 = 00000000 11 +29 0A4 00000001 80000001 00 = 00000100 00 +29 0A5 00000001 80000001 01 = 00000100 01 +29 0A6 00000001 80000001 10 = 00000100 10 +29 0A7 00000001 80000001 11 = 00000100 11 +29 0A8 00000002 80000001 00 = 00000200 00 +29 0A9 00000002 80000001 01 = 00000200 01 +29 0AA 00000002 80000001 10 = 00000200 10 +29 0AB 00000002 80000001 11 = 00000200 11 +29 0AC 7FFFFFFF 80000001 00 = FFFFFF00 00 +29 0AD 7FFFFFFF 80000001 01 = FFFFFF00 01 +29 0AE 7FFFFFFF 80000001 10 = FFFFFF00 10 +29 0AF 7FFFFFFF 80000001 11 = FFFFFF00 11 +29 0B0 80000000 80000001 00 = 00000000 00 +29 0B1 80000000 80000001 01 = 00000000 01 +29 0B2 80000000 80000001 10 = 00000000 10 +29 0B3 80000000 80000001 11 = 00000000 11 +29 0B4 80000001 80000001 00 = 00000100 00 +29 0B5 80000001 80000001 01 = 00000100 01 +29 0B6 80000001 80000001 10 = 00000100 10 +29 0B7 80000001 80000001 11 = 00000100 11 +29 0B8 FFFFFFFE 80000001 00 = FFFFFE00 00 +29 0B9 FFFFFFFE 80000001 01 = FFFFFE00 01 +29 0BA FFFFFFFE 80000001 10 = FFFFFE00 10 +29 0BB FFFFFFFE 80000001 11 = FFFFFE00 11 +29 0BC FFFFFFFF 80000001 00 = FFFFFF00 00 +29 0BD FFFFFFFF 80000001 01 = FFFFFF00 01 +29 0BE FFFFFFFF 80000001 10 = FFFFFF00 10 +29 0BF FFFFFFFF 80000001 11 = FFFFFF00 11 +29 0C0 00000000 FFFFFFFE 00 = 000000FF 00 +29 0C1 00000000 FFFFFFFE 01 = 000000FF 01 +29 0C2 00000000 FFFFFFFE 10 = 000000FF 10 +29 0C3 00000000 FFFFFFFE 11 = 000000FF 11 +29 0C4 00000001 FFFFFFFE 00 = 000001FF 00 +29 0C5 00000001 FFFFFFFE 01 = 000001FF 01 +29 0C6 00000001 FFFFFFFE 10 = 000001FF 10 +29 0C7 00000001 FFFFFFFE 11 = 000001FF 11 +29 0C8 00000002 FFFFFFFE 00 = 000002FF 00 +29 0C9 00000002 FFFFFFFE 01 = 000002FF 01 +29 0CA 00000002 FFFFFFFE 10 = 000002FF 10 +29 0CB 00000002 FFFFFFFE 11 = 000002FF 11 +29 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +29 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +29 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +29 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +29 0D0 80000000 FFFFFFFE 00 = 000000FF 00 +29 0D1 80000000 FFFFFFFE 01 = 000000FF 01 +29 0D2 80000000 FFFFFFFE 10 = 000000FF 10 +29 0D3 80000000 FFFFFFFE 11 = 000000FF 11 +29 0D4 80000001 FFFFFFFE 00 = 000001FF 00 +29 0D5 80000001 FFFFFFFE 01 = 000001FF 01 +29 0D6 80000001 FFFFFFFE 10 = 000001FF 10 +29 0D7 80000001 FFFFFFFE 11 = 000001FF 11 +29 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFEFF 00 +29 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFEFF 01 +29 0DA FFFFFFFE FFFFFFFE 10 = FFFFFEFF 10 +29 0DB FFFFFFFE FFFFFFFE 11 = FFFFFEFF 11 +29 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +29 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +29 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +29 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +29 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +29 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +29 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +29 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +29 0E4 00000001 FFFFFFFF 00 = 000001FF 00 +29 0E5 00000001 FFFFFFFF 01 = 000001FF 01 +29 0E6 00000001 FFFFFFFF 10 = 000001FF 10 +29 0E7 00000001 FFFFFFFF 11 = 000001FF 11 +29 0E8 00000002 FFFFFFFF 00 = 000002FF 00 +29 0E9 00000002 FFFFFFFF 01 = 000002FF 01 +29 0EA 00000002 FFFFFFFF 10 = 000002FF 10 +29 0EB 00000002 FFFFFFFF 11 = 000002FF 11 +29 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +29 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +29 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +29 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +29 0F0 80000000 FFFFFFFF 00 = 000000FF 00 +29 0F1 80000000 FFFFFFFF 01 = 000000FF 01 +29 0F2 80000000 FFFFFFFF 10 = 000000FF 10 +29 0F3 80000000 FFFFFFFF 11 = 000000FF 11 +29 0F4 80000001 FFFFFFFF 00 = 000001FF 00 +29 0F5 80000001 FFFFFFFF 01 = 000001FF 01 +29 0F6 80000001 FFFFFFFF 10 = 000001FF 10 +29 0F7 80000001 FFFFFFFF 11 = 000001FF 11 +29 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFEFF 00 +29 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFEFF 01 +29 0FA FFFFFFFE FFFFFFFF 10 = FFFFFEFF 10 +29 0FB FFFFFFFE FFFFFFFF 11 = FFFFFEFF 11 +29 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +29 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +29 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +29 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolbyte2 ---D---- ---S---- CZ = ---Q---- CZ +2A 000 00000000 00000000 00 = 00000000 00 +2A 001 00000000 00000000 01 = 00000000 01 +2A 002 00000000 00000000 10 = 00000000 10 +2A 003 00000000 00000000 11 = 00000000 11 +2A 004 00000001 00000000 00 = 00000100 00 +2A 005 00000001 00000000 01 = 00000100 01 +2A 006 00000001 00000000 10 = 00000100 10 +2A 007 00000001 00000000 11 = 00000100 11 +2A 008 00000002 00000000 00 = 00000200 00 +2A 009 00000002 00000000 01 = 00000200 01 +2A 00A 00000002 00000000 10 = 00000200 10 +2A 00B 00000002 00000000 11 = 00000200 11 +2A 00C 7FFFFFFF 00000000 00 = FFFFFF00 00 +2A 00D 7FFFFFFF 00000000 01 = FFFFFF00 01 +2A 00E 7FFFFFFF 00000000 10 = FFFFFF00 10 +2A 00F 7FFFFFFF 00000000 11 = FFFFFF00 11 +2A 010 80000000 00000000 00 = 00000000 00 +2A 011 80000000 00000000 01 = 00000000 01 +2A 012 80000000 00000000 10 = 00000000 10 +2A 013 80000000 00000000 11 = 00000000 11 +2A 014 80000001 00000000 00 = 00000100 00 +2A 015 80000001 00000000 01 = 00000100 01 +2A 016 80000001 00000000 10 = 00000100 10 +2A 017 80000001 00000000 11 = 00000100 11 +2A 018 FFFFFFFE 00000000 00 = FFFFFE00 00 +2A 019 FFFFFFFE 00000000 01 = FFFFFE00 01 +2A 01A FFFFFFFE 00000000 10 = FFFFFE00 10 +2A 01B FFFFFFFE 00000000 11 = FFFFFE00 11 +2A 01C FFFFFFFF 00000000 00 = FFFFFF00 00 +2A 01D FFFFFFFF 00000000 01 = FFFFFF00 01 +2A 01E FFFFFFFF 00000000 10 = FFFFFF00 10 +2A 01F FFFFFFFF 00000000 11 = FFFFFF00 11 +2A 020 00000000 00000001 00 = 00000000 00 +2A 021 00000000 00000001 01 = 00000000 01 +2A 022 00000000 00000001 10 = 00000000 10 +2A 023 00000000 00000001 11 = 00000000 11 +2A 024 00000001 00000001 00 = 00000100 00 +2A 025 00000001 00000001 01 = 00000100 01 +2A 026 00000001 00000001 10 = 00000100 10 +2A 027 00000001 00000001 11 = 00000100 11 +2A 028 00000002 00000001 00 = 00000200 00 +2A 029 00000002 00000001 01 = 00000200 01 +2A 02A 00000002 00000001 10 = 00000200 10 +2A 02B 00000002 00000001 11 = 00000200 11 +2A 02C 7FFFFFFF 00000001 00 = FFFFFF00 00 +2A 02D 7FFFFFFF 00000001 01 = FFFFFF00 01 +2A 02E 7FFFFFFF 00000001 10 = FFFFFF00 10 +2A 02F 7FFFFFFF 00000001 11 = FFFFFF00 11 +2A 030 80000000 00000001 00 = 00000000 00 +2A 031 80000000 00000001 01 = 00000000 01 +2A 032 80000000 00000001 10 = 00000000 10 +2A 033 80000000 00000001 11 = 00000000 11 +2A 034 80000001 00000001 00 = 00000100 00 +2A 035 80000001 00000001 01 = 00000100 01 +2A 036 80000001 00000001 10 = 00000100 10 +2A 037 80000001 00000001 11 = 00000100 11 +2A 038 FFFFFFFE 00000001 00 = FFFFFE00 00 +2A 039 FFFFFFFE 00000001 01 = FFFFFE00 01 +2A 03A FFFFFFFE 00000001 10 = FFFFFE00 10 +2A 03B FFFFFFFE 00000001 11 = FFFFFE00 11 +2A 03C FFFFFFFF 00000001 00 = FFFFFF00 00 +2A 03D FFFFFFFF 00000001 01 = FFFFFF00 01 +2A 03E FFFFFFFF 00000001 10 = FFFFFF00 10 +2A 03F FFFFFFFF 00000001 11 = FFFFFF00 11 +2A 040 00000000 00000002 00 = 00000000 00 +2A 041 00000000 00000002 01 = 00000000 01 +2A 042 00000000 00000002 10 = 00000000 10 +2A 043 00000000 00000002 11 = 00000000 11 +2A 044 00000001 00000002 00 = 00000100 00 +2A 045 00000001 00000002 01 = 00000100 01 +2A 046 00000001 00000002 10 = 00000100 10 +2A 047 00000001 00000002 11 = 00000100 11 +2A 048 00000002 00000002 00 = 00000200 00 +2A 049 00000002 00000002 01 = 00000200 01 +2A 04A 00000002 00000002 10 = 00000200 10 +2A 04B 00000002 00000002 11 = 00000200 11 +2A 04C 7FFFFFFF 00000002 00 = FFFFFF00 00 +2A 04D 7FFFFFFF 00000002 01 = FFFFFF00 01 +2A 04E 7FFFFFFF 00000002 10 = FFFFFF00 10 +2A 04F 7FFFFFFF 00000002 11 = FFFFFF00 11 +2A 050 80000000 00000002 00 = 00000000 00 +2A 051 80000000 00000002 01 = 00000000 01 +2A 052 80000000 00000002 10 = 00000000 10 +2A 053 80000000 00000002 11 = 00000000 11 +2A 054 80000001 00000002 00 = 00000100 00 +2A 055 80000001 00000002 01 = 00000100 01 +2A 056 80000001 00000002 10 = 00000100 10 +2A 057 80000001 00000002 11 = 00000100 11 +2A 058 FFFFFFFE 00000002 00 = FFFFFE00 00 +2A 059 FFFFFFFE 00000002 01 = FFFFFE00 01 +2A 05A FFFFFFFE 00000002 10 = FFFFFE00 10 +2A 05B FFFFFFFE 00000002 11 = FFFFFE00 11 +2A 05C FFFFFFFF 00000002 00 = FFFFFF00 00 +2A 05D FFFFFFFF 00000002 01 = FFFFFF00 01 +2A 05E FFFFFFFF 00000002 10 = FFFFFF00 10 +2A 05F FFFFFFFF 00000002 11 = FFFFFF00 11 +2A 060 00000000 7FFFFFFF 00 = 000000FF 00 +2A 061 00000000 7FFFFFFF 01 = 000000FF 01 +2A 062 00000000 7FFFFFFF 10 = 000000FF 10 +2A 063 00000000 7FFFFFFF 11 = 000000FF 11 +2A 064 00000001 7FFFFFFF 00 = 000001FF 00 +2A 065 00000001 7FFFFFFF 01 = 000001FF 01 +2A 066 00000001 7FFFFFFF 10 = 000001FF 10 +2A 067 00000001 7FFFFFFF 11 = 000001FF 11 +2A 068 00000002 7FFFFFFF 00 = 000002FF 00 +2A 069 00000002 7FFFFFFF 01 = 000002FF 01 +2A 06A 00000002 7FFFFFFF 10 = 000002FF 10 +2A 06B 00000002 7FFFFFFF 11 = 000002FF 11 +2A 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +2A 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +2A 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +2A 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +2A 070 80000000 7FFFFFFF 00 = 000000FF 00 +2A 071 80000000 7FFFFFFF 01 = 000000FF 01 +2A 072 80000000 7FFFFFFF 10 = 000000FF 10 +2A 073 80000000 7FFFFFFF 11 = 000000FF 11 +2A 074 80000001 7FFFFFFF 00 = 000001FF 00 +2A 075 80000001 7FFFFFFF 01 = 000001FF 01 +2A 076 80000001 7FFFFFFF 10 = 000001FF 10 +2A 077 80000001 7FFFFFFF 11 = 000001FF 11 +2A 078 FFFFFFFE 7FFFFFFF 00 = FFFFFEFF 00 +2A 079 FFFFFFFE 7FFFFFFF 01 = FFFFFEFF 01 +2A 07A FFFFFFFE 7FFFFFFF 10 = FFFFFEFF 10 +2A 07B FFFFFFFE 7FFFFFFF 11 = FFFFFEFF 11 +2A 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +2A 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +2A 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +2A 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +2A 080 00000000 80000000 00 = 00000000 00 +2A 081 00000000 80000000 01 = 00000000 01 +2A 082 00000000 80000000 10 = 00000000 10 +2A 083 00000000 80000000 11 = 00000000 11 +2A 084 00000001 80000000 00 = 00000100 00 +2A 085 00000001 80000000 01 = 00000100 01 +2A 086 00000001 80000000 10 = 00000100 10 +2A 087 00000001 80000000 11 = 00000100 11 +2A 088 00000002 80000000 00 = 00000200 00 +2A 089 00000002 80000000 01 = 00000200 01 +2A 08A 00000002 80000000 10 = 00000200 10 +2A 08B 00000002 80000000 11 = 00000200 11 +2A 08C 7FFFFFFF 80000000 00 = FFFFFF00 00 +2A 08D 7FFFFFFF 80000000 01 = FFFFFF00 01 +2A 08E 7FFFFFFF 80000000 10 = FFFFFF00 10 +2A 08F 7FFFFFFF 80000000 11 = FFFFFF00 11 +2A 090 80000000 80000000 00 = 00000000 00 +2A 091 80000000 80000000 01 = 00000000 01 +2A 092 80000000 80000000 10 = 00000000 10 +2A 093 80000000 80000000 11 = 00000000 11 +2A 094 80000001 80000000 00 = 00000100 00 +2A 095 80000001 80000000 01 = 00000100 01 +2A 096 80000001 80000000 10 = 00000100 10 +2A 097 80000001 80000000 11 = 00000100 11 +2A 098 FFFFFFFE 80000000 00 = FFFFFE00 00 +2A 099 FFFFFFFE 80000000 01 = FFFFFE00 01 +2A 09A FFFFFFFE 80000000 10 = FFFFFE00 10 +2A 09B FFFFFFFE 80000000 11 = FFFFFE00 11 +2A 09C FFFFFFFF 80000000 00 = FFFFFF00 00 +2A 09D FFFFFFFF 80000000 01 = FFFFFF00 01 +2A 09E FFFFFFFF 80000000 10 = FFFFFF00 10 +2A 09F FFFFFFFF 80000000 11 = FFFFFF00 11 +2A 0A0 00000000 80000001 00 = 00000000 00 +2A 0A1 00000000 80000001 01 = 00000000 01 +2A 0A2 00000000 80000001 10 = 00000000 10 +2A 0A3 00000000 80000001 11 = 00000000 11 +2A 0A4 00000001 80000001 00 = 00000100 00 +2A 0A5 00000001 80000001 01 = 00000100 01 +2A 0A6 00000001 80000001 10 = 00000100 10 +2A 0A7 00000001 80000001 11 = 00000100 11 +2A 0A8 00000002 80000001 00 = 00000200 00 +2A 0A9 00000002 80000001 01 = 00000200 01 +2A 0AA 00000002 80000001 10 = 00000200 10 +2A 0AB 00000002 80000001 11 = 00000200 11 +2A 0AC 7FFFFFFF 80000001 00 = FFFFFF00 00 +2A 0AD 7FFFFFFF 80000001 01 = FFFFFF00 01 +2A 0AE 7FFFFFFF 80000001 10 = FFFFFF00 10 +2A 0AF 7FFFFFFF 80000001 11 = FFFFFF00 11 +2A 0B0 80000000 80000001 00 = 00000000 00 +2A 0B1 80000000 80000001 01 = 00000000 01 +2A 0B2 80000000 80000001 10 = 00000000 10 +2A 0B3 80000000 80000001 11 = 00000000 11 +2A 0B4 80000001 80000001 00 = 00000100 00 +2A 0B5 80000001 80000001 01 = 00000100 01 +2A 0B6 80000001 80000001 10 = 00000100 10 +2A 0B7 80000001 80000001 11 = 00000100 11 +2A 0B8 FFFFFFFE 80000001 00 = FFFFFE00 00 +2A 0B9 FFFFFFFE 80000001 01 = FFFFFE00 01 +2A 0BA FFFFFFFE 80000001 10 = FFFFFE00 10 +2A 0BB FFFFFFFE 80000001 11 = FFFFFE00 11 +2A 0BC FFFFFFFF 80000001 00 = FFFFFF00 00 +2A 0BD FFFFFFFF 80000001 01 = FFFFFF00 01 +2A 0BE FFFFFFFF 80000001 10 = FFFFFF00 10 +2A 0BF FFFFFFFF 80000001 11 = FFFFFF00 11 +2A 0C0 00000000 FFFFFFFE 00 = 000000FF 00 +2A 0C1 00000000 FFFFFFFE 01 = 000000FF 01 +2A 0C2 00000000 FFFFFFFE 10 = 000000FF 10 +2A 0C3 00000000 FFFFFFFE 11 = 000000FF 11 +2A 0C4 00000001 FFFFFFFE 00 = 000001FF 00 +2A 0C5 00000001 FFFFFFFE 01 = 000001FF 01 +2A 0C6 00000001 FFFFFFFE 10 = 000001FF 10 +2A 0C7 00000001 FFFFFFFE 11 = 000001FF 11 +2A 0C8 00000002 FFFFFFFE 00 = 000002FF 00 +2A 0C9 00000002 FFFFFFFE 01 = 000002FF 01 +2A 0CA 00000002 FFFFFFFE 10 = 000002FF 10 +2A 0CB 00000002 FFFFFFFE 11 = 000002FF 11 +2A 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +2A 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +2A 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +2A 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +2A 0D0 80000000 FFFFFFFE 00 = 000000FF 00 +2A 0D1 80000000 FFFFFFFE 01 = 000000FF 01 +2A 0D2 80000000 FFFFFFFE 10 = 000000FF 10 +2A 0D3 80000000 FFFFFFFE 11 = 000000FF 11 +2A 0D4 80000001 FFFFFFFE 00 = 000001FF 00 +2A 0D5 80000001 FFFFFFFE 01 = 000001FF 01 +2A 0D6 80000001 FFFFFFFE 10 = 000001FF 10 +2A 0D7 80000001 FFFFFFFE 11 = 000001FF 11 +2A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFEFF 00 +2A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFEFF 01 +2A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFEFF 10 +2A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFEFF 11 +2A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +2A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +2A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +2A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +2A 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +2A 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +2A 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +2A 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +2A 0E4 00000001 FFFFFFFF 00 = 000001FF 00 +2A 0E5 00000001 FFFFFFFF 01 = 000001FF 01 +2A 0E6 00000001 FFFFFFFF 10 = 000001FF 10 +2A 0E7 00000001 FFFFFFFF 11 = 000001FF 11 +2A 0E8 00000002 FFFFFFFF 00 = 000002FF 00 +2A 0E9 00000002 FFFFFFFF 01 = 000002FF 01 +2A 0EA 00000002 FFFFFFFF 10 = 000002FF 10 +2A 0EB 00000002 FFFFFFFF 11 = 000002FF 11 +2A 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2A 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +2A 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +2A 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +2A 0F0 80000000 FFFFFFFF 00 = 000000FF 00 +2A 0F1 80000000 FFFFFFFF 01 = 000000FF 01 +2A 0F2 80000000 FFFFFFFF 10 = 000000FF 10 +2A 0F3 80000000 FFFFFFFF 11 = 000000FF 11 +2A 0F4 80000001 FFFFFFFF 00 = 000001FF 00 +2A 0F5 80000001 FFFFFFFF 01 = 000001FF 01 +2A 0F6 80000001 FFFFFFFF 10 = 000001FF 10 +2A 0F7 80000001 FFFFFFFF 11 = 000001FF 11 +2A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFEFF 00 +2A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFEFF 01 +2A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFEFF 10 +2A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFEFF 11 +2A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +2A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +2A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolbyte3 ---D---- ---S---- CZ = ---Q---- CZ +2B 000 00000000 00000000 00 = 00000000 00 +2B 001 00000000 00000000 01 = 00000000 01 +2B 002 00000000 00000000 10 = 00000000 10 +2B 003 00000000 00000000 11 = 00000000 11 +2B 004 00000001 00000000 00 = 00000100 00 +2B 005 00000001 00000000 01 = 00000100 01 +2B 006 00000001 00000000 10 = 00000100 10 +2B 007 00000001 00000000 11 = 00000100 11 +2B 008 00000002 00000000 00 = 00000200 00 +2B 009 00000002 00000000 01 = 00000200 01 +2B 00A 00000002 00000000 10 = 00000200 10 +2B 00B 00000002 00000000 11 = 00000200 11 +2B 00C 7FFFFFFF 00000000 00 = FFFFFF00 00 +2B 00D 7FFFFFFF 00000000 01 = FFFFFF00 01 +2B 00E 7FFFFFFF 00000000 10 = FFFFFF00 10 +2B 00F 7FFFFFFF 00000000 11 = FFFFFF00 11 +2B 010 80000000 00000000 00 = 00000000 00 +2B 011 80000000 00000000 01 = 00000000 01 +2B 012 80000000 00000000 10 = 00000000 10 +2B 013 80000000 00000000 11 = 00000000 11 +2B 014 80000001 00000000 00 = 00000100 00 +2B 015 80000001 00000000 01 = 00000100 01 +2B 016 80000001 00000000 10 = 00000100 10 +2B 017 80000001 00000000 11 = 00000100 11 +2B 018 FFFFFFFE 00000000 00 = FFFFFE00 00 +2B 019 FFFFFFFE 00000000 01 = FFFFFE00 01 +2B 01A FFFFFFFE 00000000 10 = FFFFFE00 10 +2B 01B FFFFFFFE 00000000 11 = FFFFFE00 11 +2B 01C FFFFFFFF 00000000 00 = FFFFFF00 00 +2B 01D FFFFFFFF 00000000 01 = FFFFFF00 01 +2B 01E FFFFFFFF 00000000 10 = FFFFFF00 10 +2B 01F FFFFFFFF 00000000 11 = FFFFFF00 11 +2B 020 00000000 00000001 00 = 00000000 00 +2B 021 00000000 00000001 01 = 00000000 01 +2B 022 00000000 00000001 10 = 00000000 10 +2B 023 00000000 00000001 11 = 00000000 11 +2B 024 00000001 00000001 00 = 00000100 00 +2B 025 00000001 00000001 01 = 00000100 01 +2B 026 00000001 00000001 10 = 00000100 10 +2B 027 00000001 00000001 11 = 00000100 11 +2B 028 00000002 00000001 00 = 00000200 00 +2B 029 00000002 00000001 01 = 00000200 01 +2B 02A 00000002 00000001 10 = 00000200 10 +2B 02B 00000002 00000001 11 = 00000200 11 +2B 02C 7FFFFFFF 00000001 00 = FFFFFF00 00 +2B 02D 7FFFFFFF 00000001 01 = FFFFFF00 01 +2B 02E 7FFFFFFF 00000001 10 = FFFFFF00 10 +2B 02F 7FFFFFFF 00000001 11 = FFFFFF00 11 +2B 030 80000000 00000001 00 = 00000000 00 +2B 031 80000000 00000001 01 = 00000000 01 +2B 032 80000000 00000001 10 = 00000000 10 +2B 033 80000000 00000001 11 = 00000000 11 +2B 034 80000001 00000001 00 = 00000100 00 +2B 035 80000001 00000001 01 = 00000100 01 +2B 036 80000001 00000001 10 = 00000100 10 +2B 037 80000001 00000001 11 = 00000100 11 +2B 038 FFFFFFFE 00000001 00 = FFFFFE00 00 +2B 039 FFFFFFFE 00000001 01 = FFFFFE00 01 +2B 03A FFFFFFFE 00000001 10 = FFFFFE00 10 +2B 03B FFFFFFFE 00000001 11 = FFFFFE00 11 +2B 03C FFFFFFFF 00000001 00 = FFFFFF00 00 +2B 03D FFFFFFFF 00000001 01 = FFFFFF00 01 +2B 03E FFFFFFFF 00000001 10 = FFFFFF00 10 +2B 03F FFFFFFFF 00000001 11 = FFFFFF00 11 +2B 040 00000000 00000002 00 = 00000000 00 +2B 041 00000000 00000002 01 = 00000000 01 +2B 042 00000000 00000002 10 = 00000000 10 +2B 043 00000000 00000002 11 = 00000000 11 +2B 044 00000001 00000002 00 = 00000100 00 +2B 045 00000001 00000002 01 = 00000100 01 +2B 046 00000001 00000002 10 = 00000100 10 +2B 047 00000001 00000002 11 = 00000100 11 +2B 048 00000002 00000002 00 = 00000200 00 +2B 049 00000002 00000002 01 = 00000200 01 +2B 04A 00000002 00000002 10 = 00000200 10 +2B 04B 00000002 00000002 11 = 00000200 11 +2B 04C 7FFFFFFF 00000002 00 = FFFFFF00 00 +2B 04D 7FFFFFFF 00000002 01 = FFFFFF00 01 +2B 04E 7FFFFFFF 00000002 10 = FFFFFF00 10 +2B 04F 7FFFFFFF 00000002 11 = FFFFFF00 11 +2B 050 80000000 00000002 00 = 00000000 00 +2B 051 80000000 00000002 01 = 00000000 01 +2B 052 80000000 00000002 10 = 00000000 10 +2B 053 80000000 00000002 11 = 00000000 11 +2B 054 80000001 00000002 00 = 00000100 00 +2B 055 80000001 00000002 01 = 00000100 01 +2B 056 80000001 00000002 10 = 00000100 10 +2B 057 80000001 00000002 11 = 00000100 11 +2B 058 FFFFFFFE 00000002 00 = FFFFFE00 00 +2B 059 FFFFFFFE 00000002 01 = FFFFFE00 01 +2B 05A FFFFFFFE 00000002 10 = FFFFFE00 10 +2B 05B FFFFFFFE 00000002 11 = FFFFFE00 11 +2B 05C FFFFFFFF 00000002 00 = FFFFFF00 00 +2B 05D FFFFFFFF 00000002 01 = FFFFFF00 01 +2B 05E FFFFFFFF 00000002 10 = FFFFFF00 10 +2B 05F FFFFFFFF 00000002 11 = FFFFFF00 11 +2B 060 00000000 7FFFFFFF 00 = 0000007F 00 +2B 061 00000000 7FFFFFFF 01 = 0000007F 01 +2B 062 00000000 7FFFFFFF 10 = 0000007F 10 +2B 063 00000000 7FFFFFFF 11 = 0000007F 11 +2B 064 00000001 7FFFFFFF 00 = 0000017F 00 +2B 065 00000001 7FFFFFFF 01 = 0000017F 01 +2B 066 00000001 7FFFFFFF 10 = 0000017F 10 +2B 067 00000001 7FFFFFFF 11 = 0000017F 11 +2B 068 00000002 7FFFFFFF 00 = 0000027F 00 +2B 069 00000002 7FFFFFFF 01 = 0000027F 01 +2B 06A 00000002 7FFFFFFF 10 = 0000027F 10 +2B 06B 00000002 7FFFFFFF 11 = 0000027F 11 +2B 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFF7F 00 +2B 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFF7F 01 +2B 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFF7F 10 +2B 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFF7F 11 +2B 070 80000000 7FFFFFFF 00 = 0000007F 00 +2B 071 80000000 7FFFFFFF 01 = 0000007F 01 +2B 072 80000000 7FFFFFFF 10 = 0000007F 10 +2B 073 80000000 7FFFFFFF 11 = 0000007F 11 +2B 074 80000001 7FFFFFFF 00 = 0000017F 00 +2B 075 80000001 7FFFFFFF 01 = 0000017F 01 +2B 076 80000001 7FFFFFFF 10 = 0000017F 10 +2B 077 80000001 7FFFFFFF 11 = 0000017F 11 +2B 078 FFFFFFFE 7FFFFFFF 00 = FFFFFE7F 00 +2B 079 FFFFFFFE 7FFFFFFF 01 = FFFFFE7F 01 +2B 07A FFFFFFFE 7FFFFFFF 10 = FFFFFE7F 10 +2B 07B FFFFFFFE 7FFFFFFF 11 = FFFFFE7F 11 +2B 07C FFFFFFFF 7FFFFFFF 00 = FFFFFF7F 00 +2B 07D FFFFFFFF 7FFFFFFF 01 = FFFFFF7F 01 +2B 07E FFFFFFFF 7FFFFFFF 10 = FFFFFF7F 10 +2B 07F FFFFFFFF 7FFFFFFF 11 = FFFFFF7F 11 +2B 080 00000000 80000000 00 = 00000080 00 +2B 081 00000000 80000000 01 = 00000080 01 +2B 082 00000000 80000000 10 = 00000080 10 +2B 083 00000000 80000000 11 = 00000080 11 +2B 084 00000001 80000000 00 = 00000180 00 +2B 085 00000001 80000000 01 = 00000180 01 +2B 086 00000001 80000000 10 = 00000180 10 +2B 087 00000001 80000000 11 = 00000180 11 +2B 088 00000002 80000000 00 = 00000280 00 +2B 089 00000002 80000000 01 = 00000280 01 +2B 08A 00000002 80000000 10 = 00000280 10 +2B 08B 00000002 80000000 11 = 00000280 11 +2B 08C 7FFFFFFF 80000000 00 = FFFFFF80 00 +2B 08D 7FFFFFFF 80000000 01 = FFFFFF80 01 +2B 08E 7FFFFFFF 80000000 10 = FFFFFF80 10 +2B 08F 7FFFFFFF 80000000 11 = FFFFFF80 11 +2B 090 80000000 80000000 00 = 00000080 00 +2B 091 80000000 80000000 01 = 00000080 01 +2B 092 80000000 80000000 10 = 00000080 10 +2B 093 80000000 80000000 11 = 00000080 11 +2B 094 80000001 80000000 00 = 00000180 00 +2B 095 80000001 80000000 01 = 00000180 01 +2B 096 80000001 80000000 10 = 00000180 10 +2B 097 80000001 80000000 11 = 00000180 11 +2B 098 FFFFFFFE 80000000 00 = FFFFFE80 00 +2B 099 FFFFFFFE 80000000 01 = FFFFFE80 01 +2B 09A FFFFFFFE 80000000 10 = FFFFFE80 10 +2B 09B FFFFFFFE 80000000 11 = FFFFFE80 11 +2B 09C FFFFFFFF 80000000 00 = FFFFFF80 00 +2B 09D FFFFFFFF 80000000 01 = FFFFFF80 01 +2B 09E FFFFFFFF 80000000 10 = FFFFFF80 10 +2B 09F FFFFFFFF 80000000 11 = FFFFFF80 11 +2B 0A0 00000000 80000001 00 = 00000080 00 +2B 0A1 00000000 80000001 01 = 00000080 01 +2B 0A2 00000000 80000001 10 = 00000080 10 +2B 0A3 00000000 80000001 11 = 00000080 11 +2B 0A4 00000001 80000001 00 = 00000180 00 +2B 0A5 00000001 80000001 01 = 00000180 01 +2B 0A6 00000001 80000001 10 = 00000180 10 +2B 0A7 00000001 80000001 11 = 00000180 11 +2B 0A8 00000002 80000001 00 = 00000280 00 +2B 0A9 00000002 80000001 01 = 00000280 01 +2B 0AA 00000002 80000001 10 = 00000280 10 +2B 0AB 00000002 80000001 11 = 00000280 11 +2B 0AC 7FFFFFFF 80000001 00 = FFFFFF80 00 +2B 0AD 7FFFFFFF 80000001 01 = FFFFFF80 01 +2B 0AE 7FFFFFFF 80000001 10 = FFFFFF80 10 +2B 0AF 7FFFFFFF 80000001 11 = FFFFFF80 11 +2B 0B0 80000000 80000001 00 = 00000080 00 +2B 0B1 80000000 80000001 01 = 00000080 01 +2B 0B2 80000000 80000001 10 = 00000080 10 +2B 0B3 80000000 80000001 11 = 00000080 11 +2B 0B4 80000001 80000001 00 = 00000180 00 +2B 0B5 80000001 80000001 01 = 00000180 01 +2B 0B6 80000001 80000001 10 = 00000180 10 +2B 0B7 80000001 80000001 11 = 00000180 11 +2B 0B8 FFFFFFFE 80000001 00 = FFFFFE80 00 +2B 0B9 FFFFFFFE 80000001 01 = FFFFFE80 01 +2B 0BA FFFFFFFE 80000001 10 = FFFFFE80 10 +2B 0BB FFFFFFFE 80000001 11 = FFFFFE80 11 +2B 0BC FFFFFFFF 80000001 00 = FFFFFF80 00 +2B 0BD FFFFFFFF 80000001 01 = FFFFFF80 01 +2B 0BE FFFFFFFF 80000001 10 = FFFFFF80 10 +2B 0BF FFFFFFFF 80000001 11 = FFFFFF80 11 +2B 0C0 00000000 FFFFFFFE 00 = 000000FF 00 +2B 0C1 00000000 FFFFFFFE 01 = 000000FF 01 +2B 0C2 00000000 FFFFFFFE 10 = 000000FF 10 +2B 0C3 00000000 FFFFFFFE 11 = 000000FF 11 +2B 0C4 00000001 FFFFFFFE 00 = 000001FF 00 +2B 0C5 00000001 FFFFFFFE 01 = 000001FF 01 +2B 0C6 00000001 FFFFFFFE 10 = 000001FF 10 +2B 0C7 00000001 FFFFFFFE 11 = 000001FF 11 +2B 0C8 00000002 FFFFFFFE 00 = 000002FF 00 +2B 0C9 00000002 FFFFFFFE 01 = 000002FF 01 +2B 0CA 00000002 FFFFFFFE 10 = 000002FF 10 +2B 0CB 00000002 FFFFFFFE 11 = 000002FF 11 +2B 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +2B 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +2B 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +2B 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +2B 0D0 80000000 FFFFFFFE 00 = 000000FF 00 +2B 0D1 80000000 FFFFFFFE 01 = 000000FF 01 +2B 0D2 80000000 FFFFFFFE 10 = 000000FF 10 +2B 0D3 80000000 FFFFFFFE 11 = 000000FF 11 +2B 0D4 80000001 FFFFFFFE 00 = 000001FF 00 +2B 0D5 80000001 FFFFFFFE 01 = 000001FF 01 +2B 0D6 80000001 FFFFFFFE 10 = 000001FF 10 +2B 0D7 80000001 FFFFFFFE 11 = 000001FF 11 +2B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFEFF 00 +2B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFEFF 01 +2B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFEFF 10 +2B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFEFF 11 +2B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +2B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +2B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +2B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +2B 0E0 00000000 FFFFFFFF 00 = 000000FF 00 +2B 0E1 00000000 FFFFFFFF 01 = 000000FF 01 +2B 0E2 00000000 FFFFFFFF 10 = 000000FF 10 +2B 0E3 00000000 FFFFFFFF 11 = 000000FF 11 +2B 0E4 00000001 FFFFFFFF 00 = 000001FF 00 +2B 0E5 00000001 FFFFFFFF 01 = 000001FF 01 +2B 0E6 00000001 FFFFFFFF 10 = 000001FF 10 +2B 0E7 00000001 FFFFFFFF 11 = 000001FF 11 +2B 0E8 00000002 FFFFFFFF 00 = 000002FF 00 +2B 0E9 00000002 FFFFFFFF 01 = 000002FF 01 +2B 0EA 00000002 FFFFFFFF 10 = 000002FF 10 +2B 0EB 00000002 FFFFFFFF 11 = 000002FF 11 +2B 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2B 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +2B 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +2B 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +2B 0F0 80000000 FFFFFFFF 00 = 000000FF 00 +2B 0F1 80000000 FFFFFFFF 01 = 000000FF 01 +2B 0F2 80000000 FFFFFFFF 10 = 000000FF 10 +2B 0F3 80000000 FFFFFFFF 11 = 000000FF 11 +2B 0F4 80000001 FFFFFFFF 00 = 000001FF 00 +2B 0F5 80000001 FFFFFFFF 01 = 000001FF 01 +2B 0F6 80000001 FFFFFFFF 10 = 000001FF 10 +2B 0F7 80000001 FFFFFFFF 11 = 000001FF 11 +2B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFEFF 00 +2B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFEFF 01 +2B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFEFF 10 +2B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFEFF 11 +2B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +2B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +2B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setword0 ---D---- ---S---- CZ = ---Q---- CZ +2C 000 00000000 00000000 00 = 00000000 00 +2C 001 00000000 00000000 01 = 00000000 01 +2C 002 00000000 00000000 10 = 00000000 10 +2C 003 00000000 00000000 11 = 00000000 11 +2C 004 00000001 00000000 00 = 00000000 00 +2C 005 00000001 00000000 01 = 00000000 01 +2C 006 00000001 00000000 10 = 00000000 10 +2C 007 00000001 00000000 11 = 00000000 11 +2C 008 00000002 00000000 00 = 00000000 00 +2C 009 00000002 00000000 01 = 00000000 01 +2C 00A 00000002 00000000 10 = 00000000 10 +2C 00B 00000002 00000000 11 = 00000000 11 +2C 00C 7FFFFFFF 00000000 00 = 7FFF0000 00 +2C 00D 7FFFFFFF 00000000 01 = 7FFF0000 01 +2C 00E 7FFFFFFF 00000000 10 = 7FFF0000 10 +2C 00F 7FFFFFFF 00000000 11 = 7FFF0000 11 +2C 010 80000000 00000000 00 = 80000000 00 +2C 011 80000000 00000000 01 = 80000000 01 +2C 012 80000000 00000000 10 = 80000000 10 +2C 013 80000000 00000000 11 = 80000000 11 +2C 014 80000001 00000000 00 = 80000000 00 +2C 015 80000001 00000000 01 = 80000000 01 +2C 016 80000001 00000000 10 = 80000000 10 +2C 017 80000001 00000000 11 = 80000000 11 +2C 018 FFFFFFFE 00000000 00 = FFFF0000 00 +2C 019 FFFFFFFE 00000000 01 = FFFF0000 01 +2C 01A FFFFFFFE 00000000 10 = FFFF0000 10 +2C 01B FFFFFFFE 00000000 11 = FFFF0000 11 +2C 01C FFFFFFFF 00000000 00 = FFFF0000 00 +2C 01D FFFFFFFF 00000000 01 = FFFF0000 01 +2C 01E FFFFFFFF 00000000 10 = FFFF0000 10 +2C 01F FFFFFFFF 00000000 11 = FFFF0000 11 +2C 020 00000000 00000001 00 = 00000001 00 +2C 021 00000000 00000001 01 = 00000001 01 +2C 022 00000000 00000001 10 = 00000001 10 +2C 023 00000000 00000001 11 = 00000001 11 +2C 024 00000001 00000001 00 = 00000001 00 +2C 025 00000001 00000001 01 = 00000001 01 +2C 026 00000001 00000001 10 = 00000001 10 +2C 027 00000001 00000001 11 = 00000001 11 +2C 028 00000002 00000001 00 = 00000001 00 +2C 029 00000002 00000001 01 = 00000001 01 +2C 02A 00000002 00000001 10 = 00000001 10 +2C 02B 00000002 00000001 11 = 00000001 11 +2C 02C 7FFFFFFF 00000001 00 = 7FFF0001 00 +2C 02D 7FFFFFFF 00000001 01 = 7FFF0001 01 +2C 02E 7FFFFFFF 00000001 10 = 7FFF0001 10 +2C 02F 7FFFFFFF 00000001 11 = 7FFF0001 11 +2C 030 80000000 00000001 00 = 80000001 00 +2C 031 80000000 00000001 01 = 80000001 01 +2C 032 80000000 00000001 10 = 80000001 10 +2C 033 80000000 00000001 11 = 80000001 11 +2C 034 80000001 00000001 00 = 80000001 00 +2C 035 80000001 00000001 01 = 80000001 01 +2C 036 80000001 00000001 10 = 80000001 10 +2C 037 80000001 00000001 11 = 80000001 11 +2C 038 FFFFFFFE 00000001 00 = FFFF0001 00 +2C 039 FFFFFFFE 00000001 01 = FFFF0001 01 +2C 03A FFFFFFFE 00000001 10 = FFFF0001 10 +2C 03B FFFFFFFE 00000001 11 = FFFF0001 11 +2C 03C FFFFFFFF 00000001 00 = FFFF0001 00 +2C 03D FFFFFFFF 00000001 01 = FFFF0001 01 +2C 03E FFFFFFFF 00000001 10 = FFFF0001 10 +2C 03F FFFFFFFF 00000001 11 = FFFF0001 11 +2C 040 00000000 00000002 00 = 00000002 00 +2C 041 00000000 00000002 01 = 00000002 01 +2C 042 00000000 00000002 10 = 00000002 10 +2C 043 00000000 00000002 11 = 00000002 11 +2C 044 00000001 00000002 00 = 00000002 00 +2C 045 00000001 00000002 01 = 00000002 01 +2C 046 00000001 00000002 10 = 00000002 10 +2C 047 00000001 00000002 11 = 00000002 11 +2C 048 00000002 00000002 00 = 00000002 00 +2C 049 00000002 00000002 01 = 00000002 01 +2C 04A 00000002 00000002 10 = 00000002 10 +2C 04B 00000002 00000002 11 = 00000002 11 +2C 04C 7FFFFFFF 00000002 00 = 7FFF0002 00 +2C 04D 7FFFFFFF 00000002 01 = 7FFF0002 01 +2C 04E 7FFFFFFF 00000002 10 = 7FFF0002 10 +2C 04F 7FFFFFFF 00000002 11 = 7FFF0002 11 +2C 050 80000000 00000002 00 = 80000002 00 +2C 051 80000000 00000002 01 = 80000002 01 +2C 052 80000000 00000002 10 = 80000002 10 +2C 053 80000000 00000002 11 = 80000002 11 +2C 054 80000001 00000002 00 = 80000002 00 +2C 055 80000001 00000002 01 = 80000002 01 +2C 056 80000001 00000002 10 = 80000002 10 +2C 057 80000001 00000002 11 = 80000002 11 +2C 058 FFFFFFFE 00000002 00 = FFFF0002 00 +2C 059 FFFFFFFE 00000002 01 = FFFF0002 01 +2C 05A FFFFFFFE 00000002 10 = FFFF0002 10 +2C 05B FFFFFFFE 00000002 11 = FFFF0002 11 +2C 05C FFFFFFFF 00000002 00 = FFFF0002 00 +2C 05D FFFFFFFF 00000002 01 = FFFF0002 01 +2C 05E FFFFFFFF 00000002 10 = FFFF0002 10 +2C 05F FFFFFFFF 00000002 11 = FFFF0002 11 +2C 060 00000000 7FFFFFFF 00 = 0000FFFF 00 +2C 061 00000000 7FFFFFFF 01 = 0000FFFF 01 +2C 062 00000000 7FFFFFFF 10 = 0000FFFF 10 +2C 063 00000000 7FFFFFFF 11 = 0000FFFF 11 +2C 064 00000001 7FFFFFFF 00 = 0000FFFF 00 +2C 065 00000001 7FFFFFFF 01 = 0000FFFF 01 +2C 066 00000001 7FFFFFFF 10 = 0000FFFF 10 +2C 067 00000001 7FFFFFFF 11 = 0000FFFF 11 +2C 068 00000002 7FFFFFFF 00 = 0000FFFF 00 +2C 069 00000002 7FFFFFFF 01 = 0000FFFF 01 +2C 06A 00000002 7FFFFFFF 10 = 0000FFFF 10 +2C 06B 00000002 7FFFFFFF 11 = 0000FFFF 11 +2C 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +2C 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +2C 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +2C 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +2C 070 80000000 7FFFFFFF 00 = 8000FFFF 00 +2C 071 80000000 7FFFFFFF 01 = 8000FFFF 01 +2C 072 80000000 7FFFFFFF 10 = 8000FFFF 10 +2C 073 80000000 7FFFFFFF 11 = 8000FFFF 11 +2C 074 80000001 7FFFFFFF 00 = 8000FFFF 00 +2C 075 80000001 7FFFFFFF 01 = 8000FFFF 01 +2C 076 80000001 7FFFFFFF 10 = 8000FFFF 10 +2C 077 80000001 7FFFFFFF 11 = 8000FFFF 11 +2C 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +2C 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 +2C 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +2C 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 +2C 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +2C 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +2C 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +2C 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +2C 080 00000000 80000000 00 = 00000000 00 +2C 081 00000000 80000000 01 = 00000000 01 +2C 082 00000000 80000000 10 = 00000000 10 +2C 083 00000000 80000000 11 = 00000000 11 +2C 084 00000001 80000000 00 = 00000000 00 +2C 085 00000001 80000000 01 = 00000000 01 +2C 086 00000001 80000000 10 = 00000000 10 +2C 087 00000001 80000000 11 = 00000000 11 +2C 088 00000002 80000000 00 = 00000000 00 +2C 089 00000002 80000000 01 = 00000000 01 +2C 08A 00000002 80000000 10 = 00000000 10 +2C 08B 00000002 80000000 11 = 00000000 11 +2C 08C 7FFFFFFF 80000000 00 = 7FFF0000 00 +2C 08D 7FFFFFFF 80000000 01 = 7FFF0000 01 +2C 08E 7FFFFFFF 80000000 10 = 7FFF0000 10 +2C 08F 7FFFFFFF 80000000 11 = 7FFF0000 11 +2C 090 80000000 80000000 00 = 80000000 00 +2C 091 80000000 80000000 01 = 80000000 01 +2C 092 80000000 80000000 10 = 80000000 10 +2C 093 80000000 80000000 11 = 80000000 11 +2C 094 80000001 80000000 00 = 80000000 00 +2C 095 80000001 80000000 01 = 80000000 01 +2C 096 80000001 80000000 10 = 80000000 10 +2C 097 80000001 80000000 11 = 80000000 11 +2C 098 FFFFFFFE 80000000 00 = FFFF0000 00 +2C 099 FFFFFFFE 80000000 01 = FFFF0000 01 +2C 09A FFFFFFFE 80000000 10 = FFFF0000 10 +2C 09B FFFFFFFE 80000000 11 = FFFF0000 11 +2C 09C FFFFFFFF 80000000 00 = FFFF0000 00 +2C 09D FFFFFFFF 80000000 01 = FFFF0000 01 +2C 09E FFFFFFFF 80000000 10 = FFFF0000 10 +2C 09F FFFFFFFF 80000000 11 = FFFF0000 11 +2C 0A0 00000000 80000001 00 = 00000001 00 +2C 0A1 00000000 80000001 01 = 00000001 01 +2C 0A2 00000000 80000001 10 = 00000001 10 +2C 0A3 00000000 80000001 11 = 00000001 11 +2C 0A4 00000001 80000001 00 = 00000001 00 +2C 0A5 00000001 80000001 01 = 00000001 01 +2C 0A6 00000001 80000001 10 = 00000001 10 +2C 0A7 00000001 80000001 11 = 00000001 11 +2C 0A8 00000002 80000001 00 = 00000001 00 +2C 0A9 00000002 80000001 01 = 00000001 01 +2C 0AA 00000002 80000001 10 = 00000001 10 +2C 0AB 00000002 80000001 11 = 00000001 11 +2C 0AC 7FFFFFFF 80000001 00 = 7FFF0001 00 +2C 0AD 7FFFFFFF 80000001 01 = 7FFF0001 01 +2C 0AE 7FFFFFFF 80000001 10 = 7FFF0001 10 +2C 0AF 7FFFFFFF 80000001 11 = 7FFF0001 11 +2C 0B0 80000000 80000001 00 = 80000001 00 +2C 0B1 80000000 80000001 01 = 80000001 01 +2C 0B2 80000000 80000001 10 = 80000001 10 +2C 0B3 80000000 80000001 11 = 80000001 11 +2C 0B4 80000001 80000001 00 = 80000001 00 +2C 0B5 80000001 80000001 01 = 80000001 01 +2C 0B6 80000001 80000001 10 = 80000001 10 +2C 0B7 80000001 80000001 11 = 80000001 11 +2C 0B8 FFFFFFFE 80000001 00 = FFFF0001 00 +2C 0B9 FFFFFFFE 80000001 01 = FFFF0001 01 +2C 0BA FFFFFFFE 80000001 10 = FFFF0001 10 +2C 0BB FFFFFFFE 80000001 11 = FFFF0001 11 +2C 0BC FFFFFFFF 80000001 00 = FFFF0001 00 +2C 0BD FFFFFFFF 80000001 01 = FFFF0001 01 +2C 0BE FFFFFFFF 80000001 10 = FFFF0001 10 +2C 0BF FFFFFFFF 80000001 11 = FFFF0001 11 +2C 0C0 00000000 FFFFFFFE 00 = 0000FFFE 00 +2C 0C1 00000000 FFFFFFFE 01 = 0000FFFE 01 +2C 0C2 00000000 FFFFFFFE 10 = 0000FFFE 10 +2C 0C3 00000000 FFFFFFFE 11 = 0000FFFE 11 +2C 0C4 00000001 FFFFFFFE 00 = 0000FFFE 00 +2C 0C5 00000001 FFFFFFFE 01 = 0000FFFE 01 +2C 0C6 00000001 FFFFFFFE 10 = 0000FFFE 10 +2C 0C7 00000001 FFFFFFFE 11 = 0000FFFE 11 +2C 0C8 00000002 FFFFFFFE 00 = 0000FFFE 00 +2C 0C9 00000002 FFFFFFFE 01 = 0000FFFE 01 +2C 0CA 00000002 FFFFFFFE 10 = 0000FFFE 10 +2C 0CB 00000002 FFFFFFFE 11 = 0000FFFE 11 +2C 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 +2C 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 01 +2C 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 10 +2C 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 11 +2C 0D0 80000000 FFFFFFFE 00 = 8000FFFE 00 +2C 0D1 80000000 FFFFFFFE 01 = 8000FFFE 01 +2C 0D2 80000000 FFFFFFFE 10 = 8000FFFE 10 +2C 0D3 80000000 FFFFFFFE 11 = 8000FFFE 11 +2C 0D4 80000001 FFFFFFFE 00 = 8000FFFE 00 +2C 0D5 80000001 FFFFFFFE 01 = 8000FFFE 01 +2C 0D6 80000001 FFFFFFFE 10 = 8000FFFE 10 +2C 0D7 80000001 FFFFFFFE 11 = 8000FFFE 11 +2C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +2C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +2C 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +2C 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +2C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +2C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +2C 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +2C 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +2C 0E0 00000000 FFFFFFFF 00 = 0000FFFF 00 +2C 0E1 00000000 FFFFFFFF 01 = 0000FFFF 01 +2C 0E2 00000000 FFFFFFFF 10 = 0000FFFF 10 +2C 0E3 00000000 FFFFFFFF 11 = 0000FFFF 11 +2C 0E4 00000001 FFFFFFFF 00 = 0000FFFF 00 +2C 0E5 00000001 FFFFFFFF 01 = 0000FFFF 01 +2C 0E6 00000001 FFFFFFFF 10 = 0000FFFF 10 +2C 0E7 00000001 FFFFFFFF 11 = 0000FFFF 11 +2C 0E8 00000002 FFFFFFFF 00 = 0000FFFF 00 +2C 0E9 00000002 FFFFFFFF 01 = 0000FFFF 01 +2C 0EA 00000002 FFFFFFFF 10 = 0000FFFF 10 +2C 0EB 00000002 FFFFFFFF 11 = 0000FFFF 11 +2C 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +2C 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +2C 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +2C 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +2C 0F0 80000000 FFFFFFFF 00 = 8000FFFF 00 +2C 0F1 80000000 FFFFFFFF 01 = 8000FFFF 01 +2C 0F2 80000000 FFFFFFFF 10 = 8000FFFF 10 +2C 0F3 80000000 FFFFFFFF 11 = 8000FFFF 11 +2C 0F4 80000001 FFFFFFFF 00 = 8000FFFF 00 +2C 0F5 80000001 FFFFFFFF 01 = 8000FFFF 01 +2C 0F6 80000001 FFFFFFFF 10 = 8000FFFF 10 +2C 0F7 80000001 FFFFFFFF 11 = 8000FFFF 11 +2C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +2C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +2C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +2C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +2C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +2C 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +2C 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setword1 ---D---- ---S---- CZ = ---Q---- CZ +2D 000 00000000 00000000 00 = 00000000 00 +2D 001 00000000 00000000 01 = 00000000 01 +2D 002 00000000 00000000 10 = 00000000 10 +2D 003 00000000 00000000 11 = 00000000 11 +2D 004 00000001 00000000 00 = 00000001 00 +2D 005 00000001 00000000 01 = 00000001 01 +2D 006 00000001 00000000 10 = 00000001 10 +2D 007 00000001 00000000 11 = 00000001 11 +2D 008 00000002 00000000 00 = 00000002 00 +2D 009 00000002 00000000 01 = 00000002 01 +2D 00A 00000002 00000000 10 = 00000002 10 +2D 00B 00000002 00000000 11 = 00000002 11 +2D 00C 7FFFFFFF 00000000 00 = 0000FFFF 00 +2D 00D 7FFFFFFF 00000000 01 = 0000FFFF 01 +2D 00E 7FFFFFFF 00000000 10 = 0000FFFF 10 +2D 00F 7FFFFFFF 00000000 11 = 0000FFFF 11 +2D 010 80000000 00000000 00 = 00000000 00 +2D 011 80000000 00000000 01 = 00000000 01 +2D 012 80000000 00000000 10 = 00000000 10 +2D 013 80000000 00000000 11 = 00000000 11 +2D 014 80000001 00000000 00 = 00000001 00 +2D 015 80000001 00000000 01 = 00000001 01 +2D 016 80000001 00000000 10 = 00000001 10 +2D 017 80000001 00000000 11 = 00000001 11 +2D 018 FFFFFFFE 00000000 00 = 0000FFFE 00 +2D 019 FFFFFFFE 00000000 01 = 0000FFFE 01 +2D 01A FFFFFFFE 00000000 10 = 0000FFFE 10 +2D 01B FFFFFFFE 00000000 11 = 0000FFFE 11 +2D 01C FFFFFFFF 00000000 00 = 0000FFFF 00 +2D 01D FFFFFFFF 00000000 01 = 0000FFFF 01 +2D 01E FFFFFFFF 00000000 10 = 0000FFFF 10 +2D 01F FFFFFFFF 00000000 11 = 0000FFFF 11 +2D 020 00000000 00000001 00 = 00010000 00 +2D 021 00000000 00000001 01 = 00010000 01 +2D 022 00000000 00000001 10 = 00010000 10 +2D 023 00000000 00000001 11 = 00010000 11 +2D 024 00000001 00000001 00 = 00010001 00 +2D 025 00000001 00000001 01 = 00010001 01 +2D 026 00000001 00000001 10 = 00010001 10 +2D 027 00000001 00000001 11 = 00010001 11 +2D 028 00000002 00000001 00 = 00010002 00 +2D 029 00000002 00000001 01 = 00010002 01 +2D 02A 00000002 00000001 10 = 00010002 10 +2D 02B 00000002 00000001 11 = 00010002 11 +2D 02C 7FFFFFFF 00000001 00 = 0001FFFF 00 +2D 02D 7FFFFFFF 00000001 01 = 0001FFFF 01 +2D 02E 7FFFFFFF 00000001 10 = 0001FFFF 10 +2D 02F 7FFFFFFF 00000001 11 = 0001FFFF 11 +2D 030 80000000 00000001 00 = 00010000 00 +2D 031 80000000 00000001 01 = 00010000 01 +2D 032 80000000 00000001 10 = 00010000 10 +2D 033 80000000 00000001 11 = 00010000 11 +2D 034 80000001 00000001 00 = 00010001 00 +2D 035 80000001 00000001 01 = 00010001 01 +2D 036 80000001 00000001 10 = 00010001 10 +2D 037 80000001 00000001 11 = 00010001 11 +2D 038 FFFFFFFE 00000001 00 = 0001FFFE 00 +2D 039 FFFFFFFE 00000001 01 = 0001FFFE 01 +2D 03A FFFFFFFE 00000001 10 = 0001FFFE 10 +2D 03B FFFFFFFE 00000001 11 = 0001FFFE 11 +2D 03C FFFFFFFF 00000001 00 = 0001FFFF 00 +2D 03D FFFFFFFF 00000001 01 = 0001FFFF 01 +2D 03E FFFFFFFF 00000001 10 = 0001FFFF 10 +2D 03F FFFFFFFF 00000001 11 = 0001FFFF 11 +2D 040 00000000 00000002 00 = 00020000 00 +2D 041 00000000 00000002 01 = 00020000 01 +2D 042 00000000 00000002 10 = 00020000 10 +2D 043 00000000 00000002 11 = 00020000 11 +2D 044 00000001 00000002 00 = 00020001 00 +2D 045 00000001 00000002 01 = 00020001 01 +2D 046 00000001 00000002 10 = 00020001 10 +2D 047 00000001 00000002 11 = 00020001 11 +2D 048 00000002 00000002 00 = 00020002 00 +2D 049 00000002 00000002 01 = 00020002 01 +2D 04A 00000002 00000002 10 = 00020002 10 +2D 04B 00000002 00000002 11 = 00020002 11 +2D 04C 7FFFFFFF 00000002 00 = 0002FFFF 00 +2D 04D 7FFFFFFF 00000002 01 = 0002FFFF 01 +2D 04E 7FFFFFFF 00000002 10 = 0002FFFF 10 +2D 04F 7FFFFFFF 00000002 11 = 0002FFFF 11 +2D 050 80000000 00000002 00 = 00020000 00 +2D 051 80000000 00000002 01 = 00020000 01 +2D 052 80000000 00000002 10 = 00020000 10 +2D 053 80000000 00000002 11 = 00020000 11 +2D 054 80000001 00000002 00 = 00020001 00 +2D 055 80000001 00000002 01 = 00020001 01 +2D 056 80000001 00000002 10 = 00020001 10 +2D 057 80000001 00000002 11 = 00020001 11 +2D 058 FFFFFFFE 00000002 00 = 0002FFFE 00 +2D 059 FFFFFFFE 00000002 01 = 0002FFFE 01 +2D 05A FFFFFFFE 00000002 10 = 0002FFFE 10 +2D 05B FFFFFFFE 00000002 11 = 0002FFFE 11 +2D 05C FFFFFFFF 00000002 00 = 0002FFFF 00 +2D 05D FFFFFFFF 00000002 01 = 0002FFFF 01 +2D 05E FFFFFFFF 00000002 10 = 0002FFFF 10 +2D 05F FFFFFFFF 00000002 11 = 0002FFFF 11 +2D 060 00000000 7FFFFFFF 00 = FFFF0000 00 +2D 061 00000000 7FFFFFFF 01 = FFFF0000 01 +2D 062 00000000 7FFFFFFF 10 = FFFF0000 10 +2D 063 00000000 7FFFFFFF 11 = FFFF0000 11 +2D 064 00000001 7FFFFFFF 00 = FFFF0001 00 +2D 065 00000001 7FFFFFFF 01 = FFFF0001 01 +2D 066 00000001 7FFFFFFF 10 = FFFF0001 10 +2D 067 00000001 7FFFFFFF 11 = FFFF0001 11 +2D 068 00000002 7FFFFFFF 00 = FFFF0002 00 +2D 069 00000002 7FFFFFFF 01 = FFFF0002 01 +2D 06A 00000002 7FFFFFFF 10 = FFFF0002 10 +2D 06B 00000002 7FFFFFFF 11 = FFFF0002 11 +2D 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +2D 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +2D 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +2D 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +2D 070 80000000 7FFFFFFF 00 = FFFF0000 00 +2D 071 80000000 7FFFFFFF 01 = FFFF0000 01 +2D 072 80000000 7FFFFFFF 10 = FFFF0000 10 +2D 073 80000000 7FFFFFFF 11 = FFFF0000 11 +2D 074 80000001 7FFFFFFF 00 = FFFF0001 00 +2D 075 80000001 7FFFFFFF 01 = FFFF0001 01 +2D 076 80000001 7FFFFFFF 10 = FFFF0001 10 +2D 077 80000001 7FFFFFFF 11 = FFFF0001 11 +2D 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +2D 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +2D 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +2D 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +2D 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +2D 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +2D 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +2D 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +2D 080 00000000 80000000 00 = 00000000 00 +2D 081 00000000 80000000 01 = 00000000 01 +2D 082 00000000 80000000 10 = 00000000 10 +2D 083 00000000 80000000 11 = 00000000 11 +2D 084 00000001 80000000 00 = 00000001 00 +2D 085 00000001 80000000 01 = 00000001 01 +2D 086 00000001 80000000 10 = 00000001 10 +2D 087 00000001 80000000 11 = 00000001 11 +2D 088 00000002 80000000 00 = 00000002 00 +2D 089 00000002 80000000 01 = 00000002 01 +2D 08A 00000002 80000000 10 = 00000002 10 +2D 08B 00000002 80000000 11 = 00000002 11 +2D 08C 7FFFFFFF 80000000 00 = 0000FFFF 00 +2D 08D 7FFFFFFF 80000000 01 = 0000FFFF 01 +2D 08E 7FFFFFFF 80000000 10 = 0000FFFF 10 +2D 08F 7FFFFFFF 80000000 11 = 0000FFFF 11 +2D 090 80000000 80000000 00 = 00000000 00 +2D 091 80000000 80000000 01 = 00000000 01 +2D 092 80000000 80000000 10 = 00000000 10 +2D 093 80000000 80000000 11 = 00000000 11 +2D 094 80000001 80000000 00 = 00000001 00 +2D 095 80000001 80000000 01 = 00000001 01 +2D 096 80000001 80000000 10 = 00000001 10 +2D 097 80000001 80000000 11 = 00000001 11 +2D 098 FFFFFFFE 80000000 00 = 0000FFFE 00 +2D 099 FFFFFFFE 80000000 01 = 0000FFFE 01 +2D 09A FFFFFFFE 80000000 10 = 0000FFFE 10 +2D 09B FFFFFFFE 80000000 11 = 0000FFFE 11 +2D 09C FFFFFFFF 80000000 00 = 0000FFFF 00 +2D 09D FFFFFFFF 80000000 01 = 0000FFFF 01 +2D 09E FFFFFFFF 80000000 10 = 0000FFFF 10 +2D 09F FFFFFFFF 80000000 11 = 0000FFFF 11 +2D 0A0 00000000 80000001 00 = 00010000 00 +2D 0A1 00000000 80000001 01 = 00010000 01 +2D 0A2 00000000 80000001 10 = 00010000 10 +2D 0A3 00000000 80000001 11 = 00010000 11 +2D 0A4 00000001 80000001 00 = 00010001 00 +2D 0A5 00000001 80000001 01 = 00010001 01 +2D 0A6 00000001 80000001 10 = 00010001 10 +2D 0A7 00000001 80000001 11 = 00010001 11 +2D 0A8 00000002 80000001 00 = 00010002 00 +2D 0A9 00000002 80000001 01 = 00010002 01 +2D 0AA 00000002 80000001 10 = 00010002 10 +2D 0AB 00000002 80000001 11 = 00010002 11 +2D 0AC 7FFFFFFF 80000001 00 = 0001FFFF 00 +2D 0AD 7FFFFFFF 80000001 01 = 0001FFFF 01 +2D 0AE 7FFFFFFF 80000001 10 = 0001FFFF 10 +2D 0AF 7FFFFFFF 80000001 11 = 0001FFFF 11 +2D 0B0 80000000 80000001 00 = 00010000 00 +2D 0B1 80000000 80000001 01 = 00010000 01 +2D 0B2 80000000 80000001 10 = 00010000 10 +2D 0B3 80000000 80000001 11 = 00010000 11 +2D 0B4 80000001 80000001 00 = 00010001 00 +2D 0B5 80000001 80000001 01 = 00010001 01 +2D 0B6 80000001 80000001 10 = 00010001 10 +2D 0B7 80000001 80000001 11 = 00010001 11 +2D 0B8 FFFFFFFE 80000001 00 = 0001FFFE 00 +2D 0B9 FFFFFFFE 80000001 01 = 0001FFFE 01 +2D 0BA FFFFFFFE 80000001 10 = 0001FFFE 10 +2D 0BB FFFFFFFE 80000001 11 = 0001FFFE 11 +2D 0BC FFFFFFFF 80000001 00 = 0001FFFF 00 +2D 0BD FFFFFFFF 80000001 01 = 0001FFFF 01 +2D 0BE FFFFFFFF 80000001 10 = 0001FFFF 10 +2D 0BF FFFFFFFF 80000001 11 = 0001FFFF 11 +2D 0C0 00000000 FFFFFFFE 00 = FFFE0000 00 +2D 0C1 00000000 FFFFFFFE 01 = FFFE0000 01 +2D 0C2 00000000 FFFFFFFE 10 = FFFE0000 10 +2D 0C3 00000000 FFFFFFFE 11 = FFFE0000 11 +2D 0C4 00000001 FFFFFFFE 00 = FFFE0001 00 +2D 0C5 00000001 FFFFFFFE 01 = FFFE0001 01 +2D 0C6 00000001 FFFFFFFE 10 = FFFE0001 10 +2D 0C7 00000001 FFFFFFFE 11 = FFFE0001 11 +2D 0C8 00000002 FFFFFFFE 00 = FFFE0002 00 +2D 0C9 00000002 FFFFFFFE 01 = FFFE0002 01 +2D 0CA 00000002 FFFFFFFE 10 = FFFE0002 10 +2D 0CB 00000002 FFFFFFFE 11 = FFFE0002 11 +2D 0CC 7FFFFFFF FFFFFFFE 00 = FFFEFFFF 00 +2D 0CD 7FFFFFFF FFFFFFFE 01 = FFFEFFFF 01 +2D 0CE 7FFFFFFF FFFFFFFE 10 = FFFEFFFF 10 +2D 0CF 7FFFFFFF FFFFFFFE 11 = FFFEFFFF 11 +2D 0D0 80000000 FFFFFFFE 00 = FFFE0000 00 +2D 0D1 80000000 FFFFFFFE 01 = FFFE0000 01 +2D 0D2 80000000 FFFFFFFE 10 = FFFE0000 10 +2D 0D3 80000000 FFFFFFFE 11 = FFFE0000 11 +2D 0D4 80000001 FFFFFFFE 00 = FFFE0001 00 +2D 0D5 80000001 FFFFFFFE 01 = FFFE0001 01 +2D 0D6 80000001 FFFFFFFE 10 = FFFE0001 10 +2D 0D7 80000001 FFFFFFFE 11 = FFFE0001 11 +2D 0D8 FFFFFFFE FFFFFFFE 00 = FFFEFFFE 00 +2D 0D9 FFFFFFFE FFFFFFFE 01 = FFFEFFFE 01 +2D 0DA FFFFFFFE FFFFFFFE 10 = FFFEFFFE 10 +2D 0DB FFFFFFFE FFFFFFFE 11 = FFFEFFFE 11 +2D 0DC FFFFFFFF FFFFFFFE 00 = FFFEFFFF 00 +2D 0DD FFFFFFFF FFFFFFFE 01 = FFFEFFFF 01 +2D 0DE FFFFFFFF FFFFFFFE 10 = FFFEFFFF 10 +2D 0DF FFFFFFFF FFFFFFFE 11 = FFFEFFFF 11 +2D 0E0 00000000 FFFFFFFF 00 = FFFF0000 00 +2D 0E1 00000000 FFFFFFFF 01 = FFFF0000 01 +2D 0E2 00000000 FFFFFFFF 10 = FFFF0000 10 +2D 0E3 00000000 FFFFFFFF 11 = FFFF0000 11 +2D 0E4 00000001 FFFFFFFF 00 = FFFF0001 00 +2D 0E5 00000001 FFFFFFFF 01 = FFFF0001 01 +2D 0E6 00000001 FFFFFFFF 10 = FFFF0001 10 +2D 0E7 00000001 FFFFFFFF 11 = FFFF0001 11 +2D 0E8 00000002 FFFFFFFF 00 = FFFF0002 00 +2D 0E9 00000002 FFFFFFFF 01 = FFFF0002 01 +2D 0EA 00000002 FFFFFFFF 10 = FFFF0002 10 +2D 0EB 00000002 FFFFFFFF 11 = FFFF0002 11 +2D 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2D 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +2D 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +2D 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +2D 0F0 80000000 FFFFFFFF 00 = FFFF0000 00 +2D 0F1 80000000 FFFFFFFF 01 = FFFF0000 01 +2D 0F2 80000000 FFFFFFFF 10 = FFFF0000 10 +2D 0F3 80000000 FFFFFFFF 11 = FFFF0000 11 +2D 0F4 80000001 FFFFFFFF 00 = FFFF0001 00 +2D 0F5 80000001 FFFFFFFF 01 = FFFF0001 01 +2D 0F6 80000001 FFFFFFFF 10 = FFFF0001 10 +2D 0F7 80000001 FFFFFFFF 11 = FFFF0001 11 +2D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +2D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +2D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +2D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +2D 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +2D 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +2D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +2D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +getword0 ---D---- ---S---- CZ = ---Q---- CZ +2E 000 00000000 00000000 00 = 00000000 00 +2E 001 00000000 00000000 01 = 00000000 01 +2E 002 00000000 00000000 10 = 00000000 10 +2E 003 00000000 00000000 11 = 00000000 11 +2E 004 00000001 00000000 00 = 00000000 00 +2E 005 00000001 00000000 01 = 00000000 01 +2E 006 00000001 00000000 10 = 00000000 10 +2E 007 00000001 00000000 11 = 00000000 11 +2E 008 00000002 00000000 00 = 00000000 00 +2E 009 00000002 00000000 01 = 00000000 01 +2E 00A 00000002 00000000 10 = 00000000 10 +2E 00B 00000002 00000000 11 = 00000000 11 +2E 00C 7FFFFFFF 00000000 00 = 00000000 00 +2E 00D 7FFFFFFF 00000000 01 = 00000000 01 +2E 00E 7FFFFFFF 00000000 10 = 00000000 10 +2E 00F 7FFFFFFF 00000000 11 = 00000000 11 +2E 010 80000000 00000000 00 = 00000000 00 +2E 011 80000000 00000000 01 = 00000000 01 +2E 012 80000000 00000000 10 = 00000000 10 +2E 013 80000000 00000000 11 = 00000000 11 +2E 014 80000001 00000000 00 = 00000000 00 +2E 015 80000001 00000000 01 = 00000000 01 +2E 016 80000001 00000000 10 = 00000000 10 +2E 017 80000001 00000000 11 = 00000000 11 +2E 018 FFFFFFFE 00000000 00 = 00000000 00 +2E 019 FFFFFFFE 00000000 01 = 00000000 01 +2E 01A FFFFFFFE 00000000 10 = 00000000 10 +2E 01B FFFFFFFE 00000000 11 = 00000000 11 +2E 01C FFFFFFFF 00000000 00 = 00000000 00 +2E 01D FFFFFFFF 00000000 01 = 00000000 01 +2E 01E FFFFFFFF 00000000 10 = 00000000 10 +2E 01F FFFFFFFF 00000000 11 = 00000000 11 +2E 020 00000000 00000001 00 = 00000001 00 +2E 021 00000000 00000001 01 = 00000001 01 +2E 022 00000000 00000001 10 = 00000001 10 +2E 023 00000000 00000001 11 = 00000001 11 +2E 024 00000001 00000001 00 = 00000001 00 +2E 025 00000001 00000001 01 = 00000001 01 +2E 026 00000001 00000001 10 = 00000001 10 +2E 027 00000001 00000001 11 = 00000001 11 +2E 028 00000002 00000001 00 = 00000001 00 +2E 029 00000002 00000001 01 = 00000001 01 +2E 02A 00000002 00000001 10 = 00000001 10 +2E 02B 00000002 00000001 11 = 00000001 11 +2E 02C 7FFFFFFF 00000001 00 = 00000001 00 +2E 02D 7FFFFFFF 00000001 01 = 00000001 01 +2E 02E 7FFFFFFF 00000001 10 = 00000001 10 +2E 02F 7FFFFFFF 00000001 11 = 00000001 11 +2E 030 80000000 00000001 00 = 00000001 00 +2E 031 80000000 00000001 01 = 00000001 01 +2E 032 80000000 00000001 10 = 00000001 10 +2E 033 80000000 00000001 11 = 00000001 11 +2E 034 80000001 00000001 00 = 00000001 00 +2E 035 80000001 00000001 01 = 00000001 01 +2E 036 80000001 00000001 10 = 00000001 10 +2E 037 80000001 00000001 11 = 00000001 11 +2E 038 FFFFFFFE 00000001 00 = 00000001 00 +2E 039 FFFFFFFE 00000001 01 = 00000001 01 +2E 03A FFFFFFFE 00000001 10 = 00000001 10 +2E 03B FFFFFFFE 00000001 11 = 00000001 11 +2E 03C FFFFFFFF 00000001 00 = 00000001 00 +2E 03D FFFFFFFF 00000001 01 = 00000001 01 +2E 03E FFFFFFFF 00000001 10 = 00000001 10 +2E 03F FFFFFFFF 00000001 11 = 00000001 11 +2E 040 00000000 00000002 00 = 00000002 00 +2E 041 00000000 00000002 01 = 00000002 01 +2E 042 00000000 00000002 10 = 00000002 10 +2E 043 00000000 00000002 11 = 00000002 11 +2E 044 00000001 00000002 00 = 00000002 00 +2E 045 00000001 00000002 01 = 00000002 01 +2E 046 00000001 00000002 10 = 00000002 10 +2E 047 00000001 00000002 11 = 00000002 11 +2E 048 00000002 00000002 00 = 00000002 00 +2E 049 00000002 00000002 01 = 00000002 01 +2E 04A 00000002 00000002 10 = 00000002 10 +2E 04B 00000002 00000002 11 = 00000002 11 +2E 04C 7FFFFFFF 00000002 00 = 00000002 00 +2E 04D 7FFFFFFF 00000002 01 = 00000002 01 +2E 04E 7FFFFFFF 00000002 10 = 00000002 10 +2E 04F 7FFFFFFF 00000002 11 = 00000002 11 +2E 050 80000000 00000002 00 = 00000002 00 +2E 051 80000000 00000002 01 = 00000002 01 +2E 052 80000000 00000002 10 = 00000002 10 +2E 053 80000000 00000002 11 = 00000002 11 +2E 054 80000001 00000002 00 = 00000002 00 +2E 055 80000001 00000002 01 = 00000002 01 +2E 056 80000001 00000002 10 = 00000002 10 +2E 057 80000001 00000002 11 = 00000002 11 +2E 058 FFFFFFFE 00000002 00 = 00000002 00 +2E 059 FFFFFFFE 00000002 01 = 00000002 01 +2E 05A FFFFFFFE 00000002 10 = 00000002 10 +2E 05B FFFFFFFE 00000002 11 = 00000002 11 +2E 05C FFFFFFFF 00000002 00 = 00000002 00 +2E 05D FFFFFFFF 00000002 01 = 00000002 01 +2E 05E FFFFFFFF 00000002 10 = 00000002 10 +2E 05F FFFFFFFF 00000002 11 = 00000002 11 +2E 060 00000000 7FFFFFFF 00 = 0000FFFF 00 +2E 061 00000000 7FFFFFFF 01 = 0000FFFF 01 +2E 062 00000000 7FFFFFFF 10 = 0000FFFF 10 +2E 063 00000000 7FFFFFFF 11 = 0000FFFF 11 +2E 064 00000001 7FFFFFFF 00 = 0000FFFF 00 +2E 065 00000001 7FFFFFFF 01 = 0000FFFF 01 +2E 066 00000001 7FFFFFFF 10 = 0000FFFF 10 +2E 067 00000001 7FFFFFFF 11 = 0000FFFF 11 +2E 068 00000002 7FFFFFFF 00 = 0000FFFF 00 +2E 069 00000002 7FFFFFFF 01 = 0000FFFF 01 +2E 06A 00000002 7FFFFFFF 10 = 0000FFFF 10 +2E 06B 00000002 7FFFFFFF 11 = 0000FFFF 11 +2E 06C 7FFFFFFF 7FFFFFFF 00 = 0000FFFF 00 +2E 06D 7FFFFFFF 7FFFFFFF 01 = 0000FFFF 01 +2E 06E 7FFFFFFF 7FFFFFFF 10 = 0000FFFF 10 +2E 06F 7FFFFFFF 7FFFFFFF 11 = 0000FFFF 11 +2E 070 80000000 7FFFFFFF 00 = 0000FFFF 00 +2E 071 80000000 7FFFFFFF 01 = 0000FFFF 01 +2E 072 80000000 7FFFFFFF 10 = 0000FFFF 10 +2E 073 80000000 7FFFFFFF 11 = 0000FFFF 11 +2E 074 80000001 7FFFFFFF 00 = 0000FFFF 00 +2E 075 80000001 7FFFFFFF 01 = 0000FFFF 01 +2E 076 80000001 7FFFFFFF 10 = 0000FFFF 10 +2E 077 80000001 7FFFFFFF 11 = 0000FFFF 11 +2E 078 FFFFFFFE 7FFFFFFF 00 = 0000FFFF 00 +2E 079 FFFFFFFE 7FFFFFFF 01 = 0000FFFF 01 +2E 07A FFFFFFFE 7FFFFFFF 10 = 0000FFFF 10 +2E 07B FFFFFFFE 7FFFFFFF 11 = 0000FFFF 11 +2E 07C FFFFFFFF 7FFFFFFF 00 = 0000FFFF 00 +2E 07D FFFFFFFF 7FFFFFFF 01 = 0000FFFF 01 +2E 07E FFFFFFFF 7FFFFFFF 10 = 0000FFFF 10 +2E 07F FFFFFFFF 7FFFFFFF 11 = 0000FFFF 11 +2E 080 00000000 80000000 00 = 00000000 00 +2E 081 00000000 80000000 01 = 00000000 01 +2E 082 00000000 80000000 10 = 00000000 10 +2E 083 00000000 80000000 11 = 00000000 11 +2E 084 00000001 80000000 00 = 00000000 00 +2E 085 00000001 80000000 01 = 00000000 01 +2E 086 00000001 80000000 10 = 00000000 10 +2E 087 00000001 80000000 11 = 00000000 11 +2E 088 00000002 80000000 00 = 00000000 00 +2E 089 00000002 80000000 01 = 00000000 01 +2E 08A 00000002 80000000 10 = 00000000 10 +2E 08B 00000002 80000000 11 = 00000000 11 +2E 08C 7FFFFFFF 80000000 00 = 00000000 00 +2E 08D 7FFFFFFF 80000000 01 = 00000000 01 +2E 08E 7FFFFFFF 80000000 10 = 00000000 10 +2E 08F 7FFFFFFF 80000000 11 = 00000000 11 +2E 090 80000000 80000000 00 = 00000000 00 +2E 091 80000000 80000000 01 = 00000000 01 +2E 092 80000000 80000000 10 = 00000000 10 +2E 093 80000000 80000000 11 = 00000000 11 +2E 094 80000001 80000000 00 = 00000000 00 +2E 095 80000001 80000000 01 = 00000000 01 +2E 096 80000001 80000000 10 = 00000000 10 +2E 097 80000001 80000000 11 = 00000000 11 +2E 098 FFFFFFFE 80000000 00 = 00000000 00 +2E 099 FFFFFFFE 80000000 01 = 00000000 01 +2E 09A FFFFFFFE 80000000 10 = 00000000 10 +2E 09B FFFFFFFE 80000000 11 = 00000000 11 +2E 09C FFFFFFFF 80000000 00 = 00000000 00 +2E 09D FFFFFFFF 80000000 01 = 00000000 01 +2E 09E FFFFFFFF 80000000 10 = 00000000 10 +2E 09F FFFFFFFF 80000000 11 = 00000000 11 +2E 0A0 00000000 80000001 00 = 00000001 00 +2E 0A1 00000000 80000001 01 = 00000001 01 +2E 0A2 00000000 80000001 10 = 00000001 10 +2E 0A3 00000000 80000001 11 = 00000001 11 +2E 0A4 00000001 80000001 00 = 00000001 00 +2E 0A5 00000001 80000001 01 = 00000001 01 +2E 0A6 00000001 80000001 10 = 00000001 10 +2E 0A7 00000001 80000001 11 = 00000001 11 +2E 0A8 00000002 80000001 00 = 00000001 00 +2E 0A9 00000002 80000001 01 = 00000001 01 +2E 0AA 00000002 80000001 10 = 00000001 10 +2E 0AB 00000002 80000001 11 = 00000001 11 +2E 0AC 7FFFFFFF 80000001 00 = 00000001 00 +2E 0AD 7FFFFFFF 80000001 01 = 00000001 01 +2E 0AE 7FFFFFFF 80000001 10 = 00000001 10 +2E 0AF 7FFFFFFF 80000001 11 = 00000001 11 +2E 0B0 80000000 80000001 00 = 00000001 00 +2E 0B1 80000000 80000001 01 = 00000001 01 +2E 0B2 80000000 80000001 10 = 00000001 10 +2E 0B3 80000000 80000001 11 = 00000001 11 +2E 0B4 80000001 80000001 00 = 00000001 00 +2E 0B5 80000001 80000001 01 = 00000001 01 +2E 0B6 80000001 80000001 10 = 00000001 10 +2E 0B7 80000001 80000001 11 = 00000001 11 +2E 0B8 FFFFFFFE 80000001 00 = 00000001 00 +2E 0B9 FFFFFFFE 80000001 01 = 00000001 01 +2E 0BA FFFFFFFE 80000001 10 = 00000001 10 +2E 0BB FFFFFFFE 80000001 11 = 00000001 11 +2E 0BC FFFFFFFF 80000001 00 = 00000001 00 +2E 0BD FFFFFFFF 80000001 01 = 00000001 01 +2E 0BE FFFFFFFF 80000001 10 = 00000001 10 +2E 0BF FFFFFFFF 80000001 11 = 00000001 11 +2E 0C0 00000000 FFFFFFFE 00 = 0000FFFE 00 +2E 0C1 00000000 FFFFFFFE 01 = 0000FFFE 01 +2E 0C2 00000000 FFFFFFFE 10 = 0000FFFE 10 +2E 0C3 00000000 FFFFFFFE 11 = 0000FFFE 11 +2E 0C4 00000001 FFFFFFFE 00 = 0000FFFE 00 +2E 0C5 00000001 FFFFFFFE 01 = 0000FFFE 01 +2E 0C6 00000001 FFFFFFFE 10 = 0000FFFE 10 +2E 0C7 00000001 FFFFFFFE 11 = 0000FFFE 11 +2E 0C8 00000002 FFFFFFFE 00 = 0000FFFE 00 +2E 0C9 00000002 FFFFFFFE 01 = 0000FFFE 01 +2E 0CA 00000002 FFFFFFFE 10 = 0000FFFE 10 +2E 0CB 00000002 FFFFFFFE 11 = 0000FFFE 11 +2E 0CC 7FFFFFFF FFFFFFFE 00 = 0000FFFE 00 +2E 0CD 7FFFFFFF FFFFFFFE 01 = 0000FFFE 01 +2E 0CE 7FFFFFFF FFFFFFFE 10 = 0000FFFE 10 +2E 0CF 7FFFFFFF FFFFFFFE 11 = 0000FFFE 11 +2E 0D0 80000000 FFFFFFFE 00 = 0000FFFE 00 +2E 0D1 80000000 FFFFFFFE 01 = 0000FFFE 01 +2E 0D2 80000000 FFFFFFFE 10 = 0000FFFE 10 +2E 0D3 80000000 FFFFFFFE 11 = 0000FFFE 11 +2E 0D4 80000001 FFFFFFFE 00 = 0000FFFE 00 +2E 0D5 80000001 FFFFFFFE 01 = 0000FFFE 01 +2E 0D6 80000001 FFFFFFFE 10 = 0000FFFE 10 +2E 0D7 80000001 FFFFFFFE 11 = 0000FFFE 11 +2E 0D8 FFFFFFFE FFFFFFFE 00 = 0000FFFE 00 +2E 0D9 FFFFFFFE FFFFFFFE 01 = 0000FFFE 01 +2E 0DA FFFFFFFE FFFFFFFE 10 = 0000FFFE 10 +2E 0DB FFFFFFFE FFFFFFFE 11 = 0000FFFE 11 +2E 0DC FFFFFFFF FFFFFFFE 00 = 0000FFFE 00 +2E 0DD FFFFFFFF FFFFFFFE 01 = 0000FFFE 01 +2E 0DE FFFFFFFF FFFFFFFE 10 = 0000FFFE 10 +2E 0DF FFFFFFFF FFFFFFFE 11 = 0000FFFE 11 +2E 0E0 00000000 FFFFFFFF 00 = 0000FFFF 00 +2E 0E1 00000000 FFFFFFFF 01 = 0000FFFF 01 +2E 0E2 00000000 FFFFFFFF 10 = 0000FFFF 10 +2E 0E3 00000000 FFFFFFFF 11 = 0000FFFF 11 +2E 0E4 00000001 FFFFFFFF 00 = 0000FFFF 00 +2E 0E5 00000001 FFFFFFFF 01 = 0000FFFF 01 +2E 0E6 00000001 FFFFFFFF 10 = 0000FFFF 10 +2E 0E7 00000001 FFFFFFFF 11 = 0000FFFF 11 +2E 0E8 00000002 FFFFFFFF 00 = 0000FFFF 00 +2E 0E9 00000002 FFFFFFFF 01 = 0000FFFF 01 +2E 0EA 00000002 FFFFFFFF 10 = 0000FFFF 10 +2E 0EB 00000002 FFFFFFFF 11 = 0000FFFF 11 +2E 0EC 7FFFFFFF FFFFFFFF 00 = 0000FFFF 00 +2E 0ED 7FFFFFFF FFFFFFFF 01 = 0000FFFF 01 +2E 0EE 7FFFFFFF FFFFFFFF 10 = 0000FFFF 10 +2E 0EF 7FFFFFFF FFFFFFFF 11 = 0000FFFF 11 +2E 0F0 80000000 FFFFFFFF 00 = 0000FFFF 00 +2E 0F1 80000000 FFFFFFFF 01 = 0000FFFF 01 +2E 0F2 80000000 FFFFFFFF 10 = 0000FFFF 10 +2E 0F3 80000000 FFFFFFFF 11 = 0000FFFF 11 +2E 0F4 80000001 FFFFFFFF 00 = 0000FFFF 00 +2E 0F5 80000001 FFFFFFFF 01 = 0000FFFF 01 +2E 0F6 80000001 FFFFFFFF 10 = 0000FFFF 10 +2E 0F7 80000001 FFFFFFFF 11 = 0000FFFF 11 +2E 0F8 FFFFFFFE FFFFFFFF 00 = 0000FFFF 00 +2E 0F9 FFFFFFFE FFFFFFFF 01 = 0000FFFF 01 +2E 0FA FFFFFFFE FFFFFFFF 10 = 0000FFFF 10 +2E 0FB FFFFFFFE FFFFFFFF 11 = 0000FFFF 11 +2E 0FC FFFFFFFF FFFFFFFF 00 = 0000FFFF 00 +2E 0FD FFFFFFFF FFFFFFFF 01 = 0000FFFF 01 +2E 0FE FFFFFFFF FFFFFFFF 10 = 0000FFFF 10 +2E 0FF FFFFFFFF FFFFFFFF 11 = 0000FFFF 11 +getword1 ---D---- ---S---- CZ = ---Q---- CZ +2F 000 00000000 00000000 00 = 00000000 00 +2F 001 00000000 00000000 01 = 00000000 01 +2F 002 00000000 00000000 10 = 00000000 10 +2F 003 00000000 00000000 11 = 00000000 11 +2F 004 00000001 00000000 00 = 00000000 00 +2F 005 00000001 00000000 01 = 00000000 01 +2F 006 00000001 00000000 10 = 00000000 10 +2F 007 00000001 00000000 11 = 00000000 11 +2F 008 00000002 00000000 00 = 00000000 00 +2F 009 00000002 00000000 01 = 00000000 01 +2F 00A 00000002 00000000 10 = 00000000 10 +2F 00B 00000002 00000000 11 = 00000000 11 +2F 00C 7FFFFFFF 00000000 00 = 00000000 00 +2F 00D 7FFFFFFF 00000000 01 = 00000000 01 +2F 00E 7FFFFFFF 00000000 10 = 00000000 10 +2F 00F 7FFFFFFF 00000000 11 = 00000000 11 +2F 010 80000000 00000000 00 = 00000000 00 +2F 011 80000000 00000000 01 = 00000000 01 +2F 012 80000000 00000000 10 = 00000000 10 +2F 013 80000000 00000000 11 = 00000000 11 +2F 014 80000001 00000000 00 = 00000000 00 +2F 015 80000001 00000000 01 = 00000000 01 +2F 016 80000001 00000000 10 = 00000000 10 +2F 017 80000001 00000000 11 = 00000000 11 +2F 018 FFFFFFFE 00000000 00 = 00000000 00 +2F 019 FFFFFFFE 00000000 01 = 00000000 01 +2F 01A FFFFFFFE 00000000 10 = 00000000 10 +2F 01B FFFFFFFE 00000000 11 = 00000000 11 +2F 01C FFFFFFFF 00000000 00 = 00000000 00 +2F 01D FFFFFFFF 00000000 01 = 00000000 01 +2F 01E FFFFFFFF 00000000 10 = 00000000 10 +2F 01F FFFFFFFF 00000000 11 = 00000000 11 +2F 020 00000000 00000001 00 = 00000000 00 +2F 021 00000000 00000001 01 = 00000000 01 +2F 022 00000000 00000001 10 = 00000000 10 +2F 023 00000000 00000001 11 = 00000000 11 +2F 024 00000001 00000001 00 = 00000000 00 +2F 025 00000001 00000001 01 = 00000000 01 +2F 026 00000001 00000001 10 = 00000000 10 +2F 027 00000001 00000001 11 = 00000000 11 +2F 028 00000002 00000001 00 = 00000000 00 +2F 029 00000002 00000001 01 = 00000000 01 +2F 02A 00000002 00000001 10 = 00000000 10 +2F 02B 00000002 00000001 11 = 00000000 11 +2F 02C 7FFFFFFF 00000001 00 = 00000000 00 +2F 02D 7FFFFFFF 00000001 01 = 00000000 01 +2F 02E 7FFFFFFF 00000001 10 = 00000000 10 +2F 02F 7FFFFFFF 00000001 11 = 00000000 11 +2F 030 80000000 00000001 00 = 00000000 00 +2F 031 80000000 00000001 01 = 00000000 01 +2F 032 80000000 00000001 10 = 00000000 10 +2F 033 80000000 00000001 11 = 00000000 11 +2F 034 80000001 00000001 00 = 00000000 00 +2F 035 80000001 00000001 01 = 00000000 01 +2F 036 80000001 00000001 10 = 00000000 10 +2F 037 80000001 00000001 11 = 00000000 11 +2F 038 FFFFFFFE 00000001 00 = 00000000 00 +2F 039 FFFFFFFE 00000001 01 = 00000000 01 +2F 03A FFFFFFFE 00000001 10 = 00000000 10 +2F 03B FFFFFFFE 00000001 11 = 00000000 11 +2F 03C FFFFFFFF 00000001 00 = 00000000 00 +2F 03D FFFFFFFF 00000001 01 = 00000000 01 +2F 03E FFFFFFFF 00000001 10 = 00000000 10 +2F 03F FFFFFFFF 00000001 11 = 00000000 11 +2F 040 00000000 00000002 00 = 00000000 00 +2F 041 00000000 00000002 01 = 00000000 01 +2F 042 00000000 00000002 10 = 00000000 10 +2F 043 00000000 00000002 11 = 00000000 11 +2F 044 00000001 00000002 00 = 00000000 00 +2F 045 00000001 00000002 01 = 00000000 01 +2F 046 00000001 00000002 10 = 00000000 10 +2F 047 00000001 00000002 11 = 00000000 11 +2F 048 00000002 00000002 00 = 00000000 00 +2F 049 00000002 00000002 01 = 00000000 01 +2F 04A 00000002 00000002 10 = 00000000 10 +2F 04B 00000002 00000002 11 = 00000000 11 +2F 04C 7FFFFFFF 00000002 00 = 00000000 00 +2F 04D 7FFFFFFF 00000002 01 = 00000000 01 +2F 04E 7FFFFFFF 00000002 10 = 00000000 10 +2F 04F 7FFFFFFF 00000002 11 = 00000000 11 +2F 050 80000000 00000002 00 = 00000000 00 +2F 051 80000000 00000002 01 = 00000000 01 +2F 052 80000000 00000002 10 = 00000000 10 +2F 053 80000000 00000002 11 = 00000000 11 +2F 054 80000001 00000002 00 = 00000000 00 +2F 055 80000001 00000002 01 = 00000000 01 +2F 056 80000001 00000002 10 = 00000000 10 +2F 057 80000001 00000002 11 = 00000000 11 +2F 058 FFFFFFFE 00000002 00 = 00000000 00 +2F 059 FFFFFFFE 00000002 01 = 00000000 01 +2F 05A FFFFFFFE 00000002 10 = 00000000 10 +2F 05B FFFFFFFE 00000002 11 = 00000000 11 +2F 05C FFFFFFFF 00000002 00 = 00000000 00 +2F 05D FFFFFFFF 00000002 01 = 00000000 01 +2F 05E FFFFFFFF 00000002 10 = 00000000 10 +2F 05F FFFFFFFF 00000002 11 = 00000000 11 +2F 060 00000000 7FFFFFFF 00 = 00007FFF 00 +2F 061 00000000 7FFFFFFF 01 = 00007FFF 01 +2F 062 00000000 7FFFFFFF 10 = 00007FFF 10 +2F 063 00000000 7FFFFFFF 11 = 00007FFF 11 +2F 064 00000001 7FFFFFFF 00 = 00007FFF 00 +2F 065 00000001 7FFFFFFF 01 = 00007FFF 01 +2F 066 00000001 7FFFFFFF 10 = 00007FFF 10 +2F 067 00000001 7FFFFFFF 11 = 00007FFF 11 +2F 068 00000002 7FFFFFFF 00 = 00007FFF 00 +2F 069 00000002 7FFFFFFF 01 = 00007FFF 01 +2F 06A 00000002 7FFFFFFF 10 = 00007FFF 10 +2F 06B 00000002 7FFFFFFF 11 = 00007FFF 11 +2F 06C 7FFFFFFF 7FFFFFFF 00 = 00007FFF 00 +2F 06D 7FFFFFFF 7FFFFFFF 01 = 00007FFF 01 +2F 06E 7FFFFFFF 7FFFFFFF 10 = 00007FFF 10 +2F 06F 7FFFFFFF 7FFFFFFF 11 = 00007FFF 11 +2F 070 80000000 7FFFFFFF 00 = 00007FFF 00 +2F 071 80000000 7FFFFFFF 01 = 00007FFF 01 +2F 072 80000000 7FFFFFFF 10 = 00007FFF 10 +2F 073 80000000 7FFFFFFF 11 = 00007FFF 11 +2F 074 80000001 7FFFFFFF 00 = 00007FFF 00 +2F 075 80000001 7FFFFFFF 01 = 00007FFF 01 +2F 076 80000001 7FFFFFFF 10 = 00007FFF 10 +2F 077 80000001 7FFFFFFF 11 = 00007FFF 11 +2F 078 FFFFFFFE 7FFFFFFF 00 = 00007FFF 00 +2F 079 FFFFFFFE 7FFFFFFF 01 = 00007FFF 01 +2F 07A FFFFFFFE 7FFFFFFF 10 = 00007FFF 10 +2F 07B FFFFFFFE 7FFFFFFF 11 = 00007FFF 11 +2F 07C FFFFFFFF 7FFFFFFF 00 = 00007FFF 00 +2F 07D FFFFFFFF 7FFFFFFF 01 = 00007FFF 01 +2F 07E FFFFFFFF 7FFFFFFF 10 = 00007FFF 10 +2F 07F FFFFFFFF 7FFFFFFF 11 = 00007FFF 11 +2F 080 00000000 80000000 00 = 00008000 00 +2F 081 00000000 80000000 01 = 00008000 01 +2F 082 00000000 80000000 10 = 00008000 10 +2F 083 00000000 80000000 11 = 00008000 11 +2F 084 00000001 80000000 00 = 00008000 00 +2F 085 00000001 80000000 01 = 00008000 01 +2F 086 00000001 80000000 10 = 00008000 10 +2F 087 00000001 80000000 11 = 00008000 11 +2F 088 00000002 80000000 00 = 00008000 00 +2F 089 00000002 80000000 01 = 00008000 01 +2F 08A 00000002 80000000 10 = 00008000 10 +2F 08B 00000002 80000000 11 = 00008000 11 +2F 08C 7FFFFFFF 80000000 00 = 00008000 00 +2F 08D 7FFFFFFF 80000000 01 = 00008000 01 +2F 08E 7FFFFFFF 80000000 10 = 00008000 10 +2F 08F 7FFFFFFF 80000000 11 = 00008000 11 +2F 090 80000000 80000000 00 = 00008000 00 +2F 091 80000000 80000000 01 = 00008000 01 +2F 092 80000000 80000000 10 = 00008000 10 +2F 093 80000000 80000000 11 = 00008000 11 +2F 094 80000001 80000000 00 = 00008000 00 +2F 095 80000001 80000000 01 = 00008000 01 +2F 096 80000001 80000000 10 = 00008000 10 +2F 097 80000001 80000000 11 = 00008000 11 +2F 098 FFFFFFFE 80000000 00 = 00008000 00 +2F 099 FFFFFFFE 80000000 01 = 00008000 01 +2F 09A FFFFFFFE 80000000 10 = 00008000 10 +2F 09B FFFFFFFE 80000000 11 = 00008000 11 +2F 09C FFFFFFFF 80000000 00 = 00008000 00 +2F 09D FFFFFFFF 80000000 01 = 00008000 01 +2F 09E FFFFFFFF 80000000 10 = 00008000 10 +2F 09F FFFFFFFF 80000000 11 = 00008000 11 +2F 0A0 00000000 80000001 00 = 00008000 00 +2F 0A1 00000000 80000001 01 = 00008000 01 +2F 0A2 00000000 80000001 10 = 00008000 10 +2F 0A3 00000000 80000001 11 = 00008000 11 +2F 0A4 00000001 80000001 00 = 00008000 00 +2F 0A5 00000001 80000001 01 = 00008000 01 +2F 0A6 00000001 80000001 10 = 00008000 10 +2F 0A7 00000001 80000001 11 = 00008000 11 +2F 0A8 00000002 80000001 00 = 00008000 00 +2F 0A9 00000002 80000001 01 = 00008000 01 +2F 0AA 00000002 80000001 10 = 00008000 10 +2F 0AB 00000002 80000001 11 = 00008000 11 +2F 0AC 7FFFFFFF 80000001 00 = 00008000 00 +2F 0AD 7FFFFFFF 80000001 01 = 00008000 01 +2F 0AE 7FFFFFFF 80000001 10 = 00008000 10 +2F 0AF 7FFFFFFF 80000001 11 = 00008000 11 +2F 0B0 80000000 80000001 00 = 00008000 00 +2F 0B1 80000000 80000001 01 = 00008000 01 +2F 0B2 80000000 80000001 10 = 00008000 10 +2F 0B3 80000000 80000001 11 = 00008000 11 +2F 0B4 80000001 80000001 00 = 00008000 00 +2F 0B5 80000001 80000001 01 = 00008000 01 +2F 0B6 80000001 80000001 10 = 00008000 10 +2F 0B7 80000001 80000001 11 = 00008000 11 +2F 0B8 FFFFFFFE 80000001 00 = 00008000 00 +2F 0B9 FFFFFFFE 80000001 01 = 00008000 01 +2F 0BA FFFFFFFE 80000001 10 = 00008000 10 +2F 0BB FFFFFFFE 80000001 11 = 00008000 11 +2F 0BC FFFFFFFF 80000001 00 = 00008000 00 +2F 0BD FFFFFFFF 80000001 01 = 00008000 01 +2F 0BE FFFFFFFF 80000001 10 = 00008000 10 +2F 0BF FFFFFFFF 80000001 11 = 00008000 11 +2F 0C0 00000000 FFFFFFFE 00 = 0000FFFF 00 +2F 0C1 00000000 FFFFFFFE 01 = 0000FFFF 01 +2F 0C2 00000000 FFFFFFFE 10 = 0000FFFF 10 +2F 0C3 00000000 FFFFFFFE 11 = 0000FFFF 11 +2F 0C4 00000001 FFFFFFFE 00 = 0000FFFF 00 +2F 0C5 00000001 FFFFFFFE 01 = 0000FFFF 01 +2F 0C6 00000001 FFFFFFFE 10 = 0000FFFF 10 +2F 0C7 00000001 FFFFFFFE 11 = 0000FFFF 11 +2F 0C8 00000002 FFFFFFFE 00 = 0000FFFF 00 +2F 0C9 00000002 FFFFFFFE 01 = 0000FFFF 01 +2F 0CA 00000002 FFFFFFFE 10 = 0000FFFF 10 +2F 0CB 00000002 FFFFFFFE 11 = 0000FFFF 11 +2F 0CC 7FFFFFFF FFFFFFFE 00 = 0000FFFF 00 +2F 0CD 7FFFFFFF FFFFFFFE 01 = 0000FFFF 01 +2F 0CE 7FFFFFFF FFFFFFFE 10 = 0000FFFF 10 +2F 0CF 7FFFFFFF FFFFFFFE 11 = 0000FFFF 11 +2F 0D0 80000000 FFFFFFFE 00 = 0000FFFF 00 +2F 0D1 80000000 FFFFFFFE 01 = 0000FFFF 01 +2F 0D2 80000000 FFFFFFFE 10 = 0000FFFF 10 +2F 0D3 80000000 FFFFFFFE 11 = 0000FFFF 11 +2F 0D4 80000001 FFFFFFFE 00 = 0000FFFF 00 +2F 0D5 80000001 FFFFFFFE 01 = 0000FFFF 01 +2F 0D6 80000001 FFFFFFFE 10 = 0000FFFF 10 +2F 0D7 80000001 FFFFFFFE 11 = 0000FFFF 11 +2F 0D8 FFFFFFFE FFFFFFFE 00 = 0000FFFF 00 +2F 0D9 FFFFFFFE FFFFFFFE 01 = 0000FFFF 01 +2F 0DA FFFFFFFE FFFFFFFE 10 = 0000FFFF 10 +2F 0DB FFFFFFFE FFFFFFFE 11 = 0000FFFF 11 +2F 0DC FFFFFFFF FFFFFFFE 00 = 0000FFFF 00 +2F 0DD FFFFFFFF FFFFFFFE 01 = 0000FFFF 01 +2F 0DE FFFFFFFF FFFFFFFE 10 = 0000FFFF 10 +2F 0DF FFFFFFFF FFFFFFFE 11 = 0000FFFF 11 +2F 0E0 00000000 FFFFFFFF 00 = 0000FFFF 00 +2F 0E1 00000000 FFFFFFFF 01 = 0000FFFF 01 +2F 0E2 00000000 FFFFFFFF 10 = 0000FFFF 10 +2F 0E3 00000000 FFFFFFFF 11 = 0000FFFF 11 +2F 0E4 00000001 FFFFFFFF 00 = 0000FFFF 00 +2F 0E5 00000001 FFFFFFFF 01 = 0000FFFF 01 +2F 0E6 00000001 FFFFFFFF 10 = 0000FFFF 10 +2F 0E7 00000001 FFFFFFFF 11 = 0000FFFF 11 +2F 0E8 00000002 FFFFFFFF 00 = 0000FFFF 00 +2F 0E9 00000002 FFFFFFFF 01 = 0000FFFF 01 +2F 0EA 00000002 FFFFFFFF 10 = 0000FFFF 10 +2F 0EB 00000002 FFFFFFFF 11 = 0000FFFF 11 +2F 0EC 7FFFFFFF FFFFFFFF 00 = 0000FFFF 00 +2F 0ED 7FFFFFFF FFFFFFFF 01 = 0000FFFF 01 +2F 0EE 7FFFFFFF FFFFFFFF 10 = 0000FFFF 10 +2F 0EF 7FFFFFFF FFFFFFFF 11 = 0000FFFF 11 +2F 0F0 80000000 FFFFFFFF 00 = 0000FFFF 00 +2F 0F1 80000000 FFFFFFFF 01 = 0000FFFF 01 +2F 0F2 80000000 FFFFFFFF 10 = 0000FFFF 10 +2F 0F3 80000000 FFFFFFFF 11 = 0000FFFF 11 +2F 0F4 80000001 FFFFFFFF 00 = 0000FFFF 00 +2F 0F5 80000001 FFFFFFFF 01 = 0000FFFF 01 +2F 0F6 80000001 FFFFFFFF 10 = 0000FFFF 10 +2F 0F7 80000001 FFFFFFFF 11 = 0000FFFF 11 +2F 0F8 FFFFFFFE FFFFFFFF 00 = 0000FFFF 00 +2F 0F9 FFFFFFFE FFFFFFFF 01 = 0000FFFF 01 +2F 0FA FFFFFFFE FFFFFFFF 10 = 0000FFFF 10 +2F 0FB FFFFFFFE FFFFFFFF 11 = 0000FFFF 11 +2F 0FC FFFFFFFF FFFFFFFF 00 = 0000FFFF 00 +2F 0FD FFFFFFFF FFFFFFFF 01 = 0000FFFF 01 +2F 0FE FFFFFFFF FFFFFFFF 10 = 0000FFFF 10 +2F 0FF FFFFFFFF FFFFFFFF 11 = 0000FFFF 11 +rolword0 ---D---- ---S---- CZ = ---Q---- CZ +30 000 00000000 00000000 00 = 00000000 00 +30 001 00000000 00000000 01 = 00000000 01 +30 002 00000000 00000000 10 = 00000000 10 +30 003 00000000 00000000 11 = 00000000 11 +30 004 00000001 00000000 00 = 00010000 00 +30 005 00000001 00000000 01 = 00010000 01 +30 006 00000001 00000000 10 = 00010000 10 +30 007 00000001 00000000 11 = 00010000 11 +30 008 00000002 00000000 00 = 00020000 00 +30 009 00000002 00000000 01 = 00020000 01 +30 00A 00000002 00000000 10 = 00020000 10 +30 00B 00000002 00000000 11 = 00020000 11 +30 00C 7FFFFFFF 00000000 00 = FFFF0000 00 +30 00D 7FFFFFFF 00000000 01 = FFFF0000 01 +30 00E 7FFFFFFF 00000000 10 = FFFF0000 10 +30 00F 7FFFFFFF 00000000 11 = FFFF0000 11 +30 010 80000000 00000000 00 = 00000000 00 +30 011 80000000 00000000 01 = 00000000 01 +30 012 80000000 00000000 10 = 00000000 10 +30 013 80000000 00000000 11 = 00000000 11 +30 014 80000001 00000000 00 = 00010000 00 +30 015 80000001 00000000 01 = 00010000 01 +30 016 80000001 00000000 10 = 00010000 10 +30 017 80000001 00000000 11 = 00010000 11 +30 018 FFFFFFFE 00000000 00 = FFFE0000 00 +30 019 FFFFFFFE 00000000 01 = FFFE0000 01 +30 01A FFFFFFFE 00000000 10 = FFFE0000 10 +30 01B FFFFFFFE 00000000 11 = FFFE0000 11 +30 01C FFFFFFFF 00000000 00 = FFFF0000 00 +30 01D FFFFFFFF 00000000 01 = FFFF0000 01 +30 01E FFFFFFFF 00000000 10 = FFFF0000 10 +30 01F FFFFFFFF 00000000 11 = FFFF0000 11 +30 020 00000000 00000001 00 = 00000001 00 +30 021 00000000 00000001 01 = 00000001 01 +30 022 00000000 00000001 10 = 00000001 10 +30 023 00000000 00000001 11 = 00000001 11 +30 024 00000001 00000001 00 = 00010001 00 +30 025 00000001 00000001 01 = 00010001 01 +30 026 00000001 00000001 10 = 00010001 10 +30 027 00000001 00000001 11 = 00010001 11 +30 028 00000002 00000001 00 = 00020001 00 +30 029 00000002 00000001 01 = 00020001 01 +30 02A 00000002 00000001 10 = 00020001 10 +30 02B 00000002 00000001 11 = 00020001 11 +30 02C 7FFFFFFF 00000001 00 = FFFF0001 00 +30 02D 7FFFFFFF 00000001 01 = FFFF0001 01 +30 02E 7FFFFFFF 00000001 10 = FFFF0001 10 +30 02F 7FFFFFFF 00000001 11 = FFFF0001 11 +30 030 80000000 00000001 00 = 00000001 00 +30 031 80000000 00000001 01 = 00000001 01 +30 032 80000000 00000001 10 = 00000001 10 +30 033 80000000 00000001 11 = 00000001 11 +30 034 80000001 00000001 00 = 00010001 00 +30 035 80000001 00000001 01 = 00010001 01 +30 036 80000001 00000001 10 = 00010001 10 +30 037 80000001 00000001 11 = 00010001 11 +30 038 FFFFFFFE 00000001 00 = FFFE0001 00 +30 039 FFFFFFFE 00000001 01 = FFFE0001 01 +30 03A FFFFFFFE 00000001 10 = FFFE0001 10 +30 03B FFFFFFFE 00000001 11 = FFFE0001 11 +30 03C FFFFFFFF 00000001 00 = FFFF0001 00 +30 03D FFFFFFFF 00000001 01 = FFFF0001 01 +30 03E FFFFFFFF 00000001 10 = FFFF0001 10 +30 03F FFFFFFFF 00000001 11 = FFFF0001 11 +30 040 00000000 00000002 00 = 00000002 00 +30 041 00000000 00000002 01 = 00000002 01 +30 042 00000000 00000002 10 = 00000002 10 +30 043 00000000 00000002 11 = 00000002 11 +30 044 00000001 00000002 00 = 00010002 00 +30 045 00000001 00000002 01 = 00010002 01 +30 046 00000001 00000002 10 = 00010002 10 +30 047 00000001 00000002 11 = 00010002 11 +30 048 00000002 00000002 00 = 00020002 00 +30 049 00000002 00000002 01 = 00020002 01 +30 04A 00000002 00000002 10 = 00020002 10 +30 04B 00000002 00000002 11 = 00020002 11 +30 04C 7FFFFFFF 00000002 00 = FFFF0002 00 +30 04D 7FFFFFFF 00000002 01 = FFFF0002 01 +30 04E 7FFFFFFF 00000002 10 = FFFF0002 10 +30 04F 7FFFFFFF 00000002 11 = FFFF0002 11 +30 050 80000000 00000002 00 = 00000002 00 +30 051 80000000 00000002 01 = 00000002 01 +30 052 80000000 00000002 10 = 00000002 10 +30 053 80000000 00000002 11 = 00000002 11 +30 054 80000001 00000002 00 = 00010002 00 +30 055 80000001 00000002 01 = 00010002 01 +30 056 80000001 00000002 10 = 00010002 10 +30 057 80000001 00000002 11 = 00010002 11 +30 058 FFFFFFFE 00000002 00 = FFFE0002 00 +30 059 FFFFFFFE 00000002 01 = FFFE0002 01 +30 05A FFFFFFFE 00000002 10 = FFFE0002 10 +30 05B FFFFFFFE 00000002 11 = FFFE0002 11 +30 05C FFFFFFFF 00000002 00 = FFFF0002 00 +30 05D FFFFFFFF 00000002 01 = FFFF0002 01 +30 05E FFFFFFFF 00000002 10 = FFFF0002 10 +30 05F FFFFFFFF 00000002 11 = FFFF0002 11 +30 060 00000000 7FFFFFFF 00 = 0000FFFF 00 +30 061 00000000 7FFFFFFF 01 = 0000FFFF 01 +30 062 00000000 7FFFFFFF 10 = 0000FFFF 10 +30 063 00000000 7FFFFFFF 11 = 0000FFFF 11 +30 064 00000001 7FFFFFFF 00 = 0001FFFF 00 +30 065 00000001 7FFFFFFF 01 = 0001FFFF 01 +30 066 00000001 7FFFFFFF 10 = 0001FFFF 10 +30 067 00000001 7FFFFFFF 11 = 0001FFFF 11 +30 068 00000002 7FFFFFFF 00 = 0002FFFF 00 +30 069 00000002 7FFFFFFF 01 = 0002FFFF 01 +30 06A 00000002 7FFFFFFF 10 = 0002FFFF 10 +30 06B 00000002 7FFFFFFF 11 = 0002FFFF 11 +30 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +30 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +30 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +30 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +30 070 80000000 7FFFFFFF 00 = 0000FFFF 00 +30 071 80000000 7FFFFFFF 01 = 0000FFFF 01 +30 072 80000000 7FFFFFFF 10 = 0000FFFF 10 +30 073 80000000 7FFFFFFF 11 = 0000FFFF 11 +30 074 80000001 7FFFFFFF 00 = 0001FFFF 00 +30 075 80000001 7FFFFFFF 01 = 0001FFFF 01 +30 076 80000001 7FFFFFFF 10 = 0001FFFF 10 +30 077 80000001 7FFFFFFF 11 = 0001FFFF 11 +30 078 FFFFFFFE 7FFFFFFF 00 = FFFEFFFF 00 +30 079 FFFFFFFE 7FFFFFFF 01 = FFFEFFFF 01 +30 07A FFFFFFFE 7FFFFFFF 10 = FFFEFFFF 10 +30 07B FFFFFFFE 7FFFFFFF 11 = FFFEFFFF 11 +30 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +30 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +30 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +30 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +30 080 00000000 80000000 00 = 00000000 00 +30 081 00000000 80000000 01 = 00000000 01 +30 082 00000000 80000000 10 = 00000000 10 +30 083 00000000 80000000 11 = 00000000 11 +30 084 00000001 80000000 00 = 00010000 00 +30 085 00000001 80000000 01 = 00010000 01 +30 086 00000001 80000000 10 = 00010000 10 +30 087 00000001 80000000 11 = 00010000 11 +30 088 00000002 80000000 00 = 00020000 00 +30 089 00000002 80000000 01 = 00020000 01 +30 08A 00000002 80000000 10 = 00020000 10 +30 08B 00000002 80000000 11 = 00020000 11 +30 08C 7FFFFFFF 80000000 00 = FFFF0000 00 +30 08D 7FFFFFFF 80000000 01 = FFFF0000 01 +30 08E 7FFFFFFF 80000000 10 = FFFF0000 10 +30 08F 7FFFFFFF 80000000 11 = FFFF0000 11 +30 090 80000000 80000000 00 = 00000000 00 +30 091 80000000 80000000 01 = 00000000 01 +30 092 80000000 80000000 10 = 00000000 10 +30 093 80000000 80000000 11 = 00000000 11 +30 094 80000001 80000000 00 = 00010000 00 +30 095 80000001 80000000 01 = 00010000 01 +30 096 80000001 80000000 10 = 00010000 10 +30 097 80000001 80000000 11 = 00010000 11 +30 098 FFFFFFFE 80000000 00 = FFFE0000 00 +30 099 FFFFFFFE 80000000 01 = FFFE0000 01 +30 09A FFFFFFFE 80000000 10 = FFFE0000 10 +30 09B FFFFFFFE 80000000 11 = FFFE0000 11 +30 09C FFFFFFFF 80000000 00 = FFFF0000 00 +30 09D FFFFFFFF 80000000 01 = FFFF0000 01 +30 09E FFFFFFFF 80000000 10 = FFFF0000 10 +30 09F FFFFFFFF 80000000 11 = FFFF0000 11 +30 0A0 00000000 80000001 00 = 00000001 00 +30 0A1 00000000 80000001 01 = 00000001 01 +30 0A2 00000000 80000001 10 = 00000001 10 +30 0A3 00000000 80000001 11 = 00000001 11 +30 0A4 00000001 80000001 00 = 00010001 00 +30 0A5 00000001 80000001 01 = 00010001 01 +30 0A6 00000001 80000001 10 = 00010001 10 +30 0A7 00000001 80000001 11 = 00010001 11 +30 0A8 00000002 80000001 00 = 00020001 00 +30 0A9 00000002 80000001 01 = 00020001 01 +30 0AA 00000002 80000001 10 = 00020001 10 +30 0AB 00000002 80000001 11 = 00020001 11 +30 0AC 7FFFFFFF 80000001 00 = FFFF0001 00 +30 0AD 7FFFFFFF 80000001 01 = FFFF0001 01 +30 0AE 7FFFFFFF 80000001 10 = FFFF0001 10 +30 0AF 7FFFFFFF 80000001 11 = FFFF0001 11 +30 0B0 80000000 80000001 00 = 00000001 00 +30 0B1 80000000 80000001 01 = 00000001 01 +30 0B2 80000000 80000001 10 = 00000001 10 +30 0B3 80000000 80000001 11 = 00000001 11 +30 0B4 80000001 80000001 00 = 00010001 00 +30 0B5 80000001 80000001 01 = 00010001 01 +30 0B6 80000001 80000001 10 = 00010001 10 +30 0B7 80000001 80000001 11 = 00010001 11 +30 0B8 FFFFFFFE 80000001 00 = FFFE0001 00 +30 0B9 FFFFFFFE 80000001 01 = FFFE0001 01 +30 0BA FFFFFFFE 80000001 10 = FFFE0001 10 +30 0BB FFFFFFFE 80000001 11 = FFFE0001 11 +30 0BC FFFFFFFF 80000001 00 = FFFF0001 00 +30 0BD FFFFFFFF 80000001 01 = FFFF0001 01 +30 0BE FFFFFFFF 80000001 10 = FFFF0001 10 +30 0BF FFFFFFFF 80000001 11 = FFFF0001 11 +30 0C0 00000000 FFFFFFFE 00 = 0000FFFE 00 +30 0C1 00000000 FFFFFFFE 01 = 0000FFFE 01 +30 0C2 00000000 FFFFFFFE 10 = 0000FFFE 10 +30 0C3 00000000 FFFFFFFE 11 = 0000FFFE 11 +30 0C4 00000001 FFFFFFFE 00 = 0001FFFE 00 +30 0C5 00000001 FFFFFFFE 01 = 0001FFFE 01 +30 0C6 00000001 FFFFFFFE 10 = 0001FFFE 10 +30 0C7 00000001 FFFFFFFE 11 = 0001FFFE 11 +30 0C8 00000002 FFFFFFFE 00 = 0002FFFE 00 +30 0C9 00000002 FFFFFFFE 01 = 0002FFFE 01 +30 0CA 00000002 FFFFFFFE 10 = 0002FFFE 10 +30 0CB 00000002 FFFFFFFE 11 = 0002FFFE 11 +30 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +30 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +30 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +30 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +30 0D0 80000000 FFFFFFFE 00 = 0000FFFE 00 +30 0D1 80000000 FFFFFFFE 01 = 0000FFFE 01 +30 0D2 80000000 FFFFFFFE 10 = 0000FFFE 10 +30 0D3 80000000 FFFFFFFE 11 = 0000FFFE 11 +30 0D4 80000001 FFFFFFFE 00 = 0001FFFE 00 +30 0D5 80000001 FFFFFFFE 01 = 0001FFFE 01 +30 0D6 80000001 FFFFFFFE 10 = 0001FFFE 10 +30 0D7 80000001 FFFFFFFE 11 = 0001FFFE 11 +30 0D8 FFFFFFFE FFFFFFFE 00 = FFFEFFFE 00 +30 0D9 FFFFFFFE FFFFFFFE 01 = FFFEFFFE 01 +30 0DA FFFFFFFE FFFFFFFE 10 = FFFEFFFE 10 +30 0DB FFFFFFFE FFFFFFFE 11 = FFFEFFFE 11 +30 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +30 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +30 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +30 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +30 0E0 00000000 FFFFFFFF 00 = 0000FFFF 00 +30 0E1 00000000 FFFFFFFF 01 = 0000FFFF 01 +30 0E2 00000000 FFFFFFFF 10 = 0000FFFF 10 +30 0E3 00000000 FFFFFFFF 11 = 0000FFFF 11 +30 0E4 00000001 FFFFFFFF 00 = 0001FFFF 00 +30 0E5 00000001 FFFFFFFF 01 = 0001FFFF 01 +30 0E6 00000001 FFFFFFFF 10 = 0001FFFF 10 +30 0E7 00000001 FFFFFFFF 11 = 0001FFFF 11 +30 0E8 00000002 FFFFFFFF 00 = 0002FFFF 00 +30 0E9 00000002 FFFFFFFF 01 = 0002FFFF 01 +30 0EA 00000002 FFFFFFFF 10 = 0002FFFF 10 +30 0EB 00000002 FFFFFFFF 11 = 0002FFFF 11 +30 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +30 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +30 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +30 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +30 0F0 80000000 FFFFFFFF 00 = 0000FFFF 00 +30 0F1 80000000 FFFFFFFF 01 = 0000FFFF 01 +30 0F2 80000000 FFFFFFFF 10 = 0000FFFF 10 +30 0F3 80000000 FFFFFFFF 11 = 0000FFFF 11 +30 0F4 80000001 FFFFFFFF 00 = 0001FFFF 00 +30 0F5 80000001 FFFFFFFF 01 = 0001FFFF 01 +30 0F6 80000001 FFFFFFFF 10 = 0001FFFF 10 +30 0F7 80000001 FFFFFFFF 11 = 0001FFFF 11 +30 0F8 FFFFFFFE FFFFFFFF 00 = FFFEFFFF 00 +30 0F9 FFFFFFFE FFFFFFFF 01 = FFFEFFFF 01 +30 0FA FFFFFFFE FFFFFFFF 10 = FFFEFFFF 10 +30 0FB FFFFFFFE FFFFFFFF 11 = FFFEFFFF 11 +30 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +30 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +30 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +30 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +rolword1 ---D---- ---S---- CZ = ---Q---- CZ +31 000 00000000 00000000 00 = 00000000 00 +31 001 00000000 00000000 01 = 00000000 01 +31 002 00000000 00000000 10 = 00000000 10 +31 003 00000000 00000000 11 = 00000000 11 +31 004 00000001 00000000 00 = 00010000 00 +31 005 00000001 00000000 01 = 00010000 01 +31 006 00000001 00000000 10 = 00010000 10 +31 007 00000001 00000000 11 = 00010000 11 +31 008 00000002 00000000 00 = 00020000 00 +31 009 00000002 00000000 01 = 00020000 01 +31 00A 00000002 00000000 10 = 00020000 10 +31 00B 00000002 00000000 11 = 00020000 11 +31 00C 7FFFFFFF 00000000 00 = FFFF0000 00 +31 00D 7FFFFFFF 00000000 01 = FFFF0000 01 +31 00E 7FFFFFFF 00000000 10 = FFFF0000 10 +31 00F 7FFFFFFF 00000000 11 = FFFF0000 11 +31 010 80000000 00000000 00 = 00000000 00 +31 011 80000000 00000000 01 = 00000000 01 +31 012 80000000 00000000 10 = 00000000 10 +31 013 80000000 00000000 11 = 00000000 11 +31 014 80000001 00000000 00 = 00010000 00 +31 015 80000001 00000000 01 = 00010000 01 +31 016 80000001 00000000 10 = 00010000 10 +31 017 80000001 00000000 11 = 00010000 11 +31 018 FFFFFFFE 00000000 00 = FFFE0000 00 +31 019 FFFFFFFE 00000000 01 = FFFE0000 01 +31 01A FFFFFFFE 00000000 10 = FFFE0000 10 +31 01B FFFFFFFE 00000000 11 = FFFE0000 11 +31 01C FFFFFFFF 00000000 00 = FFFF0000 00 +31 01D FFFFFFFF 00000000 01 = FFFF0000 01 +31 01E FFFFFFFF 00000000 10 = FFFF0000 10 +31 01F FFFFFFFF 00000000 11 = FFFF0000 11 +31 020 00000000 00000001 00 = 00000000 00 +31 021 00000000 00000001 01 = 00000000 01 +31 022 00000000 00000001 10 = 00000000 10 +31 023 00000000 00000001 11 = 00000000 11 +31 024 00000001 00000001 00 = 00010000 00 +31 025 00000001 00000001 01 = 00010000 01 +31 026 00000001 00000001 10 = 00010000 10 +31 027 00000001 00000001 11 = 00010000 11 +31 028 00000002 00000001 00 = 00020000 00 +31 029 00000002 00000001 01 = 00020000 01 +31 02A 00000002 00000001 10 = 00020000 10 +31 02B 00000002 00000001 11 = 00020000 11 +31 02C 7FFFFFFF 00000001 00 = FFFF0000 00 +31 02D 7FFFFFFF 00000001 01 = FFFF0000 01 +31 02E 7FFFFFFF 00000001 10 = FFFF0000 10 +31 02F 7FFFFFFF 00000001 11 = FFFF0000 11 +31 030 80000000 00000001 00 = 00000000 00 +31 031 80000000 00000001 01 = 00000000 01 +31 032 80000000 00000001 10 = 00000000 10 +31 033 80000000 00000001 11 = 00000000 11 +31 034 80000001 00000001 00 = 00010000 00 +31 035 80000001 00000001 01 = 00010000 01 +31 036 80000001 00000001 10 = 00010000 10 +31 037 80000001 00000001 11 = 00010000 11 +31 038 FFFFFFFE 00000001 00 = FFFE0000 00 +31 039 FFFFFFFE 00000001 01 = FFFE0000 01 +31 03A FFFFFFFE 00000001 10 = FFFE0000 10 +31 03B FFFFFFFE 00000001 11 = FFFE0000 11 +31 03C FFFFFFFF 00000001 00 = FFFF0000 00 +31 03D FFFFFFFF 00000001 01 = FFFF0000 01 +31 03E FFFFFFFF 00000001 10 = FFFF0000 10 +31 03F FFFFFFFF 00000001 11 = FFFF0000 11 +31 040 00000000 00000002 00 = 00000000 00 +31 041 00000000 00000002 01 = 00000000 01 +31 042 00000000 00000002 10 = 00000000 10 +31 043 00000000 00000002 11 = 00000000 11 +31 044 00000001 00000002 00 = 00010000 00 +31 045 00000001 00000002 01 = 00010000 01 +31 046 00000001 00000002 10 = 00010000 10 +31 047 00000001 00000002 11 = 00010000 11 +31 048 00000002 00000002 00 = 00020000 00 +31 049 00000002 00000002 01 = 00020000 01 +31 04A 00000002 00000002 10 = 00020000 10 +31 04B 00000002 00000002 11 = 00020000 11 +31 04C 7FFFFFFF 00000002 00 = FFFF0000 00 +31 04D 7FFFFFFF 00000002 01 = FFFF0000 01 +31 04E 7FFFFFFF 00000002 10 = FFFF0000 10 +31 04F 7FFFFFFF 00000002 11 = FFFF0000 11 +31 050 80000000 00000002 00 = 00000000 00 +31 051 80000000 00000002 01 = 00000000 01 +31 052 80000000 00000002 10 = 00000000 10 +31 053 80000000 00000002 11 = 00000000 11 +31 054 80000001 00000002 00 = 00010000 00 +31 055 80000001 00000002 01 = 00010000 01 +31 056 80000001 00000002 10 = 00010000 10 +31 057 80000001 00000002 11 = 00010000 11 +31 058 FFFFFFFE 00000002 00 = FFFE0000 00 +31 059 FFFFFFFE 00000002 01 = FFFE0000 01 +31 05A FFFFFFFE 00000002 10 = FFFE0000 10 +31 05B FFFFFFFE 00000002 11 = FFFE0000 11 +31 05C FFFFFFFF 00000002 00 = FFFF0000 00 +31 05D FFFFFFFF 00000002 01 = FFFF0000 01 +31 05E FFFFFFFF 00000002 10 = FFFF0000 10 +31 05F FFFFFFFF 00000002 11 = FFFF0000 11 +31 060 00000000 7FFFFFFF 00 = 00007FFF 00 +31 061 00000000 7FFFFFFF 01 = 00007FFF 01 +31 062 00000000 7FFFFFFF 10 = 00007FFF 10 +31 063 00000000 7FFFFFFF 11 = 00007FFF 11 +31 064 00000001 7FFFFFFF 00 = 00017FFF 00 +31 065 00000001 7FFFFFFF 01 = 00017FFF 01 +31 066 00000001 7FFFFFFF 10 = 00017FFF 10 +31 067 00000001 7FFFFFFF 11 = 00017FFF 11 +31 068 00000002 7FFFFFFF 00 = 00027FFF 00 +31 069 00000002 7FFFFFFF 01 = 00027FFF 01 +31 06A 00000002 7FFFFFFF 10 = 00027FFF 10 +31 06B 00000002 7FFFFFFF 11 = 00027FFF 11 +31 06C 7FFFFFFF 7FFFFFFF 00 = FFFF7FFF 00 +31 06D 7FFFFFFF 7FFFFFFF 01 = FFFF7FFF 01 +31 06E 7FFFFFFF 7FFFFFFF 10 = FFFF7FFF 10 +31 06F 7FFFFFFF 7FFFFFFF 11 = FFFF7FFF 11 +31 070 80000000 7FFFFFFF 00 = 00007FFF 00 +31 071 80000000 7FFFFFFF 01 = 00007FFF 01 +31 072 80000000 7FFFFFFF 10 = 00007FFF 10 +31 073 80000000 7FFFFFFF 11 = 00007FFF 11 +31 074 80000001 7FFFFFFF 00 = 00017FFF 00 +31 075 80000001 7FFFFFFF 01 = 00017FFF 01 +31 076 80000001 7FFFFFFF 10 = 00017FFF 10 +31 077 80000001 7FFFFFFF 11 = 00017FFF 11 +31 078 FFFFFFFE 7FFFFFFF 00 = FFFE7FFF 00 +31 079 FFFFFFFE 7FFFFFFF 01 = FFFE7FFF 01 +31 07A FFFFFFFE 7FFFFFFF 10 = FFFE7FFF 10 +31 07B FFFFFFFE 7FFFFFFF 11 = FFFE7FFF 11 +31 07C FFFFFFFF 7FFFFFFF 00 = FFFF7FFF 00 +31 07D FFFFFFFF 7FFFFFFF 01 = FFFF7FFF 01 +31 07E FFFFFFFF 7FFFFFFF 10 = FFFF7FFF 10 +31 07F FFFFFFFF 7FFFFFFF 11 = FFFF7FFF 11 +31 080 00000000 80000000 00 = 00008000 00 +31 081 00000000 80000000 01 = 00008000 01 +31 082 00000000 80000000 10 = 00008000 10 +31 083 00000000 80000000 11 = 00008000 11 +31 084 00000001 80000000 00 = 00018000 00 +31 085 00000001 80000000 01 = 00018000 01 +31 086 00000001 80000000 10 = 00018000 10 +31 087 00000001 80000000 11 = 00018000 11 +31 088 00000002 80000000 00 = 00028000 00 +31 089 00000002 80000000 01 = 00028000 01 +31 08A 00000002 80000000 10 = 00028000 10 +31 08B 00000002 80000000 11 = 00028000 11 +31 08C 7FFFFFFF 80000000 00 = FFFF8000 00 +31 08D 7FFFFFFF 80000000 01 = FFFF8000 01 +31 08E 7FFFFFFF 80000000 10 = FFFF8000 10 +31 08F 7FFFFFFF 80000000 11 = FFFF8000 11 +31 090 80000000 80000000 00 = 00008000 00 +31 091 80000000 80000000 01 = 00008000 01 +31 092 80000000 80000000 10 = 00008000 10 +31 093 80000000 80000000 11 = 00008000 11 +31 094 80000001 80000000 00 = 00018000 00 +31 095 80000001 80000000 01 = 00018000 01 +31 096 80000001 80000000 10 = 00018000 10 +31 097 80000001 80000000 11 = 00018000 11 +31 098 FFFFFFFE 80000000 00 = FFFE8000 00 +31 099 FFFFFFFE 80000000 01 = FFFE8000 01 +31 09A FFFFFFFE 80000000 10 = FFFE8000 10 +31 09B FFFFFFFE 80000000 11 = FFFE8000 11 +31 09C FFFFFFFF 80000000 00 = FFFF8000 00 +31 09D FFFFFFFF 80000000 01 = FFFF8000 01 +31 09E FFFFFFFF 80000000 10 = FFFF8000 10 +31 09F FFFFFFFF 80000000 11 = FFFF8000 11 +31 0A0 00000000 80000001 00 = 00008000 00 +31 0A1 00000000 80000001 01 = 00008000 01 +31 0A2 00000000 80000001 10 = 00008000 10 +31 0A3 00000000 80000001 11 = 00008000 11 +31 0A4 00000001 80000001 00 = 00018000 00 +31 0A5 00000001 80000001 01 = 00018000 01 +31 0A6 00000001 80000001 10 = 00018000 10 +31 0A7 00000001 80000001 11 = 00018000 11 +31 0A8 00000002 80000001 00 = 00028000 00 +31 0A9 00000002 80000001 01 = 00028000 01 +31 0AA 00000002 80000001 10 = 00028000 10 +31 0AB 00000002 80000001 11 = 00028000 11 +31 0AC 7FFFFFFF 80000001 00 = FFFF8000 00 +31 0AD 7FFFFFFF 80000001 01 = FFFF8000 01 +31 0AE 7FFFFFFF 80000001 10 = FFFF8000 10 +31 0AF 7FFFFFFF 80000001 11 = FFFF8000 11 +31 0B0 80000000 80000001 00 = 00008000 00 +31 0B1 80000000 80000001 01 = 00008000 01 +31 0B2 80000000 80000001 10 = 00008000 10 +31 0B3 80000000 80000001 11 = 00008000 11 +31 0B4 80000001 80000001 00 = 00018000 00 +31 0B5 80000001 80000001 01 = 00018000 01 +31 0B6 80000001 80000001 10 = 00018000 10 +31 0B7 80000001 80000001 11 = 00018000 11 +31 0B8 FFFFFFFE 80000001 00 = FFFE8000 00 +31 0B9 FFFFFFFE 80000001 01 = FFFE8000 01 +31 0BA FFFFFFFE 80000001 10 = FFFE8000 10 +31 0BB FFFFFFFE 80000001 11 = FFFE8000 11 +31 0BC FFFFFFFF 80000001 00 = FFFF8000 00 +31 0BD FFFFFFFF 80000001 01 = FFFF8000 01 +31 0BE FFFFFFFF 80000001 10 = FFFF8000 10 +31 0BF FFFFFFFF 80000001 11 = FFFF8000 11 +31 0C0 00000000 FFFFFFFE 00 = 0000FFFF 00 +31 0C1 00000000 FFFFFFFE 01 = 0000FFFF 01 +31 0C2 00000000 FFFFFFFE 10 = 0000FFFF 10 +31 0C3 00000000 FFFFFFFE 11 = 0000FFFF 11 +31 0C4 00000001 FFFFFFFE 00 = 0001FFFF 00 +31 0C5 00000001 FFFFFFFE 01 = 0001FFFF 01 +31 0C6 00000001 FFFFFFFE 10 = 0001FFFF 10 +31 0C7 00000001 FFFFFFFE 11 = 0001FFFF 11 +31 0C8 00000002 FFFFFFFE 00 = 0002FFFF 00 +31 0C9 00000002 FFFFFFFE 01 = 0002FFFF 01 +31 0CA 00000002 FFFFFFFE 10 = 0002FFFF 10 +31 0CB 00000002 FFFFFFFE 11 = 0002FFFF 11 +31 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +31 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +31 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +31 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +31 0D0 80000000 FFFFFFFE 00 = 0000FFFF 00 +31 0D1 80000000 FFFFFFFE 01 = 0000FFFF 01 +31 0D2 80000000 FFFFFFFE 10 = 0000FFFF 10 +31 0D3 80000000 FFFFFFFE 11 = 0000FFFF 11 +31 0D4 80000001 FFFFFFFE 00 = 0001FFFF 00 +31 0D5 80000001 FFFFFFFE 01 = 0001FFFF 01 +31 0D6 80000001 FFFFFFFE 10 = 0001FFFF 10 +31 0D7 80000001 FFFFFFFE 11 = 0001FFFF 11 +31 0D8 FFFFFFFE FFFFFFFE 00 = FFFEFFFF 00 +31 0D9 FFFFFFFE FFFFFFFE 01 = FFFEFFFF 01 +31 0DA FFFFFFFE FFFFFFFE 10 = FFFEFFFF 10 +31 0DB FFFFFFFE FFFFFFFE 11 = FFFEFFFF 11 +31 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +31 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +31 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +31 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +31 0E0 00000000 FFFFFFFF 00 = 0000FFFF 00 +31 0E1 00000000 FFFFFFFF 01 = 0000FFFF 01 +31 0E2 00000000 FFFFFFFF 10 = 0000FFFF 10 +31 0E3 00000000 FFFFFFFF 11 = 0000FFFF 11 +31 0E4 00000001 FFFFFFFF 00 = 0001FFFF 00 +31 0E5 00000001 FFFFFFFF 01 = 0001FFFF 01 +31 0E6 00000001 FFFFFFFF 10 = 0001FFFF 10 +31 0E7 00000001 FFFFFFFF 11 = 0001FFFF 11 +31 0E8 00000002 FFFFFFFF 00 = 0002FFFF 00 +31 0E9 00000002 FFFFFFFF 01 = 0002FFFF 01 +31 0EA 00000002 FFFFFFFF 10 = 0002FFFF 10 +31 0EB 00000002 FFFFFFFF 11 = 0002FFFF 11 +31 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +31 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +31 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +31 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +31 0F0 80000000 FFFFFFFF 00 = 0000FFFF 00 +31 0F1 80000000 FFFFFFFF 01 = 0000FFFF 01 +31 0F2 80000000 FFFFFFFF 10 = 0000FFFF 10 +31 0F3 80000000 FFFFFFFF 11 = 0000FFFF 11 +31 0F4 80000001 FFFFFFFF 00 = 0001FFFF 00 +31 0F5 80000001 FFFFFFFF 01 = 0001FFFF 01 +31 0F6 80000001 FFFFFFFF 10 = 0001FFFF 10 +31 0F7 80000001 FFFFFFFF 11 = 0001FFFF 11 +31 0F8 FFFFFFFE FFFFFFFF 00 = FFFEFFFF 00 +31 0F9 FFFFFFFE FFFFFFFF 01 = FFFEFFFF 01 +31 0FA FFFFFFFE FFFFFFFF 10 = FFFEFFFF 10 +31 0FB FFFFFFFE FFFFFFFF 11 = FFFEFFFF 11 +31 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +31 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +31 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +31 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setr ---D---- ---S---- CZ = ---Q---- CZ +32 000 00000000 00000000 00 = 00000000 00 +32 001 00000000 00000000 01 = 00000000 01 +32 002 00000000 00000000 10 = 00000000 10 +32 003 00000000 00000000 11 = 00000000 11 +32 004 00000001 00000000 00 = 00000001 00 +32 005 00000001 00000000 01 = 00000001 01 +32 006 00000001 00000000 10 = 00000001 10 +32 007 00000001 00000000 11 = 00000001 11 +32 008 00000002 00000000 00 = 00000002 00 +32 009 00000002 00000000 01 = 00000002 01 +32 00A 00000002 00000000 10 = 00000002 10 +32 00B 00000002 00000000 11 = 00000002 11 +32 00C 7FFFFFFF 00000000 00 = 7007FFFF 00 +32 00D 7FFFFFFF 00000000 01 = 7007FFFF 01 +32 00E 7FFFFFFF 00000000 10 = 7007FFFF 10 +32 00F 7FFFFFFF 00000000 11 = 7007FFFF 11 +32 010 80000000 00000000 00 = 80000000 00 +32 011 80000000 00000000 01 = 80000000 01 +32 012 80000000 00000000 10 = 80000000 10 +32 013 80000000 00000000 11 = 80000000 11 +32 014 80000001 00000000 00 = 80000001 00 +32 015 80000001 00000000 01 = 80000001 01 +32 016 80000001 00000000 10 = 80000001 10 +32 017 80000001 00000000 11 = 80000001 11 +32 018 FFFFFFFE 00000000 00 = F007FFFE 00 +32 019 FFFFFFFE 00000000 01 = F007FFFE 01 +32 01A FFFFFFFE 00000000 10 = F007FFFE 10 +32 01B FFFFFFFE 00000000 11 = F007FFFE 11 +32 01C FFFFFFFF 00000000 00 = F007FFFF 00 +32 01D FFFFFFFF 00000000 01 = F007FFFF 01 +32 01E FFFFFFFF 00000000 10 = F007FFFF 10 +32 01F FFFFFFFF 00000000 11 = F007FFFF 11 +32 020 00000000 00000001 00 = 00080000 00 +32 021 00000000 00000001 01 = 00080000 01 +32 022 00000000 00000001 10 = 00080000 10 +32 023 00000000 00000001 11 = 00080000 11 +32 024 00000001 00000001 00 = 00080001 00 +32 025 00000001 00000001 01 = 00080001 01 +32 026 00000001 00000001 10 = 00080001 10 +32 027 00000001 00000001 11 = 00080001 11 +32 028 00000002 00000001 00 = 00080002 00 +32 029 00000002 00000001 01 = 00080002 01 +32 02A 00000002 00000001 10 = 00080002 10 +32 02B 00000002 00000001 11 = 00080002 11 +32 02C 7FFFFFFF 00000001 00 = 700FFFFF 00 +32 02D 7FFFFFFF 00000001 01 = 700FFFFF 01 +32 02E 7FFFFFFF 00000001 10 = 700FFFFF 10 +32 02F 7FFFFFFF 00000001 11 = 700FFFFF 11 +32 030 80000000 00000001 00 = 80080000 00 +32 031 80000000 00000001 01 = 80080000 01 +32 032 80000000 00000001 10 = 80080000 10 +32 033 80000000 00000001 11 = 80080000 11 +32 034 80000001 00000001 00 = 80080001 00 +32 035 80000001 00000001 01 = 80080001 01 +32 036 80000001 00000001 10 = 80080001 10 +32 037 80000001 00000001 11 = 80080001 11 +32 038 FFFFFFFE 00000001 00 = F00FFFFE 00 +32 039 FFFFFFFE 00000001 01 = F00FFFFE 01 +32 03A FFFFFFFE 00000001 10 = F00FFFFE 10 +32 03B FFFFFFFE 00000001 11 = F00FFFFE 11 +32 03C FFFFFFFF 00000001 00 = F00FFFFF 00 +32 03D FFFFFFFF 00000001 01 = F00FFFFF 01 +32 03E FFFFFFFF 00000001 10 = F00FFFFF 10 +32 03F FFFFFFFF 00000001 11 = F00FFFFF 11 +32 040 00000000 00000002 00 = 00100000 00 +32 041 00000000 00000002 01 = 00100000 01 +32 042 00000000 00000002 10 = 00100000 10 +32 043 00000000 00000002 11 = 00100000 11 +32 044 00000001 00000002 00 = 00100001 00 +32 045 00000001 00000002 01 = 00100001 01 +32 046 00000001 00000002 10 = 00100001 10 +32 047 00000001 00000002 11 = 00100001 11 +32 048 00000002 00000002 00 = 00100002 00 +32 049 00000002 00000002 01 = 00100002 01 +32 04A 00000002 00000002 10 = 00100002 10 +32 04B 00000002 00000002 11 = 00100002 11 +32 04C 7FFFFFFF 00000002 00 = 7017FFFF 00 +32 04D 7FFFFFFF 00000002 01 = 7017FFFF 01 +32 04E 7FFFFFFF 00000002 10 = 7017FFFF 10 +32 04F 7FFFFFFF 00000002 11 = 7017FFFF 11 +32 050 80000000 00000002 00 = 80100000 00 +32 051 80000000 00000002 01 = 80100000 01 +32 052 80000000 00000002 10 = 80100000 10 +32 053 80000000 00000002 11 = 80100000 11 +32 054 80000001 00000002 00 = 80100001 00 +32 055 80000001 00000002 01 = 80100001 01 +32 056 80000001 00000002 10 = 80100001 10 +32 057 80000001 00000002 11 = 80100001 11 +32 058 FFFFFFFE 00000002 00 = F017FFFE 00 +32 059 FFFFFFFE 00000002 01 = F017FFFE 01 +32 05A FFFFFFFE 00000002 10 = F017FFFE 10 +32 05B FFFFFFFE 00000002 11 = F017FFFE 11 +32 05C FFFFFFFF 00000002 00 = F017FFFF 00 +32 05D FFFFFFFF 00000002 01 = F017FFFF 01 +32 05E FFFFFFFF 00000002 10 = F017FFFF 10 +32 05F FFFFFFFF 00000002 11 = F017FFFF 11 +32 060 00000000 7FFFFFFF 00 = 0FF80000 00 +32 061 00000000 7FFFFFFF 01 = 0FF80000 01 +32 062 00000000 7FFFFFFF 10 = 0FF80000 10 +32 063 00000000 7FFFFFFF 11 = 0FF80000 11 +32 064 00000001 7FFFFFFF 00 = 0FF80001 00 +32 065 00000001 7FFFFFFF 01 = 0FF80001 01 +32 066 00000001 7FFFFFFF 10 = 0FF80001 10 +32 067 00000001 7FFFFFFF 11 = 0FF80001 11 +32 068 00000002 7FFFFFFF 00 = 0FF80002 00 +32 069 00000002 7FFFFFFF 01 = 0FF80002 01 +32 06A 00000002 7FFFFFFF 10 = 0FF80002 10 +32 06B 00000002 7FFFFFFF 11 = 0FF80002 11 +32 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +32 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +32 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +32 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +32 070 80000000 7FFFFFFF 00 = 8FF80000 00 +32 071 80000000 7FFFFFFF 01 = 8FF80000 01 +32 072 80000000 7FFFFFFF 10 = 8FF80000 10 +32 073 80000000 7FFFFFFF 11 = 8FF80000 11 +32 074 80000001 7FFFFFFF 00 = 8FF80001 00 +32 075 80000001 7FFFFFFF 01 = 8FF80001 01 +32 076 80000001 7FFFFFFF 10 = 8FF80001 10 +32 077 80000001 7FFFFFFF 11 = 8FF80001 11 +32 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +32 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +32 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +32 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +32 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +32 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +32 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +32 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +32 080 00000000 80000000 00 = 00000000 00 +32 081 00000000 80000000 01 = 00000000 01 +32 082 00000000 80000000 10 = 00000000 10 +32 083 00000000 80000000 11 = 00000000 11 +32 084 00000001 80000000 00 = 00000001 00 +32 085 00000001 80000000 01 = 00000001 01 +32 086 00000001 80000000 10 = 00000001 10 +32 087 00000001 80000000 11 = 00000001 11 +32 088 00000002 80000000 00 = 00000002 00 +32 089 00000002 80000000 01 = 00000002 01 +32 08A 00000002 80000000 10 = 00000002 10 +32 08B 00000002 80000000 11 = 00000002 11 +32 08C 7FFFFFFF 80000000 00 = 7007FFFF 00 +32 08D 7FFFFFFF 80000000 01 = 7007FFFF 01 +32 08E 7FFFFFFF 80000000 10 = 7007FFFF 10 +32 08F 7FFFFFFF 80000000 11 = 7007FFFF 11 +32 090 80000000 80000000 00 = 80000000 00 +32 091 80000000 80000000 01 = 80000000 01 +32 092 80000000 80000000 10 = 80000000 10 +32 093 80000000 80000000 11 = 80000000 11 +32 094 80000001 80000000 00 = 80000001 00 +32 095 80000001 80000000 01 = 80000001 01 +32 096 80000001 80000000 10 = 80000001 10 +32 097 80000001 80000000 11 = 80000001 11 +32 098 FFFFFFFE 80000000 00 = F007FFFE 00 +32 099 FFFFFFFE 80000000 01 = F007FFFE 01 +32 09A FFFFFFFE 80000000 10 = F007FFFE 10 +32 09B FFFFFFFE 80000000 11 = F007FFFE 11 +32 09C FFFFFFFF 80000000 00 = F007FFFF 00 +32 09D FFFFFFFF 80000000 01 = F007FFFF 01 +32 09E FFFFFFFF 80000000 10 = F007FFFF 10 +32 09F FFFFFFFF 80000000 11 = F007FFFF 11 +32 0A0 00000000 80000001 00 = 00080000 00 +32 0A1 00000000 80000001 01 = 00080000 01 +32 0A2 00000000 80000001 10 = 00080000 10 +32 0A3 00000000 80000001 11 = 00080000 11 +32 0A4 00000001 80000001 00 = 00080001 00 +32 0A5 00000001 80000001 01 = 00080001 01 +32 0A6 00000001 80000001 10 = 00080001 10 +32 0A7 00000001 80000001 11 = 00080001 11 +32 0A8 00000002 80000001 00 = 00080002 00 +32 0A9 00000002 80000001 01 = 00080002 01 +32 0AA 00000002 80000001 10 = 00080002 10 +32 0AB 00000002 80000001 11 = 00080002 11 +32 0AC 7FFFFFFF 80000001 00 = 700FFFFF 00 +32 0AD 7FFFFFFF 80000001 01 = 700FFFFF 01 +32 0AE 7FFFFFFF 80000001 10 = 700FFFFF 10 +32 0AF 7FFFFFFF 80000001 11 = 700FFFFF 11 +32 0B0 80000000 80000001 00 = 80080000 00 +32 0B1 80000000 80000001 01 = 80080000 01 +32 0B2 80000000 80000001 10 = 80080000 10 +32 0B3 80000000 80000001 11 = 80080000 11 +32 0B4 80000001 80000001 00 = 80080001 00 +32 0B5 80000001 80000001 01 = 80080001 01 +32 0B6 80000001 80000001 10 = 80080001 10 +32 0B7 80000001 80000001 11 = 80080001 11 +32 0B8 FFFFFFFE 80000001 00 = F00FFFFE 00 +32 0B9 FFFFFFFE 80000001 01 = F00FFFFE 01 +32 0BA FFFFFFFE 80000001 10 = F00FFFFE 10 +32 0BB FFFFFFFE 80000001 11 = F00FFFFE 11 +32 0BC FFFFFFFF 80000001 00 = F00FFFFF 00 +32 0BD FFFFFFFF 80000001 01 = F00FFFFF 01 +32 0BE FFFFFFFF 80000001 10 = F00FFFFF 10 +32 0BF FFFFFFFF 80000001 11 = F00FFFFF 11 +32 0C0 00000000 FFFFFFFE 00 = 0FF00000 00 +32 0C1 00000000 FFFFFFFE 01 = 0FF00000 01 +32 0C2 00000000 FFFFFFFE 10 = 0FF00000 10 +32 0C3 00000000 FFFFFFFE 11 = 0FF00000 11 +32 0C4 00000001 FFFFFFFE 00 = 0FF00001 00 +32 0C5 00000001 FFFFFFFE 01 = 0FF00001 01 +32 0C6 00000001 FFFFFFFE 10 = 0FF00001 10 +32 0C7 00000001 FFFFFFFE 11 = 0FF00001 11 +32 0C8 00000002 FFFFFFFE 00 = 0FF00002 00 +32 0C9 00000002 FFFFFFFE 01 = 0FF00002 01 +32 0CA 00000002 FFFFFFFE 10 = 0FF00002 10 +32 0CB 00000002 FFFFFFFE 11 = 0FF00002 11 +32 0CC 7FFFFFFF FFFFFFFE 00 = 7FF7FFFF 00 +32 0CD 7FFFFFFF FFFFFFFE 01 = 7FF7FFFF 01 +32 0CE 7FFFFFFF FFFFFFFE 10 = 7FF7FFFF 10 +32 0CF 7FFFFFFF FFFFFFFE 11 = 7FF7FFFF 11 +32 0D0 80000000 FFFFFFFE 00 = 8FF00000 00 +32 0D1 80000000 FFFFFFFE 01 = 8FF00000 01 +32 0D2 80000000 FFFFFFFE 10 = 8FF00000 10 +32 0D3 80000000 FFFFFFFE 11 = 8FF00000 11 +32 0D4 80000001 FFFFFFFE 00 = 8FF00001 00 +32 0D5 80000001 FFFFFFFE 01 = 8FF00001 01 +32 0D6 80000001 FFFFFFFE 10 = 8FF00001 10 +32 0D7 80000001 FFFFFFFE 11 = 8FF00001 11 +32 0D8 FFFFFFFE FFFFFFFE 00 = FFF7FFFE 00 +32 0D9 FFFFFFFE FFFFFFFE 01 = FFF7FFFE 01 +32 0DA FFFFFFFE FFFFFFFE 10 = FFF7FFFE 10 +32 0DB FFFFFFFE FFFFFFFE 11 = FFF7FFFE 11 +32 0DC FFFFFFFF FFFFFFFE 00 = FFF7FFFF 00 +32 0DD FFFFFFFF FFFFFFFE 01 = FFF7FFFF 01 +32 0DE FFFFFFFF FFFFFFFE 10 = FFF7FFFF 10 +32 0DF FFFFFFFF FFFFFFFE 11 = FFF7FFFF 11 +32 0E0 00000000 FFFFFFFF 00 = 0FF80000 00 +32 0E1 00000000 FFFFFFFF 01 = 0FF80000 01 +32 0E2 00000000 FFFFFFFF 10 = 0FF80000 10 +32 0E3 00000000 FFFFFFFF 11 = 0FF80000 11 +32 0E4 00000001 FFFFFFFF 00 = 0FF80001 00 +32 0E5 00000001 FFFFFFFF 01 = 0FF80001 01 +32 0E6 00000001 FFFFFFFF 10 = 0FF80001 10 +32 0E7 00000001 FFFFFFFF 11 = 0FF80001 11 +32 0E8 00000002 FFFFFFFF 00 = 0FF80002 00 +32 0E9 00000002 FFFFFFFF 01 = 0FF80002 01 +32 0EA 00000002 FFFFFFFF 10 = 0FF80002 10 +32 0EB 00000002 FFFFFFFF 11 = 0FF80002 11 +32 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +32 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +32 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +32 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +32 0F0 80000000 FFFFFFFF 00 = 8FF80000 00 +32 0F1 80000000 FFFFFFFF 01 = 8FF80000 01 +32 0F2 80000000 FFFFFFFF 10 = 8FF80000 10 +32 0F3 80000000 FFFFFFFF 11 = 8FF80000 11 +32 0F4 80000001 FFFFFFFF 00 = 8FF80001 00 +32 0F5 80000001 FFFFFFFF 01 = 8FF80001 01 +32 0F6 80000001 FFFFFFFF 10 = 8FF80001 10 +32 0F7 80000001 FFFFFFFF 11 = 8FF80001 11 +32 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +32 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +32 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +32 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +32 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +32 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +32 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +32 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +setd ---D---- ---S---- CZ = ---Q---- CZ +33 000 00000000 00000000 00 = 00000000 00 +33 001 00000000 00000000 01 = 00000000 01 +33 002 00000000 00000000 10 = 00000000 10 +33 003 00000000 00000000 11 = 00000000 11 +33 004 00000001 00000000 00 = 00000001 00 +33 005 00000001 00000000 01 = 00000001 01 +33 006 00000001 00000000 10 = 00000001 10 +33 007 00000001 00000000 11 = 00000001 11 +33 008 00000002 00000000 00 = 00000002 00 +33 009 00000002 00000000 01 = 00000002 01 +33 00A 00000002 00000000 10 = 00000002 10 +33 00B 00000002 00000000 11 = 00000002 11 +33 00C 7FFFFFFF 00000000 00 = 7FFC01FF 00 +33 00D 7FFFFFFF 00000000 01 = 7FFC01FF 01 +33 00E 7FFFFFFF 00000000 10 = 7FFC01FF 10 +33 00F 7FFFFFFF 00000000 11 = 7FFC01FF 11 +33 010 80000000 00000000 00 = 80000000 00 +33 011 80000000 00000000 01 = 80000000 01 +33 012 80000000 00000000 10 = 80000000 10 +33 013 80000000 00000000 11 = 80000000 11 +33 014 80000001 00000000 00 = 80000001 00 +33 015 80000001 00000000 01 = 80000001 01 +33 016 80000001 00000000 10 = 80000001 10 +33 017 80000001 00000000 11 = 80000001 11 +33 018 FFFFFFFE 00000000 00 = FFFC01FE 00 +33 019 FFFFFFFE 00000000 01 = FFFC01FE 01 +33 01A FFFFFFFE 00000000 10 = FFFC01FE 10 +33 01B FFFFFFFE 00000000 11 = FFFC01FE 11 +33 01C FFFFFFFF 00000000 00 = FFFC01FF 00 +33 01D FFFFFFFF 00000000 01 = FFFC01FF 01 +33 01E FFFFFFFF 00000000 10 = FFFC01FF 10 +33 01F FFFFFFFF 00000000 11 = FFFC01FF 11 +33 020 00000000 00000001 00 = 00000200 00 +33 021 00000000 00000001 01 = 00000200 01 +33 022 00000000 00000001 10 = 00000200 10 +33 023 00000000 00000001 11 = 00000200 11 +33 024 00000001 00000001 00 = 00000201 00 +33 025 00000001 00000001 01 = 00000201 01 +33 026 00000001 00000001 10 = 00000201 10 +33 027 00000001 00000001 11 = 00000201 11 +33 028 00000002 00000001 00 = 00000202 00 +33 029 00000002 00000001 01 = 00000202 01 +33 02A 00000002 00000001 10 = 00000202 10 +33 02B 00000002 00000001 11 = 00000202 11 +33 02C 7FFFFFFF 00000001 00 = 7FFC03FF 00 +33 02D 7FFFFFFF 00000001 01 = 7FFC03FF 01 +33 02E 7FFFFFFF 00000001 10 = 7FFC03FF 10 +33 02F 7FFFFFFF 00000001 11 = 7FFC03FF 11 +33 030 80000000 00000001 00 = 80000200 00 +33 031 80000000 00000001 01 = 80000200 01 +33 032 80000000 00000001 10 = 80000200 10 +33 033 80000000 00000001 11 = 80000200 11 +33 034 80000001 00000001 00 = 80000201 00 +33 035 80000001 00000001 01 = 80000201 01 +33 036 80000001 00000001 10 = 80000201 10 +33 037 80000001 00000001 11 = 80000201 11 +33 038 FFFFFFFE 00000001 00 = FFFC03FE 00 +33 039 FFFFFFFE 00000001 01 = FFFC03FE 01 +33 03A FFFFFFFE 00000001 10 = FFFC03FE 10 +33 03B FFFFFFFE 00000001 11 = FFFC03FE 11 +33 03C FFFFFFFF 00000001 00 = FFFC03FF 00 +33 03D FFFFFFFF 00000001 01 = FFFC03FF 01 +33 03E FFFFFFFF 00000001 10 = FFFC03FF 10 +33 03F FFFFFFFF 00000001 11 = FFFC03FF 11 +33 040 00000000 00000002 00 = 00000400 00 +33 041 00000000 00000002 01 = 00000400 01 +33 042 00000000 00000002 10 = 00000400 10 +33 043 00000000 00000002 11 = 00000400 11 +33 044 00000001 00000002 00 = 00000401 00 +33 045 00000001 00000002 01 = 00000401 01 +33 046 00000001 00000002 10 = 00000401 10 +33 047 00000001 00000002 11 = 00000401 11 +33 048 00000002 00000002 00 = 00000402 00 +33 049 00000002 00000002 01 = 00000402 01 +33 04A 00000002 00000002 10 = 00000402 10 +33 04B 00000002 00000002 11 = 00000402 11 +33 04C 7FFFFFFF 00000002 00 = 7FFC05FF 00 +33 04D 7FFFFFFF 00000002 01 = 7FFC05FF 01 +33 04E 7FFFFFFF 00000002 10 = 7FFC05FF 10 +33 04F 7FFFFFFF 00000002 11 = 7FFC05FF 11 +33 050 80000000 00000002 00 = 80000400 00 +33 051 80000000 00000002 01 = 80000400 01 +33 052 80000000 00000002 10 = 80000400 10 +33 053 80000000 00000002 11 = 80000400 11 +33 054 80000001 00000002 00 = 80000401 00 +33 055 80000001 00000002 01 = 80000401 01 +33 056 80000001 00000002 10 = 80000401 10 +33 057 80000001 00000002 11 = 80000401 11 +33 058 FFFFFFFE 00000002 00 = FFFC05FE 00 +33 059 FFFFFFFE 00000002 01 = FFFC05FE 01 +33 05A FFFFFFFE 00000002 10 = FFFC05FE 10 +33 05B FFFFFFFE 00000002 11 = FFFC05FE 11 +33 05C FFFFFFFF 00000002 00 = FFFC05FF 00 +33 05D FFFFFFFF 00000002 01 = FFFC05FF 01 +33 05E FFFFFFFF 00000002 10 = FFFC05FF 10 +33 05F FFFFFFFF 00000002 11 = FFFC05FF 11 +33 060 00000000 7FFFFFFF 00 = 0003FE00 00 +33 061 00000000 7FFFFFFF 01 = 0003FE00 01 +33 062 00000000 7FFFFFFF 10 = 0003FE00 10 +33 063 00000000 7FFFFFFF 11 = 0003FE00 11 +33 064 00000001 7FFFFFFF 00 = 0003FE01 00 +33 065 00000001 7FFFFFFF 01 = 0003FE01 01 +33 066 00000001 7FFFFFFF 10 = 0003FE01 10 +33 067 00000001 7FFFFFFF 11 = 0003FE01 11 +33 068 00000002 7FFFFFFF 00 = 0003FE02 00 +33 069 00000002 7FFFFFFF 01 = 0003FE02 01 +33 06A 00000002 7FFFFFFF 10 = 0003FE02 10 +33 06B 00000002 7FFFFFFF 11 = 0003FE02 11 +33 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +33 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +33 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +33 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +33 070 80000000 7FFFFFFF 00 = 8003FE00 00 +33 071 80000000 7FFFFFFF 01 = 8003FE00 01 +33 072 80000000 7FFFFFFF 10 = 8003FE00 10 +33 073 80000000 7FFFFFFF 11 = 8003FE00 11 +33 074 80000001 7FFFFFFF 00 = 8003FE01 00 +33 075 80000001 7FFFFFFF 01 = 8003FE01 01 +33 076 80000001 7FFFFFFF 10 = 8003FE01 10 +33 077 80000001 7FFFFFFF 11 = 8003FE01 11 +33 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +33 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +33 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +33 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +33 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +33 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +33 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +33 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +33 080 00000000 80000000 00 = 00000000 00 +33 081 00000000 80000000 01 = 00000000 01 +33 082 00000000 80000000 10 = 00000000 10 +33 083 00000000 80000000 11 = 00000000 11 +33 084 00000001 80000000 00 = 00000001 00 +33 085 00000001 80000000 01 = 00000001 01 +33 086 00000001 80000000 10 = 00000001 10 +33 087 00000001 80000000 11 = 00000001 11 +33 088 00000002 80000000 00 = 00000002 00 +33 089 00000002 80000000 01 = 00000002 01 +33 08A 00000002 80000000 10 = 00000002 10 +33 08B 00000002 80000000 11 = 00000002 11 +33 08C 7FFFFFFF 80000000 00 = 7FFC01FF 00 +33 08D 7FFFFFFF 80000000 01 = 7FFC01FF 01 +33 08E 7FFFFFFF 80000000 10 = 7FFC01FF 10 +33 08F 7FFFFFFF 80000000 11 = 7FFC01FF 11 +33 090 80000000 80000000 00 = 80000000 00 +33 091 80000000 80000000 01 = 80000000 01 +33 092 80000000 80000000 10 = 80000000 10 +33 093 80000000 80000000 11 = 80000000 11 +33 094 80000001 80000000 00 = 80000001 00 +33 095 80000001 80000000 01 = 80000001 01 +33 096 80000001 80000000 10 = 80000001 10 +33 097 80000001 80000000 11 = 80000001 11 +33 098 FFFFFFFE 80000000 00 = FFFC01FE 00 +33 099 FFFFFFFE 80000000 01 = FFFC01FE 01 +33 09A FFFFFFFE 80000000 10 = FFFC01FE 10 +33 09B FFFFFFFE 80000000 11 = FFFC01FE 11 +33 09C FFFFFFFF 80000000 00 = FFFC01FF 00 +33 09D FFFFFFFF 80000000 01 = FFFC01FF 01 +33 09E FFFFFFFF 80000000 10 = FFFC01FF 10 +33 09F FFFFFFFF 80000000 11 = FFFC01FF 11 +33 0A0 00000000 80000001 00 = 00000200 00 +33 0A1 00000000 80000001 01 = 00000200 01 +33 0A2 00000000 80000001 10 = 00000200 10 +33 0A3 00000000 80000001 11 = 00000200 11 +33 0A4 00000001 80000001 00 = 00000201 00 +33 0A5 00000001 80000001 01 = 00000201 01 +33 0A6 00000001 80000001 10 = 00000201 10 +33 0A7 00000001 80000001 11 = 00000201 11 +33 0A8 00000002 80000001 00 = 00000202 00 +33 0A9 00000002 80000001 01 = 00000202 01 +33 0AA 00000002 80000001 10 = 00000202 10 +33 0AB 00000002 80000001 11 = 00000202 11 +33 0AC 7FFFFFFF 80000001 00 = 7FFC03FF 00 +33 0AD 7FFFFFFF 80000001 01 = 7FFC03FF 01 +33 0AE 7FFFFFFF 80000001 10 = 7FFC03FF 10 +33 0AF 7FFFFFFF 80000001 11 = 7FFC03FF 11 +33 0B0 80000000 80000001 00 = 80000200 00 +33 0B1 80000000 80000001 01 = 80000200 01 +33 0B2 80000000 80000001 10 = 80000200 10 +33 0B3 80000000 80000001 11 = 80000200 11 +33 0B4 80000001 80000001 00 = 80000201 00 +33 0B5 80000001 80000001 01 = 80000201 01 +33 0B6 80000001 80000001 10 = 80000201 10 +33 0B7 80000001 80000001 11 = 80000201 11 +33 0B8 FFFFFFFE 80000001 00 = FFFC03FE 00 +33 0B9 FFFFFFFE 80000001 01 = FFFC03FE 01 +33 0BA FFFFFFFE 80000001 10 = FFFC03FE 10 +33 0BB FFFFFFFE 80000001 11 = FFFC03FE 11 +33 0BC FFFFFFFF 80000001 00 = FFFC03FF 00 +33 0BD FFFFFFFF 80000001 01 = FFFC03FF 01 +33 0BE FFFFFFFF 80000001 10 = FFFC03FF 10 +33 0BF FFFFFFFF 80000001 11 = FFFC03FF 11 +33 0C0 00000000 FFFFFFFE 00 = 0003FC00 00 +33 0C1 00000000 FFFFFFFE 01 = 0003FC00 01 +33 0C2 00000000 FFFFFFFE 10 = 0003FC00 10 +33 0C3 00000000 FFFFFFFE 11 = 0003FC00 11 +33 0C4 00000001 FFFFFFFE 00 = 0003FC01 00 +33 0C5 00000001 FFFFFFFE 01 = 0003FC01 01 +33 0C6 00000001 FFFFFFFE 10 = 0003FC01 10 +33 0C7 00000001 FFFFFFFE 11 = 0003FC01 11 +33 0C8 00000002 FFFFFFFE 00 = 0003FC02 00 +33 0C9 00000002 FFFFFFFE 01 = 0003FC02 01 +33 0CA 00000002 FFFFFFFE 10 = 0003FC02 10 +33 0CB 00000002 FFFFFFFE 11 = 0003FC02 11 +33 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFDFF 00 +33 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFDFF 01 +33 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFDFF 10 +33 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFDFF 11 +33 0D0 80000000 FFFFFFFE 00 = 8003FC00 00 +33 0D1 80000000 FFFFFFFE 01 = 8003FC00 01 +33 0D2 80000000 FFFFFFFE 10 = 8003FC00 10 +33 0D3 80000000 FFFFFFFE 11 = 8003FC00 11 +33 0D4 80000001 FFFFFFFE 00 = 8003FC01 00 +33 0D5 80000001 FFFFFFFE 01 = 8003FC01 01 +33 0D6 80000001 FFFFFFFE 10 = 8003FC01 10 +33 0D7 80000001 FFFFFFFE 11 = 8003FC01 11 +33 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFDFE 00 +33 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFDFE 01 +33 0DA FFFFFFFE FFFFFFFE 10 = FFFFFDFE 10 +33 0DB FFFFFFFE FFFFFFFE 11 = FFFFFDFE 11 +33 0DC FFFFFFFF FFFFFFFE 00 = FFFFFDFF 00 +33 0DD FFFFFFFF FFFFFFFE 01 = FFFFFDFF 01 +33 0DE FFFFFFFF FFFFFFFE 10 = FFFFFDFF 10 +33 0DF FFFFFFFF FFFFFFFE 11 = FFFFFDFF 11 +33 0E0 00000000 FFFFFFFF 00 = 0003FE00 00 +33 0E1 00000000 FFFFFFFF 01 = 0003FE00 01 +33 0E2 00000000 FFFFFFFF 10 = 0003FE00 10 +33 0E3 00000000 FFFFFFFF 11 = 0003FE00 11 +33 0E4 00000001 FFFFFFFF 00 = 0003FE01 00 +33 0E5 00000001 FFFFFFFF 01 = 0003FE01 01 +33 0E6 00000001 FFFFFFFF 10 = 0003FE01 10 +33 0E7 00000001 FFFFFFFF 11 = 0003FE01 11 +33 0E8 00000002 FFFFFFFF 00 = 0003FE02 00 +33 0E9 00000002 FFFFFFFF 01 = 0003FE02 01 +33 0EA 00000002 FFFFFFFF 10 = 0003FE02 10 +33 0EB 00000002 FFFFFFFF 11 = 0003FE02 11 +33 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +33 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +33 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +33 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +33 0F0 80000000 FFFFFFFF 00 = 8003FE00 00 +33 0F1 80000000 FFFFFFFF 01 = 8003FE00 01 +33 0F2 80000000 FFFFFFFF 10 = 8003FE00 10 +33 0F3 80000000 FFFFFFFF 11 = 8003FE00 11 +33 0F4 80000001 FFFFFFFF 00 = 8003FE01 00 +33 0F5 80000001 FFFFFFFF 01 = 8003FE01 01 +33 0F6 80000001 FFFFFFFF 10 = 8003FE01 10 +33 0F7 80000001 FFFFFFFF 11 = 8003FE01 11 +33 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +33 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +33 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +33 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +33 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +33 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +33 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +33 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +sets ---D---- ---S---- CZ = ---Q---- CZ +34 000 00000000 00000000 00 = 00000000 00 +34 001 00000000 00000000 01 = 00000000 01 +34 002 00000000 00000000 10 = 00000000 10 +34 003 00000000 00000000 11 = 00000000 11 +34 004 00000001 00000000 00 = 00000000 00 +34 005 00000001 00000000 01 = 00000000 01 +34 006 00000001 00000000 10 = 00000000 10 +34 007 00000001 00000000 11 = 00000000 11 +34 008 00000002 00000000 00 = 00000000 00 +34 009 00000002 00000000 01 = 00000000 01 +34 00A 00000002 00000000 10 = 00000000 10 +34 00B 00000002 00000000 11 = 00000000 11 +34 00C 7FFFFFFF 00000000 00 = 7FFFFE00 00 +34 00D 7FFFFFFF 00000000 01 = 7FFFFE00 01 +34 00E 7FFFFFFF 00000000 10 = 7FFFFE00 10 +34 00F 7FFFFFFF 00000000 11 = 7FFFFE00 11 +34 010 80000000 00000000 00 = 80000000 00 +34 011 80000000 00000000 01 = 80000000 01 +34 012 80000000 00000000 10 = 80000000 10 +34 013 80000000 00000000 11 = 80000000 11 +34 014 80000001 00000000 00 = 80000000 00 +34 015 80000001 00000000 01 = 80000000 01 +34 016 80000001 00000000 10 = 80000000 10 +34 017 80000001 00000000 11 = 80000000 11 +34 018 FFFFFFFE 00000000 00 = FFFFFE00 00 +34 019 FFFFFFFE 00000000 01 = FFFFFE00 01 +34 01A FFFFFFFE 00000000 10 = FFFFFE00 10 +34 01B FFFFFFFE 00000000 11 = FFFFFE00 11 +34 01C FFFFFFFF 00000000 00 = FFFFFE00 00 +34 01D FFFFFFFF 00000000 01 = FFFFFE00 01 +34 01E FFFFFFFF 00000000 10 = FFFFFE00 10 +34 01F FFFFFFFF 00000000 11 = FFFFFE00 11 +34 020 00000000 00000001 00 = 00000001 00 +34 021 00000000 00000001 01 = 00000001 01 +34 022 00000000 00000001 10 = 00000001 10 +34 023 00000000 00000001 11 = 00000001 11 +34 024 00000001 00000001 00 = 00000001 00 +34 025 00000001 00000001 01 = 00000001 01 +34 026 00000001 00000001 10 = 00000001 10 +34 027 00000001 00000001 11 = 00000001 11 +34 028 00000002 00000001 00 = 00000001 00 +34 029 00000002 00000001 01 = 00000001 01 +34 02A 00000002 00000001 10 = 00000001 10 +34 02B 00000002 00000001 11 = 00000001 11 +34 02C 7FFFFFFF 00000001 00 = 7FFFFE01 00 +34 02D 7FFFFFFF 00000001 01 = 7FFFFE01 01 +34 02E 7FFFFFFF 00000001 10 = 7FFFFE01 10 +34 02F 7FFFFFFF 00000001 11 = 7FFFFE01 11 +34 030 80000000 00000001 00 = 80000001 00 +34 031 80000000 00000001 01 = 80000001 01 +34 032 80000000 00000001 10 = 80000001 10 +34 033 80000000 00000001 11 = 80000001 11 +34 034 80000001 00000001 00 = 80000001 00 +34 035 80000001 00000001 01 = 80000001 01 +34 036 80000001 00000001 10 = 80000001 10 +34 037 80000001 00000001 11 = 80000001 11 +34 038 FFFFFFFE 00000001 00 = FFFFFE01 00 +34 039 FFFFFFFE 00000001 01 = FFFFFE01 01 +34 03A FFFFFFFE 00000001 10 = FFFFFE01 10 +34 03B FFFFFFFE 00000001 11 = FFFFFE01 11 +34 03C FFFFFFFF 00000001 00 = FFFFFE01 00 +34 03D FFFFFFFF 00000001 01 = FFFFFE01 01 +34 03E FFFFFFFF 00000001 10 = FFFFFE01 10 +34 03F FFFFFFFF 00000001 11 = FFFFFE01 11 +34 040 00000000 00000002 00 = 00000002 00 +34 041 00000000 00000002 01 = 00000002 01 +34 042 00000000 00000002 10 = 00000002 10 +34 043 00000000 00000002 11 = 00000002 11 +34 044 00000001 00000002 00 = 00000002 00 +34 045 00000001 00000002 01 = 00000002 01 +34 046 00000001 00000002 10 = 00000002 10 +34 047 00000001 00000002 11 = 00000002 11 +34 048 00000002 00000002 00 = 00000002 00 +34 049 00000002 00000002 01 = 00000002 01 +34 04A 00000002 00000002 10 = 00000002 10 +34 04B 00000002 00000002 11 = 00000002 11 +34 04C 7FFFFFFF 00000002 00 = 7FFFFE02 00 +34 04D 7FFFFFFF 00000002 01 = 7FFFFE02 01 +34 04E 7FFFFFFF 00000002 10 = 7FFFFE02 10 +34 04F 7FFFFFFF 00000002 11 = 7FFFFE02 11 +34 050 80000000 00000002 00 = 80000002 00 +34 051 80000000 00000002 01 = 80000002 01 +34 052 80000000 00000002 10 = 80000002 10 +34 053 80000000 00000002 11 = 80000002 11 +34 054 80000001 00000002 00 = 80000002 00 +34 055 80000001 00000002 01 = 80000002 01 +34 056 80000001 00000002 10 = 80000002 10 +34 057 80000001 00000002 11 = 80000002 11 +34 058 FFFFFFFE 00000002 00 = FFFFFE02 00 +34 059 FFFFFFFE 00000002 01 = FFFFFE02 01 +34 05A FFFFFFFE 00000002 10 = FFFFFE02 10 +34 05B FFFFFFFE 00000002 11 = FFFFFE02 11 +34 05C FFFFFFFF 00000002 00 = FFFFFE02 00 +34 05D FFFFFFFF 00000002 01 = FFFFFE02 01 +34 05E FFFFFFFF 00000002 10 = FFFFFE02 10 +34 05F FFFFFFFF 00000002 11 = FFFFFE02 11 +34 060 00000000 7FFFFFFF 00 = 000001FF 00 +34 061 00000000 7FFFFFFF 01 = 000001FF 01 +34 062 00000000 7FFFFFFF 10 = 000001FF 10 +34 063 00000000 7FFFFFFF 11 = 000001FF 11 +34 064 00000001 7FFFFFFF 00 = 000001FF 00 +34 065 00000001 7FFFFFFF 01 = 000001FF 01 +34 066 00000001 7FFFFFFF 10 = 000001FF 10 +34 067 00000001 7FFFFFFF 11 = 000001FF 11 +34 068 00000002 7FFFFFFF 00 = 000001FF 00 +34 069 00000002 7FFFFFFF 01 = 000001FF 01 +34 06A 00000002 7FFFFFFF 10 = 000001FF 10 +34 06B 00000002 7FFFFFFF 11 = 000001FF 11 +34 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +34 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +34 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +34 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +34 070 80000000 7FFFFFFF 00 = 800001FF 00 +34 071 80000000 7FFFFFFF 01 = 800001FF 01 +34 072 80000000 7FFFFFFF 10 = 800001FF 10 +34 073 80000000 7FFFFFFF 11 = 800001FF 11 +34 074 80000001 7FFFFFFF 00 = 800001FF 00 +34 075 80000001 7FFFFFFF 01 = 800001FF 01 +34 076 80000001 7FFFFFFF 10 = 800001FF 10 +34 077 80000001 7FFFFFFF 11 = 800001FF 11 +34 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +34 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 +34 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +34 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 +34 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +34 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +34 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +34 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +34 080 00000000 80000000 00 = 00000000 00 +34 081 00000000 80000000 01 = 00000000 01 +34 082 00000000 80000000 10 = 00000000 10 +34 083 00000000 80000000 11 = 00000000 11 +34 084 00000001 80000000 00 = 00000000 00 +34 085 00000001 80000000 01 = 00000000 01 +34 086 00000001 80000000 10 = 00000000 10 +34 087 00000001 80000000 11 = 00000000 11 +34 088 00000002 80000000 00 = 00000000 00 +34 089 00000002 80000000 01 = 00000000 01 +34 08A 00000002 80000000 10 = 00000000 10 +34 08B 00000002 80000000 11 = 00000000 11 +34 08C 7FFFFFFF 80000000 00 = 7FFFFE00 00 +34 08D 7FFFFFFF 80000000 01 = 7FFFFE00 01 +34 08E 7FFFFFFF 80000000 10 = 7FFFFE00 10 +34 08F 7FFFFFFF 80000000 11 = 7FFFFE00 11 +34 090 80000000 80000000 00 = 80000000 00 +34 091 80000000 80000000 01 = 80000000 01 +34 092 80000000 80000000 10 = 80000000 10 +34 093 80000000 80000000 11 = 80000000 11 +34 094 80000001 80000000 00 = 80000000 00 +34 095 80000001 80000000 01 = 80000000 01 +34 096 80000001 80000000 10 = 80000000 10 +34 097 80000001 80000000 11 = 80000000 11 +34 098 FFFFFFFE 80000000 00 = FFFFFE00 00 +34 099 FFFFFFFE 80000000 01 = FFFFFE00 01 +34 09A FFFFFFFE 80000000 10 = FFFFFE00 10 +34 09B FFFFFFFE 80000000 11 = FFFFFE00 11 +34 09C FFFFFFFF 80000000 00 = FFFFFE00 00 +34 09D FFFFFFFF 80000000 01 = FFFFFE00 01 +34 09E FFFFFFFF 80000000 10 = FFFFFE00 10 +34 09F FFFFFFFF 80000000 11 = FFFFFE00 11 +34 0A0 00000000 80000001 00 = 00000001 00 +34 0A1 00000000 80000001 01 = 00000001 01 +34 0A2 00000000 80000001 10 = 00000001 10 +34 0A3 00000000 80000001 11 = 00000001 11 +34 0A4 00000001 80000001 00 = 00000001 00 +34 0A5 00000001 80000001 01 = 00000001 01 +34 0A6 00000001 80000001 10 = 00000001 10 +34 0A7 00000001 80000001 11 = 00000001 11 +34 0A8 00000002 80000001 00 = 00000001 00 +34 0A9 00000002 80000001 01 = 00000001 01 +34 0AA 00000002 80000001 10 = 00000001 10 +34 0AB 00000002 80000001 11 = 00000001 11 +34 0AC 7FFFFFFF 80000001 00 = 7FFFFE01 00 +34 0AD 7FFFFFFF 80000001 01 = 7FFFFE01 01 +34 0AE 7FFFFFFF 80000001 10 = 7FFFFE01 10 +34 0AF 7FFFFFFF 80000001 11 = 7FFFFE01 11 +34 0B0 80000000 80000001 00 = 80000001 00 +34 0B1 80000000 80000001 01 = 80000001 01 +34 0B2 80000000 80000001 10 = 80000001 10 +34 0B3 80000000 80000001 11 = 80000001 11 +34 0B4 80000001 80000001 00 = 80000001 00 +34 0B5 80000001 80000001 01 = 80000001 01 +34 0B6 80000001 80000001 10 = 80000001 10 +34 0B7 80000001 80000001 11 = 80000001 11 +34 0B8 FFFFFFFE 80000001 00 = FFFFFE01 00 +34 0B9 FFFFFFFE 80000001 01 = FFFFFE01 01 +34 0BA FFFFFFFE 80000001 10 = FFFFFE01 10 +34 0BB FFFFFFFE 80000001 11 = FFFFFE01 11 +34 0BC FFFFFFFF 80000001 00 = FFFFFE01 00 +34 0BD FFFFFFFF 80000001 01 = FFFFFE01 01 +34 0BE FFFFFFFF 80000001 10 = FFFFFE01 10 +34 0BF FFFFFFFF 80000001 11 = FFFFFE01 11 +34 0C0 00000000 FFFFFFFE 00 = 000001FE 00 +34 0C1 00000000 FFFFFFFE 01 = 000001FE 01 +34 0C2 00000000 FFFFFFFE 10 = 000001FE 10 +34 0C3 00000000 FFFFFFFE 11 = 000001FE 11 +34 0C4 00000001 FFFFFFFE 00 = 000001FE 00 +34 0C5 00000001 FFFFFFFE 01 = 000001FE 01 +34 0C6 00000001 FFFFFFFE 10 = 000001FE 10 +34 0C7 00000001 FFFFFFFE 11 = 000001FE 11 +34 0C8 00000002 FFFFFFFE 00 = 000001FE 00 +34 0C9 00000002 FFFFFFFE 01 = 000001FE 01 +34 0CA 00000002 FFFFFFFE 10 = 000001FE 10 +34 0CB 00000002 FFFFFFFE 11 = 000001FE 11 +34 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 +34 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 01 +34 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 10 +34 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 11 +34 0D0 80000000 FFFFFFFE 00 = 800001FE 00 +34 0D1 80000000 FFFFFFFE 01 = 800001FE 01 +34 0D2 80000000 FFFFFFFE 10 = 800001FE 10 +34 0D3 80000000 FFFFFFFE 11 = 800001FE 11 +34 0D4 80000001 FFFFFFFE 00 = 800001FE 00 +34 0D5 80000001 FFFFFFFE 01 = 800001FE 01 +34 0D6 80000001 FFFFFFFE 10 = 800001FE 10 +34 0D7 80000001 FFFFFFFE 11 = 800001FE 11 +34 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +34 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +34 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +34 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +34 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +34 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +34 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +34 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +34 0E0 00000000 FFFFFFFF 00 = 000001FF 00 +34 0E1 00000000 FFFFFFFF 01 = 000001FF 01 +34 0E2 00000000 FFFFFFFF 10 = 000001FF 10 +34 0E3 00000000 FFFFFFFF 11 = 000001FF 11 +34 0E4 00000001 FFFFFFFF 00 = 000001FF 00 +34 0E5 00000001 FFFFFFFF 01 = 000001FF 01 +34 0E6 00000001 FFFFFFFF 10 = 000001FF 10 +34 0E7 00000001 FFFFFFFF 11 = 000001FF 11 +34 0E8 00000002 FFFFFFFF 00 = 000001FF 00 +34 0E9 00000002 FFFFFFFF 01 = 000001FF 01 +34 0EA 00000002 FFFFFFFF 10 = 000001FF 10 +34 0EB 00000002 FFFFFFFF 11 = 000001FF 11 +34 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +34 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +34 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +34 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +34 0F0 80000000 FFFFFFFF 00 = 800001FF 00 +34 0F1 80000000 FFFFFFFF 01 = 800001FF 01 +34 0F2 80000000 FFFFFFFF 10 = 800001FF 10 +34 0F3 80000000 FFFFFFFF 11 = 800001FF 11 +34 0F4 80000001 FFFFFFFF 00 = 800001FF 00 +34 0F5 80000001 FFFFFFFF 01 = 800001FF 01 +34 0F6 80000001 FFFFFFFF 10 = 800001FF 10 +34 0F7 80000001 FFFFFFFF 11 = 800001FF 11 +34 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +34 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +34 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +34 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +34 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +34 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +34 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +34 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +decod ---D---- ---S---- CZ = ---Q---- CZ +35 000 00000000 00000000 00 = 00000001 00 +35 001 00000000 00000000 01 = 00000001 01 +35 002 00000000 00000000 10 = 00000001 10 +35 003 00000000 00000000 11 = 00000001 11 +35 004 00000001 00000000 00 = 00000001 00 +35 005 00000001 00000000 01 = 00000001 01 +35 006 00000001 00000000 10 = 00000001 10 +35 007 00000001 00000000 11 = 00000001 11 +35 008 00000002 00000000 00 = 00000001 00 +35 009 00000002 00000000 01 = 00000001 01 +35 00A 00000002 00000000 10 = 00000001 10 +35 00B 00000002 00000000 11 = 00000001 11 +35 00C 7FFFFFFF 00000000 00 = 00000001 00 +35 00D 7FFFFFFF 00000000 01 = 00000001 01 +35 00E 7FFFFFFF 00000000 10 = 00000001 10 +35 00F 7FFFFFFF 00000000 11 = 00000001 11 +35 010 80000000 00000000 00 = 00000001 00 +35 011 80000000 00000000 01 = 00000001 01 +35 012 80000000 00000000 10 = 00000001 10 +35 013 80000000 00000000 11 = 00000001 11 +35 014 80000001 00000000 00 = 00000001 00 +35 015 80000001 00000000 01 = 00000001 01 +35 016 80000001 00000000 10 = 00000001 10 +35 017 80000001 00000000 11 = 00000001 11 +35 018 FFFFFFFE 00000000 00 = 00000001 00 +35 019 FFFFFFFE 00000000 01 = 00000001 01 +35 01A FFFFFFFE 00000000 10 = 00000001 10 +35 01B FFFFFFFE 00000000 11 = 00000001 11 +35 01C FFFFFFFF 00000000 00 = 00000001 00 +35 01D FFFFFFFF 00000000 01 = 00000001 01 +35 01E FFFFFFFF 00000000 10 = 00000001 10 +35 01F FFFFFFFF 00000000 11 = 00000001 11 +35 020 00000000 00000001 00 = 00000002 00 +35 021 00000000 00000001 01 = 00000002 01 +35 022 00000000 00000001 10 = 00000002 10 +35 023 00000000 00000001 11 = 00000002 11 +35 024 00000001 00000001 00 = 00000002 00 +35 025 00000001 00000001 01 = 00000002 01 +35 026 00000001 00000001 10 = 00000002 10 +35 027 00000001 00000001 11 = 00000002 11 +35 028 00000002 00000001 00 = 00000002 00 +35 029 00000002 00000001 01 = 00000002 01 +35 02A 00000002 00000001 10 = 00000002 10 +35 02B 00000002 00000001 11 = 00000002 11 +35 02C 7FFFFFFF 00000001 00 = 00000002 00 +35 02D 7FFFFFFF 00000001 01 = 00000002 01 +35 02E 7FFFFFFF 00000001 10 = 00000002 10 +35 02F 7FFFFFFF 00000001 11 = 00000002 11 +35 030 80000000 00000001 00 = 00000002 00 +35 031 80000000 00000001 01 = 00000002 01 +35 032 80000000 00000001 10 = 00000002 10 +35 033 80000000 00000001 11 = 00000002 11 +35 034 80000001 00000001 00 = 00000002 00 +35 035 80000001 00000001 01 = 00000002 01 +35 036 80000001 00000001 10 = 00000002 10 +35 037 80000001 00000001 11 = 00000002 11 +35 038 FFFFFFFE 00000001 00 = 00000002 00 +35 039 FFFFFFFE 00000001 01 = 00000002 01 +35 03A FFFFFFFE 00000001 10 = 00000002 10 +35 03B FFFFFFFE 00000001 11 = 00000002 11 +35 03C FFFFFFFF 00000001 00 = 00000002 00 +35 03D FFFFFFFF 00000001 01 = 00000002 01 +35 03E FFFFFFFF 00000001 10 = 00000002 10 +35 03F FFFFFFFF 00000001 11 = 00000002 11 +35 040 00000000 00000002 00 = 00000004 00 +35 041 00000000 00000002 01 = 00000004 01 +35 042 00000000 00000002 10 = 00000004 10 +35 043 00000000 00000002 11 = 00000004 11 +35 044 00000001 00000002 00 = 00000004 00 +35 045 00000001 00000002 01 = 00000004 01 +35 046 00000001 00000002 10 = 00000004 10 +35 047 00000001 00000002 11 = 00000004 11 +35 048 00000002 00000002 00 = 00000004 00 +35 049 00000002 00000002 01 = 00000004 01 +35 04A 00000002 00000002 10 = 00000004 10 +35 04B 00000002 00000002 11 = 00000004 11 +35 04C 7FFFFFFF 00000002 00 = 00000004 00 +35 04D 7FFFFFFF 00000002 01 = 00000004 01 +35 04E 7FFFFFFF 00000002 10 = 00000004 10 +35 04F 7FFFFFFF 00000002 11 = 00000004 11 +35 050 80000000 00000002 00 = 00000004 00 +35 051 80000000 00000002 01 = 00000004 01 +35 052 80000000 00000002 10 = 00000004 10 +35 053 80000000 00000002 11 = 00000004 11 +35 054 80000001 00000002 00 = 00000004 00 +35 055 80000001 00000002 01 = 00000004 01 +35 056 80000001 00000002 10 = 00000004 10 +35 057 80000001 00000002 11 = 00000004 11 +35 058 FFFFFFFE 00000002 00 = 00000004 00 +35 059 FFFFFFFE 00000002 01 = 00000004 01 +35 05A FFFFFFFE 00000002 10 = 00000004 10 +35 05B FFFFFFFE 00000002 11 = 00000004 11 +35 05C FFFFFFFF 00000002 00 = 00000004 00 +35 05D FFFFFFFF 00000002 01 = 00000004 01 +35 05E FFFFFFFF 00000002 10 = 00000004 10 +35 05F FFFFFFFF 00000002 11 = 00000004 11 +35 060 00000000 7FFFFFFF 00 = 80000000 00 +35 061 00000000 7FFFFFFF 01 = 80000000 01 +35 062 00000000 7FFFFFFF 10 = 80000000 10 +35 063 00000000 7FFFFFFF 11 = 80000000 11 +35 064 00000001 7FFFFFFF 00 = 80000000 00 +35 065 00000001 7FFFFFFF 01 = 80000000 01 +35 066 00000001 7FFFFFFF 10 = 80000000 10 +35 067 00000001 7FFFFFFF 11 = 80000000 11 +35 068 00000002 7FFFFFFF 00 = 80000000 00 +35 069 00000002 7FFFFFFF 01 = 80000000 01 +35 06A 00000002 7FFFFFFF 10 = 80000000 10 +35 06B 00000002 7FFFFFFF 11 = 80000000 11 +35 06C 7FFFFFFF 7FFFFFFF 00 = 80000000 00 +35 06D 7FFFFFFF 7FFFFFFF 01 = 80000000 01 +35 06E 7FFFFFFF 7FFFFFFF 10 = 80000000 10 +35 06F 7FFFFFFF 7FFFFFFF 11 = 80000000 11 +35 070 80000000 7FFFFFFF 00 = 80000000 00 +35 071 80000000 7FFFFFFF 01 = 80000000 01 +35 072 80000000 7FFFFFFF 10 = 80000000 10 +35 073 80000000 7FFFFFFF 11 = 80000000 11 +35 074 80000001 7FFFFFFF 00 = 80000000 00 +35 075 80000001 7FFFFFFF 01 = 80000000 01 +35 076 80000001 7FFFFFFF 10 = 80000000 10 +35 077 80000001 7FFFFFFF 11 = 80000000 11 +35 078 FFFFFFFE 7FFFFFFF 00 = 80000000 00 +35 079 FFFFFFFE 7FFFFFFF 01 = 80000000 01 +35 07A FFFFFFFE 7FFFFFFF 10 = 80000000 10 +35 07B FFFFFFFE 7FFFFFFF 11 = 80000000 11 +35 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 +35 07D FFFFFFFF 7FFFFFFF 01 = 80000000 01 +35 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +35 07F FFFFFFFF 7FFFFFFF 11 = 80000000 11 +35 080 00000000 80000000 00 = 00000001 00 +35 081 00000000 80000000 01 = 00000001 01 +35 082 00000000 80000000 10 = 00000001 10 +35 083 00000000 80000000 11 = 00000001 11 +35 084 00000001 80000000 00 = 00000001 00 +35 085 00000001 80000000 01 = 00000001 01 +35 086 00000001 80000000 10 = 00000001 10 +35 087 00000001 80000000 11 = 00000001 11 +35 088 00000002 80000000 00 = 00000001 00 +35 089 00000002 80000000 01 = 00000001 01 +35 08A 00000002 80000000 10 = 00000001 10 +35 08B 00000002 80000000 11 = 00000001 11 +35 08C 7FFFFFFF 80000000 00 = 00000001 00 +35 08D 7FFFFFFF 80000000 01 = 00000001 01 +35 08E 7FFFFFFF 80000000 10 = 00000001 10 +35 08F 7FFFFFFF 80000000 11 = 00000001 11 +35 090 80000000 80000000 00 = 00000001 00 +35 091 80000000 80000000 01 = 00000001 01 +35 092 80000000 80000000 10 = 00000001 10 +35 093 80000000 80000000 11 = 00000001 11 +35 094 80000001 80000000 00 = 00000001 00 +35 095 80000001 80000000 01 = 00000001 01 +35 096 80000001 80000000 10 = 00000001 10 +35 097 80000001 80000000 11 = 00000001 11 +35 098 FFFFFFFE 80000000 00 = 00000001 00 +35 099 FFFFFFFE 80000000 01 = 00000001 01 +35 09A FFFFFFFE 80000000 10 = 00000001 10 +35 09B FFFFFFFE 80000000 11 = 00000001 11 +35 09C FFFFFFFF 80000000 00 = 00000001 00 +35 09D FFFFFFFF 80000000 01 = 00000001 01 +35 09E FFFFFFFF 80000000 10 = 00000001 10 +35 09F FFFFFFFF 80000000 11 = 00000001 11 +35 0A0 00000000 80000001 00 = 00000002 00 +35 0A1 00000000 80000001 01 = 00000002 01 +35 0A2 00000000 80000001 10 = 00000002 10 +35 0A3 00000000 80000001 11 = 00000002 11 +35 0A4 00000001 80000001 00 = 00000002 00 +35 0A5 00000001 80000001 01 = 00000002 01 +35 0A6 00000001 80000001 10 = 00000002 10 +35 0A7 00000001 80000001 11 = 00000002 11 +35 0A8 00000002 80000001 00 = 00000002 00 +35 0A9 00000002 80000001 01 = 00000002 01 +35 0AA 00000002 80000001 10 = 00000002 10 +35 0AB 00000002 80000001 11 = 00000002 11 +35 0AC 7FFFFFFF 80000001 00 = 00000002 00 +35 0AD 7FFFFFFF 80000001 01 = 00000002 01 +35 0AE 7FFFFFFF 80000001 10 = 00000002 10 +35 0AF 7FFFFFFF 80000001 11 = 00000002 11 +35 0B0 80000000 80000001 00 = 00000002 00 +35 0B1 80000000 80000001 01 = 00000002 01 +35 0B2 80000000 80000001 10 = 00000002 10 +35 0B3 80000000 80000001 11 = 00000002 11 +35 0B4 80000001 80000001 00 = 00000002 00 +35 0B5 80000001 80000001 01 = 00000002 01 +35 0B6 80000001 80000001 10 = 00000002 10 +35 0B7 80000001 80000001 11 = 00000002 11 +35 0B8 FFFFFFFE 80000001 00 = 00000002 00 +35 0B9 FFFFFFFE 80000001 01 = 00000002 01 +35 0BA FFFFFFFE 80000001 10 = 00000002 10 +35 0BB FFFFFFFE 80000001 11 = 00000002 11 +35 0BC FFFFFFFF 80000001 00 = 00000002 00 +35 0BD FFFFFFFF 80000001 01 = 00000002 01 +35 0BE FFFFFFFF 80000001 10 = 00000002 10 +35 0BF FFFFFFFF 80000001 11 = 00000002 11 +35 0C0 00000000 FFFFFFFE 00 = 40000000 00 +35 0C1 00000000 FFFFFFFE 01 = 40000000 01 +35 0C2 00000000 FFFFFFFE 10 = 40000000 10 +35 0C3 00000000 FFFFFFFE 11 = 40000000 11 +35 0C4 00000001 FFFFFFFE 00 = 40000000 00 +35 0C5 00000001 FFFFFFFE 01 = 40000000 01 +35 0C6 00000001 FFFFFFFE 10 = 40000000 10 +35 0C7 00000001 FFFFFFFE 11 = 40000000 11 +35 0C8 00000002 FFFFFFFE 00 = 40000000 00 +35 0C9 00000002 FFFFFFFE 01 = 40000000 01 +35 0CA 00000002 FFFFFFFE 10 = 40000000 10 +35 0CB 00000002 FFFFFFFE 11 = 40000000 11 +35 0CC 7FFFFFFF FFFFFFFE 00 = 40000000 00 +35 0CD 7FFFFFFF FFFFFFFE 01 = 40000000 01 +35 0CE 7FFFFFFF FFFFFFFE 10 = 40000000 10 +35 0CF 7FFFFFFF FFFFFFFE 11 = 40000000 11 +35 0D0 80000000 FFFFFFFE 00 = 40000000 00 +35 0D1 80000000 FFFFFFFE 01 = 40000000 01 +35 0D2 80000000 FFFFFFFE 10 = 40000000 10 +35 0D3 80000000 FFFFFFFE 11 = 40000000 11 +35 0D4 80000001 FFFFFFFE 00 = 40000000 00 +35 0D5 80000001 FFFFFFFE 01 = 40000000 01 +35 0D6 80000001 FFFFFFFE 10 = 40000000 10 +35 0D7 80000001 FFFFFFFE 11 = 40000000 11 +35 0D8 FFFFFFFE FFFFFFFE 00 = 40000000 00 +35 0D9 FFFFFFFE FFFFFFFE 01 = 40000000 01 +35 0DA FFFFFFFE FFFFFFFE 10 = 40000000 10 +35 0DB FFFFFFFE FFFFFFFE 11 = 40000000 11 +35 0DC FFFFFFFF FFFFFFFE 00 = 40000000 00 +35 0DD FFFFFFFF FFFFFFFE 01 = 40000000 01 +35 0DE FFFFFFFF FFFFFFFE 10 = 40000000 10 +35 0DF FFFFFFFF FFFFFFFE 11 = 40000000 11 +35 0E0 00000000 FFFFFFFF 00 = 80000000 00 +35 0E1 00000000 FFFFFFFF 01 = 80000000 01 +35 0E2 00000000 FFFFFFFF 10 = 80000000 10 +35 0E3 00000000 FFFFFFFF 11 = 80000000 11 +35 0E4 00000001 FFFFFFFF 00 = 80000000 00 +35 0E5 00000001 FFFFFFFF 01 = 80000000 01 +35 0E6 00000001 FFFFFFFF 10 = 80000000 10 +35 0E7 00000001 FFFFFFFF 11 = 80000000 11 +35 0E8 00000002 FFFFFFFF 00 = 80000000 00 +35 0E9 00000002 FFFFFFFF 01 = 80000000 01 +35 0EA 00000002 FFFFFFFF 10 = 80000000 10 +35 0EB 00000002 FFFFFFFF 11 = 80000000 11 +35 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 00 +35 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 01 +35 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 +35 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 11 +35 0F0 80000000 FFFFFFFF 00 = 80000000 00 +35 0F1 80000000 FFFFFFFF 01 = 80000000 01 +35 0F2 80000000 FFFFFFFF 10 = 80000000 10 +35 0F3 80000000 FFFFFFFF 11 = 80000000 11 +35 0F4 80000001 FFFFFFFF 00 = 80000000 00 +35 0F5 80000001 FFFFFFFF 01 = 80000000 01 +35 0F6 80000001 FFFFFFFF 10 = 80000000 10 +35 0F7 80000001 FFFFFFFF 11 = 80000000 11 +35 0F8 FFFFFFFE FFFFFFFF 00 = 80000000 00 +35 0F9 FFFFFFFE FFFFFFFF 01 = 80000000 01 +35 0FA FFFFFFFE FFFFFFFF 10 = 80000000 10 +35 0FB FFFFFFFE FFFFFFFF 11 = 80000000 11 +35 0FC FFFFFFFF FFFFFFFF 00 = 80000000 00 +35 0FD FFFFFFFF FFFFFFFF 01 = 80000000 01 +35 0FE FFFFFFFF FFFFFFFF 10 = 80000000 10 +35 0FF FFFFFFFF FFFFFFFF 11 = 80000000 11 +bmask ---D---- ---S---- CZ = ---Q---- CZ +36 000 00000000 00000000 00 = 00000001 00 +36 001 00000000 00000000 01 = 00000001 01 +36 002 00000000 00000000 10 = 00000001 10 +36 003 00000000 00000000 11 = 00000001 11 +36 004 00000001 00000000 00 = 00000001 00 +36 005 00000001 00000000 01 = 00000001 01 +36 006 00000001 00000000 10 = 00000001 10 +36 007 00000001 00000000 11 = 00000001 11 +36 008 00000002 00000000 00 = 00000001 00 +36 009 00000002 00000000 01 = 00000001 01 +36 00A 00000002 00000000 10 = 00000001 10 +36 00B 00000002 00000000 11 = 00000001 11 +36 00C 7FFFFFFF 00000000 00 = 00000001 00 +36 00D 7FFFFFFF 00000000 01 = 00000001 01 +36 00E 7FFFFFFF 00000000 10 = 00000001 10 +36 00F 7FFFFFFF 00000000 11 = 00000001 11 +36 010 80000000 00000000 00 = 00000001 00 +36 011 80000000 00000000 01 = 00000001 01 +36 012 80000000 00000000 10 = 00000001 10 +36 013 80000000 00000000 11 = 00000001 11 +36 014 80000001 00000000 00 = 00000001 00 +36 015 80000001 00000000 01 = 00000001 01 +36 016 80000001 00000000 10 = 00000001 10 +36 017 80000001 00000000 11 = 00000001 11 +36 018 FFFFFFFE 00000000 00 = 00000001 00 +36 019 FFFFFFFE 00000000 01 = 00000001 01 +36 01A FFFFFFFE 00000000 10 = 00000001 10 +36 01B FFFFFFFE 00000000 11 = 00000001 11 +36 01C FFFFFFFF 00000000 00 = 00000001 00 +36 01D FFFFFFFF 00000000 01 = 00000001 01 +36 01E FFFFFFFF 00000000 10 = 00000001 10 +36 01F FFFFFFFF 00000000 11 = 00000001 11 +36 020 00000000 00000001 00 = 00000003 00 +36 021 00000000 00000001 01 = 00000003 01 +36 022 00000000 00000001 10 = 00000003 10 +36 023 00000000 00000001 11 = 00000003 11 +36 024 00000001 00000001 00 = 00000003 00 +36 025 00000001 00000001 01 = 00000003 01 +36 026 00000001 00000001 10 = 00000003 10 +36 027 00000001 00000001 11 = 00000003 11 +36 028 00000002 00000001 00 = 00000003 00 +36 029 00000002 00000001 01 = 00000003 01 +36 02A 00000002 00000001 10 = 00000003 10 +36 02B 00000002 00000001 11 = 00000003 11 +36 02C 7FFFFFFF 00000001 00 = 00000003 00 +36 02D 7FFFFFFF 00000001 01 = 00000003 01 +36 02E 7FFFFFFF 00000001 10 = 00000003 10 +36 02F 7FFFFFFF 00000001 11 = 00000003 11 +36 030 80000000 00000001 00 = 00000003 00 +36 031 80000000 00000001 01 = 00000003 01 +36 032 80000000 00000001 10 = 00000003 10 +36 033 80000000 00000001 11 = 00000003 11 +36 034 80000001 00000001 00 = 00000003 00 +36 035 80000001 00000001 01 = 00000003 01 +36 036 80000001 00000001 10 = 00000003 10 +36 037 80000001 00000001 11 = 00000003 11 +36 038 FFFFFFFE 00000001 00 = 00000003 00 +36 039 FFFFFFFE 00000001 01 = 00000003 01 +36 03A FFFFFFFE 00000001 10 = 00000003 10 +36 03B FFFFFFFE 00000001 11 = 00000003 11 +36 03C FFFFFFFF 00000001 00 = 00000003 00 +36 03D FFFFFFFF 00000001 01 = 00000003 01 +36 03E FFFFFFFF 00000001 10 = 00000003 10 +36 03F FFFFFFFF 00000001 11 = 00000003 11 +36 040 00000000 00000002 00 = 00000007 00 +36 041 00000000 00000002 01 = 00000007 01 +36 042 00000000 00000002 10 = 00000007 10 +36 043 00000000 00000002 11 = 00000007 11 +36 044 00000001 00000002 00 = 00000007 00 +36 045 00000001 00000002 01 = 00000007 01 +36 046 00000001 00000002 10 = 00000007 10 +36 047 00000001 00000002 11 = 00000007 11 +36 048 00000002 00000002 00 = 00000007 00 +36 049 00000002 00000002 01 = 00000007 01 +36 04A 00000002 00000002 10 = 00000007 10 +36 04B 00000002 00000002 11 = 00000007 11 +36 04C 7FFFFFFF 00000002 00 = 00000007 00 +36 04D 7FFFFFFF 00000002 01 = 00000007 01 +36 04E 7FFFFFFF 00000002 10 = 00000007 10 +36 04F 7FFFFFFF 00000002 11 = 00000007 11 +36 050 80000000 00000002 00 = 00000007 00 +36 051 80000000 00000002 01 = 00000007 01 +36 052 80000000 00000002 10 = 00000007 10 +36 053 80000000 00000002 11 = 00000007 11 +36 054 80000001 00000002 00 = 00000007 00 +36 055 80000001 00000002 01 = 00000007 01 +36 056 80000001 00000002 10 = 00000007 10 +36 057 80000001 00000002 11 = 00000007 11 +36 058 FFFFFFFE 00000002 00 = 00000007 00 +36 059 FFFFFFFE 00000002 01 = 00000007 01 +36 05A FFFFFFFE 00000002 10 = 00000007 10 +36 05B FFFFFFFE 00000002 11 = 00000007 11 +36 05C FFFFFFFF 00000002 00 = 00000007 00 +36 05D FFFFFFFF 00000002 01 = 00000007 01 +36 05E FFFFFFFF 00000002 10 = 00000007 10 +36 05F FFFFFFFF 00000002 11 = 00000007 11 +36 060 00000000 7FFFFFFF 00 = FFFFFFFF 00 +36 061 00000000 7FFFFFFF 01 = FFFFFFFF 01 +36 062 00000000 7FFFFFFF 10 = FFFFFFFF 10 +36 063 00000000 7FFFFFFF 11 = FFFFFFFF 11 +36 064 00000001 7FFFFFFF 00 = FFFFFFFF 00 +36 065 00000001 7FFFFFFF 01 = FFFFFFFF 01 +36 066 00000001 7FFFFFFF 10 = FFFFFFFF 10 +36 067 00000001 7FFFFFFF 11 = FFFFFFFF 11 +36 068 00000002 7FFFFFFF 00 = FFFFFFFF 00 +36 069 00000002 7FFFFFFF 01 = FFFFFFFF 01 +36 06A 00000002 7FFFFFFF 10 = FFFFFFFF 10 +36 06B 00000002 7FFFFFFF 11 = FFFFFFFF 11 +36 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +36 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +36 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +36 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +36 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +36 071 80000000 7FFFFFFF 01 = FFFFFFFF 01 +36 072 80000000 7FFFFFFF 10 = FFFFFFFF 10 +36 073 80000000 7FFFFFFF 11 = FFFFFFFF 11 +36 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 +36 075 80000001 7FFFFFFF 01 = FFFFFFFF 01 +36 076 80000001 7FFFFFFF 10 = FFFFFFFF 10 +36 077 80000001 7FFFFFFF 11 = FFFFFFFF 11 +36 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +36 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 +36 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +36 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 +36 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +36 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +36 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +36 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +36 080 00000000 80000000 00 = 00000001 00 +36 081 00000000 80000000 01 = 00000001 01 +36 082 00000000 80000000 10 = 00000001 10 +36 083 00000000 80000000 11 = 00000001 11 +36 084 00000001 80000000 00 = 00000001 00 +36 085 00000001 80000000 01 = 00000001 01 +36 086 00000001 80000000 10 = 00000001 10 +36 087 00000001 80000000 11 = 00000001 11 +36 088 00000002 80000000 00 = 00000001 00 +36 089 00000002 80000000 01 = 00000001 01 +36 08A 00000002 80000000 10 = 00000001 10 +36 08B 00000002 80000000 11 = 00000001 11 +36 08C 7FFFFFFF 80000000 00 = 00000001 00 +36 08D 7FFFFFFF 80000000 01 = 00000001 01 +36 08E 7FFFFFFF 80000000 10 = 00000001 10 +36 08F 7FFFFFFF 80000000 11 = 00000001 11 +36 090 80000000 80000000 00 = 00000001 00 +36 091 80000000 80000000 01 = 00000001 01 +36 092 80000000 80000000 10 = 00000001 10 +36 093 80000000 80000000 11 = 00000001 11 +36 094 80000001 80000000 00 = 00000001 00 +36 095 80000001 80000000 01 = 00000001 01 +36 096 80000001 80000000 10 = 00000001 10 +36 097 80000001 80000000 11 = 00000001 11 +36 098 FFFFFFFE 80000000 00 = 00000001 00 +36 099 FFFFFFFE 80000000 01 = 00000001 01 +36 09A FFFFFFFE 80000000 10 = 00000001 10 +36 09B FFFFFFFE 80000000 11 = 00000001 11 +36 09C FFFFFFFF 80000000 00 = 00000001 00 +36 09D FFFFFFFF 80000000 01 = 00000001 01 +36 09E FFFFFFFF 80000000 10 = 00000001 10 +36 09F FFFFFFFF 80000000 11 = 00000001 11 +36 0A0 00000000 80000001 00 = 00000003 00 +36 0A1 00000000 80000001 01 = 00000003 01 +36 0A2 00000000 80000001 10 = 00000003 10 +36 0A3 00000000 80000001 11 = 00000003 11 +36 0A4 00000001 80000001 00 = 00000003 00 +36 0A5 00000001 80000001 01 = 00000003 01 +36 0A6 00000001 80000001 10 = 00000003 10 +36 0A7 00000001 80000001 11 = 00000003 11 +36 0A8 00000002 80000001 00 = 00000003 00 +36 0A9 00000002 80000001 01 = 00000003 01 +36 0AA 00000002 80000001 10 = 00000003 10 +36 0AB 00000002 80000001 11 = 00000003 11 +36 0AC 7FFFFFFF 80000001 00 = 00000003 00 +36 0AD 7FFFFFFF 80000001 01 = 00000003 01 +36 0AE 7FFFFFFF 80000001 10 = 00000003 10 +36 0AF 7FFFFFFF 80000001 11 = 00000003 11 +36 0B0 80000000 80000001 00 = 00000003 00 +36 0B1 80000000 80000001 01 = 00000003 01 +36 0B2 80000000 80000001 10 = 00000003 10 +36 0B3 80000000 80000001 11 = 00000003 11 +36 0B4 80000001 80000001 00 = 00000003 00 +36 0B5 80000001 80000001 01 = 00000003 01 +36 0B6 80000001 80000001 10 = 00000003 10 +36 0B7 80000001 80000001 11 = 00000003 11 +36 0B8 FFFFFFFE 80000001 00 = 00000003 00 +36 0B9 FFFFFFFE 80000001 01 = 00000003 01 +36 0BA FFFFFFFE 80000001 10 = 00000003 10 +36 0BB FFFFFFFE 80000001 11 = 00000003 11 +36 0BC FFFFFFFF 80000001 00 = 00000003 00 +36 0BD FFFFFFFF 80000001 01 = 00000003 01 +36 0BE FFFFFFFF 80000001 10 = 00000003 10 +36 0BF FFFFFFFF 80000001 11 = 00000003 11 +36 0C0 00000000 FFFFFFFE 00 = 7FFFFFFF 00 +36 0C1 00000000 FFFFFFFE 01 = 7FFFFFFF 01 +36 0C2 00000000 FFFFFFFE 10 = 7FFFFFFF 10 +36 0C3 00000000 FFFFFFFE 11 = 7FFFFFFF 11 +36 0C4 00000001 FFFFFFFE 00 = 7FFFFFFF 00 +36 0C5 00000001 FFFFFFFE 01 = 7FFFFFFF 01 +36 0C6 00000001 FFFFFFFE 10 = 7FFFFFFF 10 +36 0C7 00000001 FFFFFFFE 11 = 7FFFFFFF 11 +36 0C8 00000002 FFFFFFFE 00 = 7FFFFFFF 00 +36 0C9 00000002 FFFFFFFE 01 = 7FFFFFFF 01 +36 0CA 00000002 FFFFFFFE 10 = 7FFFFFFF 10 +36 0CB 00000002 FFFFFFFE 11 = 7FFFFFFF 11 +36 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +36 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 01 +36 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +36 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +36 0D0 80000000 FFFFFFFE 00 = 7FFFFFFF 00 +36 0D1 80000000 FFFFFFFE 01 = 7FFFFFFF 01 +36 0D2 80000000 FFFFFFFE 10 = 7FFFFFFF 10 +36 0D3 80000000 FFFFFFFE 11 = 7FFFFFFF 11 +36 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 00 +36 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 01 +36 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 +36 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 11 +36 0D8 FFFFFFFE FFFFFFFE 00 = 7FFFFFFF 00 +36 0D9 FFFFFFFE FFFFFFFE 01 = 7FFFFFFF 01 +36 0DA FFFFFFFE FFFFFFFE 10 = 7FFFFFFF 10 +36 0DB FFFFFFFE FFFFFFFE 11 = 7FFFFFFF 11 +36 0DC FFFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +36 0DD FFFFFFFF FFFFFFFE 01 = 7FFFFFFF 01 +36 0DE FFFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +36 0DF FFFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +36 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +36 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 01 +36 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +36 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 11 +36 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 +36 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 01 +36 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +36 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 11 +36 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 00 +36 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 01 +36 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 +36 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 11 +36 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +36 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +36 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +36 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +36 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 +36 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 01 +36 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 +36 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 11 +36 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +36 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 01 +36 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +36 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 11 +36 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +36 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +36 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +36 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +36 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +36 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +36 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +36 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +zerox ---D---- ---S---- CZ = ---Q---- CZ +37 000 00000000 00000000 00 = 00000000 00 +37 001 00000000 00000000 01 = 00000000 01 +37 002 00000000 00000000 10 = 00000000 10 +37 003 00000000 00000000 11 = 00000000 11 +37 004 00000001 00000000 00 = 00000001 00 +37 005 00000001 00000000 01 = 00000001 01 +37 006 00000001 00000000 10 = 00000001 10 +37 007 00000001 00000000 11 = 00000001 11 +37 008 00000002 00000000 00 = 00000000 00 +37 009 00000002 00000000 01 = 00000000 01 +37 00A 00000002 00000000 10 = 00000000 10 +37 00B 00000002 00000000 11 = 00000000 11 +37 00C 7FFFFFFF 00000000 00 = 00000001 00 +37 00D 7FFFFFFF 00000000 01 = 00000001 01 +37 00E 7FFFFFFF 00000000 10 = 00000001 10 +37 00F 7FFFFFFF 00000000 11 = 00000001 11 +37 010 80000000 00000000 00 = 00000000 00 +37 011 80000000 00000000 01 = 00000000 01 +37 012 80000000 00000000 10 = 00000000 10 +37 013 80000000 00000000 11 = 00000000 11 +37 014 80000001 00000000 00 = 00000001 00 +37 015 80000001 00000000 01 = 00000001 01 +37 016 80000001 00000000 10 = 00000001 10 +37 017 80000001 00000000 11 = 00000001 11 +37 018 FFFFFFFE 00000000 00 = 00000000 00 +37 019 FFFFFFFE 00000000 01 = 00000000 01 +37 01A FFFFFFFE 00000000 10 = 00000000 10 +37 01B FFFFFFFE 00000000 11 = 00000000 11 +37 01C FFFFFFFF 00000000 00 = 00000001 00 +37 01D FFFFFFFF 00000000 01 = 00000001 01 +37 01E FFFFFFFF 00000000 10 = 00000001 10 +37 01F FFFFFFFF 00000000 11 = 00000001 11 +37 020 00000000 00000001 00 = 00000000 00 +37 021 00000000 00000001 01 = 00000000 01 +37 022 00000000 00000001 10 = 00000000 10 +37 023 00000000 00000001 11 = 00000000 11 +37 024 00000001 00000001 00 = 00000001 00 +37 025 00000001 00000001 01 = 00000001 01 +37 026 00000001 00000001 10 = 00000001 10 +37 027 00000001 00000001 11 = 00000001 11 +37 028 00000002 00000001 00 = 00000002 00 +37 029 00000002 00000001 01 = 00000002 01 +37 02A 00000002 00000001 10 = 00000002 10 +37 02B 00000002 00000001 11 = 00000002 11 +37 02C 7FFFFFFF 00000001 00 = 00000003 00 +37 02D 7FFFFFFF 00000001 01 = 00000003 01 +37 02E 7FFFFFFF 00000001 10 = 00000003 10 +37 02F 7FFFFFFF 00000001 11 = 00000003 11 +37 030 80000000 00000001 00 = 00000000 00 +37 031 80000000 00000001 01 = 00000000 01 +37 032 80000000 00000001 10 = 00000000 10 +37 033 80000000 00000001 11 = 00000000 11 +37 034 80000001 00000001 00 = 00000001 00 +37 035 80000001 00000001 01 = 00000001 01 +37 036 80000001 00000001 10 = 00000001 10 +37 037 80000001 00000001 11 = 00000001 11 +37 038 FFFFFFFE 00000001 00 = 00000002 00 +37 039 FFFFFFFE 00000001 01 = 00000002 01 +37 03A FFFFFFFE 00000001 10 = 00000002 10 +37 03B FFFFFFFE 00000001 11 = 00000002 11 +37 03C FFFFFFFF 00000001 00 = 00000003 00 +37 03D FFFFFFFF 00000001 01 = 00000003 01 +37 03E FFFFFFFF 00000001 10 = 00000003 10 +37 03F FFFFFFFF 00000001 11 = 00000003 11 +37 040 00000000 00000002 00 = 00000000 00 +37 041 00000000 00000002 01 = 00000000 01 +37 042 00000000 00000002 10 = 00000000 10 +37 043 00000000 00000002 11 = 00000000 11 +37 044 00000001 00000002 00 = 00000001 00 +37 045 00000001 00000002 01 = 00000001 01 +37 046 00000001 00000002 10 = 00000001 10 +37 047 00000001 00000002 11 = 00000001 11 +37 048 00000002 00000002 00 = 00000002 00 +37 049 00000002 00000002 01 = 00000002 01 +37 04A 00000002 00000002 10 = 00000002 10 +37 04B 00000002 00000002 11 = 00000002 11 +37 04C 7FFFFFFF 00000002 00 = 00000007 00 +37 04D 7FFFFFFF 00000002 01 = 00000007 01 +37 04E 7FFFFFFF 00000002 10 = 00000007 10 +37 04F 7FFFFFFF 00000002 11 = 00000007 11 +37 050 80000000 00000002 00 = 00000000 00 +37 051 80000000 00000002 01 = 00000000 01 +37 052 80000000 00000002 10 = 00000000 10 +37 053 80000000 00000002 11 = 00000000 11 +37 054 80000001 00000002 00 = 00000001 00 +37 055 80000001 00000002 01 = 00000001 01 +37 056 80000001 00000002 10 = 00000001 10 +37 057 80000001 00000002 11 = 00000001 11 +37 058 FFFFFFFE 00000002 00 = 00000006 00 +37 059 FFFFFFFE 00000002 01 = 00000006 01 +37 05A FFFFFFFE 00000002 10 = 00000006 10 +37 05B FFFFFFFE 00000002 11 = 00000006 11 +37 05C FFFFFFFF 00000002 00 = 00000007 00 +37 05D FFFFFFFF 00000002 01 = 00000007 01 +37 05E FFFFFFFF 00000002 10 = 00000007 10 +37 05F FFFFFFFF 00000002 11 = 00000007 11 +37 060 00000000 7FFFFFFF 00 = 00000000 00 +37 061 00000000 7FFFFFFF 01 = 00000000 01 +37 062 00000000 7FFFFFFF 10 = 00000000 10 +37 063 00000000 7FFFFFFF 11 = 00000000 11 +37 064 00000001 7FFFFFFF 00 = 00000001 00 +37 065 00000001 7FFFFFFF 01 = 00000001 01 +37 066 00000001 7FFFFFFF 10 = 00000001 10 +37 067 00000001 7FFFFFFF 11 = 00000001 11 +37 068 00000002 7FFFFFFF 00 = 00000002 00 +37 069 00000002 7FFFFFFF 01 = 00000002 01 +37 06A 00000002 7FFFFFFF 10 = 00000002 10 +37 06B 00000002 7FFFFFFF 11 = 00000002 11 +37 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +37 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +37 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +37 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +37 070 80000000 7FFFFFFF 00 = 80000000 00 +37 071 80000000 7FFFFFFF 01 = 80000000 01 +37 072 80000000 7FFFFFFF 10 = 80000000 10 +37 073 80000000 7FFFFFFF 11 = 80000000 11 +37 074 80000001 7FFFFFFF 00 = 80000001 00 +37 075 80000001 7FFFFFFF 01 = 80000001 01 +37 076 80000001 7FFFFFFF 10 = 80000001 10 +37 077 80000001 7FFFFFFF 11 = 80000001 11 +37 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +37 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +37 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +37 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +37 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +37 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +37 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +37 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +37 080 00000000 80000000 00 = 00000000 00 +37 081 00000000 80000000 01 = 00000000 01 +37 082 00000000 80000000 10 = 00000000 10 +37 083 00000000 80000000 11 = 00000000 11 +37 084 00000001 80000000 00 = 00000001 00 +37 085 00000001 80000000 01 = 00000001 01 +37 086 00000001 80000000 10 = 00000001 10 +37 087 00000001 80000000 11 = 00000001 11 +37 088 00000002 80000000 00 = 00000000 00 +37 089 00000002 80000000 01 = 00000000 01 +37 08A 00000002 80000000 10 = 00000000 10 +37 08B 00000002 80000000 11 = 00000000 11 +37 08C 7FFFFFFF 80000000 00 = 00000001 00 +37 08D 7FFFFFFF 80000000 01 = 00000001 01 +37 08E 7FFFFFFF 80000000 10 = 00000001 10 +37 08F 7FFFFFFF 80000000 11 = 00000001 11 +37 090 80000000 80000000 00 = 00000000 00 +37 091 80000000 80000000 01 = 00000000 01 +37 092 80000000 80000000 10 = 00000000 10 +37 093 80000000 80000000 11 = 00000000 11 +37 094 80000001 80000000 00 = 00000001 00 +37 095 80000001 80000000 01 = 00000001 01 +37 096 80000001 80000000 10 = 00000001 10 +37 097 80000001 80000000 11 = 00000001 11 +37 098 FFFFFFFE 80000000 00 = 00000000 00 +37 099 FFFFFFFE 80000000 01 = 00000000 01 +37 09A FFFFFFFE 80000000 10 = 00000000 10 +37 09B FFFFFFFE 80000000 11 = 00000000 11 +37 09C FFFFFFFF 80000000 00 = 00000001 00 +37 09D FFFFFFFF 80000000 01 = 00000001 01 +37 09E FFFFFFFF 80000000 10 = 00000001 10 +37 09F FFFFFFFF 80000000 11 = 00000001 11 +37 0A0 00000000 80000001 00 = 00000000 00 +37 0A1 00000000 80000001 01 = 00000000 01 +37 0A2 00000000 80000001 10 = 00000000 10 +37 0A3 00000000 80000001 11 = 00000000 11 +37 0A4 00000001 80000001 00 = 00000001 00 +37 0A5 00000001 80000001 01 = 00000001 01 +37 0A6 00000001 80000001 10 = 00000001 10 +37 0A7 00000001 80000001 11 = 00000001 11 +37 0A8 00000002 80000001 00 = 00000002 00 +37 0A9 00000002 80000001 01 = 00000002 01 +37 0AA 00000002 80000001 10 = 00000002 10 +37 0AB 00000002 80000001 11 = 00000002 11 +37 0AC 7FFFFFFF 80000001 00 = 00000003 00 +37 0AD 7FFFFFFF 80000001 01 = 00000003 01 +37 0AE 7FFFFFFF 80000001 10 = 00000003 10 +37 0AF 7FFFFFFF 80000001 11 = 00000003 11 +37 0B0 80000000 80000001 00 = 00000000 00 +37 0B1 80000000 80000001 01 = 00000000 01 +37 0B2 80000000 80000001 10 = 00000000 10 +37 0B3 80000000 80000001 11 = 00000000 11 +37 0B4 80000001 80000001 00 = 00000001 00 +37 0B5 80000001 80000001 01 = 00000001 01 +37 0B6 80000001 80000001 10 = 00000001 10 +37 0B7 80000001 80000001 11 = 00000001 11 +37 0B8 FFFFFFFE 80000001 00 = 00000002 00 +37 0B9 FFFFFFFE 80000001 01 = 00000002 01 +37 0BA FFFFFFFE 80000001 10 = 00000002 10 +37 0BB FFFFFFFE 80000001 11 = 00000002 11 +37 0BC FFFFFFFF 80000001 00 = 00000003 00 +37 0BD FFFFFFFF 80000001 01 = 00000003 01 +37 0BE FFFFFFFF 80000001 10 = 00000003 10 +37 0BF FFFFFFFF 80000001 11 = 00000003 11 +37 0C0 00000000 FFFFFFFE 00 = 00000000 00 +37 0C1 00000000 FFFFFFFE 01 = 00000000 01 +37 0C2 00000000 FFFFFFFE 10 = 00000000 10 +37 0C3 00000000 FFFFFFFE 11 = 00000000 11 +37 0C4 00000001 FFFFFFFE 00 = 00000001 00 +37 0C5 00000001 FFFFFFFE 01 = 00000001 01 +37 0C6 00000001 FFFFFFFE 10 = 00000001 10 +37 0C7 00000001 FFFFFFFE 11 = 00000001 11 +37 0C8 00000002 FFFFFFFE 00 = 00000002 00 +37 0C9 00000002 FFFFFFFE 01 = 00000002 01 +37 0CA 00000002 FFFFFFFE 10 = 00000002 10 +37 0CB 00000002 FFFFFFFE 11 = 00000002 11 +37 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +37 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 01 +37 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +37 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +37 0D0 80000000 FFFFFFFE 00 = 00000000 00 +37 0D1 80000000 FFFFFFFE 01 = 00000000 01 +37 0D2 80000000 FFFFFFFE 10 = 00000000 10 +37 0D3 80000000 FFFFFFFE 11 = 00000000 11 +37 0D4 80000001 FFFFFFFE 00 = 00000001 00 +37 0D5 80000001 FFFFFFFE 01 = 00000001 01 +37 0D6 80000001 FFFFFFFE 10 = 00000001 10 +37 0D7 80000001 FFFFFFFE 11 = 00000001 11 +37 0D8 FFFFFFFE FFFFFFFE 00 = 7FFFFFFE 00 +37 0D9 FFFFFFFE FFFFFFFE 01 = 7FFFFFFE 01 +37 0DA FFFFFFFE FFFFFFFE 10 = 7FFFFFFE 10 +37 0DB FFFFFFFE FFFFFFFE 11 = 7FFFFFFE 11 +37 0DC FFFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 +37 0DD FFFFFFFF FFFFFFFE 01 = 7FFFFFFF 01 +37 0DE FFFFFFFF FFFFFFFE 10 = 7FFFFFFF 10 +37 0DF FFFFFFFF FFFFFFFE 11 = 7FFFFFFF 11 +37 0E0 00000000 FFFFFFFF 00 = 00000000 00 +37 0E1 00000000 FFFFFFFF 01 = 00000000 01 +37 0E2 00000000 FFFFFFFF 10 = 00000000 10 +37 0E3 00000000 FFFFFFFF 11 = 00000000 11 +37 0E4 00000001 FFFFFFFF 00 = 00000001 00 +37 0E5 00000001 FFFFFFFF 01 = 00000001 01 +37 0E6 00000001 FFFFFFFF 10 = 00000001 10 +37 0E7 00000001 FFFFFFFF 11 = 00000001 11 +37 0E8 00000002 FFFFFFFF 00 = 00000002 00 +37 0E9 00000002 FFFFFFFF 01 = 00000002 01 +37 0EA 00000002 FFFFFFFF 10 = 00000002 10 +37 0EB 00000002 FFFFFFFF 11 = 00000002 11 +37 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +37 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +37 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +37 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +37 0F0 80000000 FFFFFFFF 00 = 80000000 00 +37 0F1 80000000 FFFFFFFF 01 = 80000000 01 +37 0F2 80000000 FFFFFFFF 10 = 80000000 10 +37 0F3 80000000 FFFFFFFF 11 = 80000000 11 +37 0F4 80000001 FFFFFFFF 00 = 80000001 00 +37 0F5 80000001 FFFFFFFF 01 = 80000001 01 +37 0F6 80000001 FFFFFFFF 10 = 80000001 10 +37 0F7 80000001 FFFFFFFF 11 = 80000001 11 +37 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +37 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +37 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +37 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +37 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +37 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +37 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +37 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +signx ---D---- ---S---- CZ = ---Q---- CZ +38 000 00000000 00000000 00 = 00000000 00 +38 001 00000000 00000000 01 = 00000000 01 +38 002 00000000 00000000 10 = 00000000 10 +38 003 00000000 00000000 11 = 00000000 11 +38 004 00000001 00000000 00 = FFFFFFFF 00 +38 005 00000001 00000000 01 = FFFFFFFF 01 +38 006 00000001 00000000 10 = FFFFFFFF 10 +38 007 00000001 00000000 11 = FFFFFFFF 11 +38 008 00000002 00000000 00 = 00000000 00 +38 009 00000002 00000000 01 = 00000000 01 +38 00A 00000002 00000000 10 = 00000000 10 +38 00B 00000002 00000000 11 = 00000000 11 +38 00C 7FFFFFFF 00000000 00 = FFFFFFFF 00 +38 00D 7FFFFFFF 00000000 01 = FFFFFFFF 01 +38 00E 7FFFFFFF 00000000 10 = FFFFFFFF 10 +38 00F 7FFFFFFF 00000000 11 = FFFFFFFF 11 +38 010 80000000 00000000 00 = 00000000 00 +38 011 80000000 00000000 01 = 00000000 01 +38 012 80000000 00000000 10 = 00000000 10 +38 013 80000000 00000000 11 = 00000000 11 +38 014 80000001 00000000 00 = FFFFFFFF 00 +38 015 80000001 00000000 01 = FFFFFFFF 01 +38 016 80000001 00000000 10 = FFFFFFFF 10 +38 017 80000001 00000000 11 = FFFFFFFF 11 +38 018 FFFFFFFE 00000000 00 = 00000000 00 +38 019 FFFFFFFE 00000000 01 = 00000000 01 +38 01A FFFFFFFE 00000000 10 = 00000000 10 +38 01B FFFFFFFE 00000000 11 = 00000000 11 +38 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +38 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +38 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +38 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +38 020 00000000 00000001 00 = 00000000 00 +38 021 00000000 00000001 01 = 00000000 01 +38 022 00000000 00000001 10 = 00000000 10 +38 023 00000000 00000001 11 = 00000000 11 +38 024 00000001 00000001 00 = 00000001 00 +38 025 00000001 00000001 01 = 00000001 01 +38 026 00000001 00000001 10 = 00000001 10 +38 027 00000001 00000001 11 = 00000001 11 +38 028 00000002 00000001 00 = FFFFFFFE 00 +38 029 00000002 00000001 01 = FFFFFFFE 01 +38 02A 00000002 00000001 10 = FFFFFFFE 10 +38 02B 00000002 00000001 11 = FFFFFFFE 11 +38 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 +38 02D 7FFFFFFF 00000001 01 = FFFFFFFF 01 +38 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 +38 02F 7FFFFFFF 00000001 11 = FFFFFFFF 11 +38 030 80000000 00000001 00 = 00000000 00 +38 031 80000000 00000001 01 = 00000000 01 +38 032 80000000 00000001 10 = 00000000 10 +38 033 80000000 00000001 11 = 00000000 11 +38 034 80000001 00000001 00 = 00000001 00 +38 035 80000001 00000001 01 = 00000001 01 +38 036 80000001 00000001 10 = 00000001 10 +38 037 80000001 00000001 11 = 00000001 11 +38 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +38 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 +38 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +38 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 +38 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +38 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +38 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +38 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +38 040 00000000 00000002 00 = 00000000 00 +38 041 00000000 00000002 01 = 00000000 01 +38 042 00000000 00000002 10 = 00000000 10 +38 043 00000000 00000002 11 = 00000000 11 +38 044 00000001 00000002 00 = 00000001 00 +38 045 00000001 00000002 01 = 00000001 01 +38 046 00000001 00000002 10 = 00000001 10 +38 047 00000001 00000002 11 = 00000001 11 +38 048 00000002 00000002 00 = 00000002 00 +38 049 00000002 00000002 01 = 00000002 01 +38 04A 00000002 00000002 10 = 00000002 10 +38 04B 00000002 00000002 11 = 00000002 11 +38 04C 7FFFFFFF 00000002 00 = FFFFFFFF 00 +38 04D 7FFFFFFF 00000002 01 = FFFFFFFF 01 +38 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 +38 04F 7FFFFFFF 00000002 11 = FFFFFFFF 11 +38 050 80000000 00000002 00 = 00000000 00 +38 051 80000000 00000002 01 = 00000000 01 +38 052 80000000 00000002 10 = 00000000 10 +38 053 80000000 00000002 11 = 00000000 11 +38 054 80000001 00000002 00 = 00000001 00 +38 055 80000001 00000002 01 = 00000001 01 +38 056 80000001 00000002 10 = 00000001 10 +38 057 80000001 00000002 11 = 00000001 11 +38 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +38 059 FFFFFFFE 00000002 01 = FFFFFFFE 01 +38 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +38 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +38 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +38 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +38 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +38 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +38 060 00000000 7FFFFFFF 00 = 00000000 00 +38 061 00000000 7FFFFFFF 01 = 00000000 01 +38 062 00000000 7FFFFFFF 10 = 00000000 10 +38 063 00000000 7FFFFFFF 11 = 00000000 11 +38 064 00000001 7FFFFFFF 00 = 00000001 00 +38 065 00000001 7FFFFFFF 01 = 00000001 01 +38 066 00000001 7FFFFFFF 10 = 00000001 10 +38 067 00000001 7FFFFFFF 11 = 00000001 11 +38 068 00000002 7FFFFFFF 00 = 00000002 00 +38 069 00000002 7FFFFFFF 01 = 00000002 01 +38 06A 00000002 7FFFFFFF 10 = 00000002 10 +38 06B 00000002 7FFFFFFF 11 = 00000002 11 +38 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +38 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +38 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +38 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +38 070 80000000 7FFFFFFF 00 = 80000000 00 +38 071 80000000 7FFFFFFF 01 = 80000000 01 +38 072 80000000 7FFFFFFF 10 = 80000000 10 +38 073 80000000 7FFFFFFF 11 = 80000000 11 +38 074 80000001 7FFFFFFF 00 = 80000001 00 +38 075 80000001 7FFFFFFF 01 = 80000001 01 +38 076 80000001 7FFFFFFF 10 = 80000001 10 +38 077 80000001 7FFFFFFF 11 = 80000001 11 +38 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 +38 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +38 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 +38 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 +38 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +38 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +38 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +38 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +38 080 00000000 80000000 00 = 00000000 00 +38 081 00000000 80000000 01 = 00000000 01 +38 082 00000000 80000000 10 = 00000000 10 +38 083 00000000 80000000 11 = 00000000 11 +38 084 00000001 80000000 00 = FFFFFFFF 00 +38 085 00000001 80000000 01 = FFFFFFFF 01 +38 086 00000001 80000000 10 = FFFFFFFF 10 +38 087 00000001 80000000 11 = FFFFFFFF 11 +38 088 00000002 80000000 00 = 00000000 00 +38 089 00000002 80000000 01 = 00000000 01 +38 08A 00000002 80000000 10 = 00000000 10 +38 08B 00000002 80000000 11 = 00000000 11 +38 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +38 08D 7FFFFFFF 80000000 01 = FFFFFFFF 01 +38 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +38 08F 7FFFFFFF 80000000 11 = FFFFFFFF 11 +38 090 80000000 80000000 00 = 00000000 00 +38 091 80000000 80000000 01 = 00000000 01 +38 092 80000000 80000000 10 = 00000000 10 +38 093 80000000 80000000 11 = 00000000 11 +38 094 80000001 80000000 00 = FFFFFFFF 00 +38 095 80000001 80000000 01 = FFFFFFFF 01 +38 096 80000001 80000000 10 = FFFFFFFF 10 +38 097 80000001 80000000 11 = FFFFFFFF 11 +38 098 FFFFFFFE 80000000 00 = 00000000 00 +38 099 FFFFFFFE 80000000 01 = 00000000 01 +38 09A FFFFFFFE 80000000 10 = 00000000 10 +38 09B FFFFFFFE 80000000 11 = 00000000 11 +38 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +38 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +38 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +38 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +38 0A0 00000000 80000001 00 = 00000000 00 +38 0A1 00000000 80000001 01 = 00000000 01 +38 0A2 00000000 80000001 10 = 00000000 10 +38 0A3 00000000 80000001 11 = 00000000 11 +38 0A4 00000001 80000001 00 = 00000001 00 +38 0A5 00000001 80000001 01 = 00000001 01 +38 0A6 00000001 80000001 10 = 00000001 10 +38 0A7 00000001 80000001 11 = 00000001 11 +38 0A8 00000002 80000001 00 = FFFFFFFE 00 +38 0A9 00000002 80000001 01 = FFFFFFFE 01 +38 0AA 00000002 80000001 10 = FFFFFFFE 10 +38 0AB 00000002 80000001 11 = FFFFFFFE 11 +38 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +38 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 01 +38 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 +38 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 11 +38 0B0 80000000 80000001 00 = 00000000 00 +38 0B1 80000000 80000001 01 = 00000000 01 +38 0B2 80000000 80000001 10 = 00000000 10 +38 0B3 80000000 80000001 11 = 00000000 11 +38 0B4 80000001 80000001 00 = 00000001 00 +38 0B5 80000001 80000001 01 = 00000001 01 +38 0B6 80000001 80000001 10 = 00000001 10 +38 0B7 80000001 80000001 11 = 00000001 11 +38 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +38 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 01 +38 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +38 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 +38 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +38 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +38 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +38 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +38 0C0 00000000 FFFFFFFE 00 = 00000000 00 +38 0C1 00000000 FFFFFFFE 01 = 00000000 01 +38 0C2 00000000 FFFFFFFE 10 = 00000000 10 +38 0C3 00000000 FFFFFFFE 11 = 00000000 11 +38 0C4 00000001 FFFFFFFE 00 = 00000001 00 +38 0C5 00000001 FFFFFFFE 01 = 00000001 01 +38 0C6 00000001 FFFFFFFE 10 = 00000001 10 +38 0C7 00000001 FFFFFFFE 11 = 00000001 11 +38 0C8 00000002 FFFFFFFE 00 = 00000002 00 +38 0C9 00000002 FFFFFFFE 01 = 00000002 01 +38 0CA 00000002 FFFFFFFE 10 = 00000002 10 +38 0CB 00000002 FFFFFFFE 11 = 00000002 11 +38 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +38 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +38 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +38 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +38 0D0 80000000 FFFFFFFE 00 = 00000000 00 +38 0D1 80000000 FFFFFFFE 01 = 00000000 01 +38 0D2 80000000 FFFFFFFE 10 = 00000000 10 +38 0D3 80000000 FFFFFFFE 11 = 00000000 11 +38 0D4 80000001 FFFFFFFE 00 = 00000001 00 +38 0D5 80000001 FFFFFFFE 01 = 00000001 01 +38 0D6 80000001 FFFFFFFE 10 = 00000001 10 +38 0D7 80000001 FFFFFFFE 11 = 00000001 11 +38 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +38 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +38 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +38 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +38 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +38 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +38 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +38 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +38 0E0 00000000 FFFFFFFF 00 = 00000000 00 +38 0E1 00000000 FFFFFFFF 01 = 00000000 01 +38 0E2 00000000 FFFFFFFF 10 = 00000000 10 +38 0E3 00000000 FFFFFFFF 11 = 00000000 11 +38 0E4 00000001 FFFFFFFF 00 = 00000001 00 +38 0E5 00000001 FFFFFFFF 01 = 00000001 01 +38 0E6 00000001 FFFFFFFF 10 = 00000001 10 +38 0E7 00000001 FFFFFFFF 11 = 00000001 11 +38 0E8 00000002 FFFFFFFF 00 = 00000002 00 +38 0E9 00000002 FFFFFFFF 01 = 00000002 01 +38 0EA 00000002 FFFFFFFF 10 = 00000002 10 +38 0EB 00000002 FFFFFFFF 11 = 00000002 11 +38 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +38 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +38 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +38 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +38 0F0 80000000 FFFFFFFF 00 = 80000000 00 +38 0F1 80000000 FFFFFFFF 01 = 80000000 01 +38 0F2 80000000 FFFFFFFF 10 = 80000000 10 +38 0F3 80000000 FFFFFFFF 11 = 80000000 11 +38 0F4 80000001 FFFFFFFF 00 = 80000001 00 +38 0F5 80000001 FFFFFFFF 01 = 80000001 01 +38 0F6 80000001 FFFFFFFF 10 = 80000001 10 +38 0F7 80000001 FFFFFFFF 11 = 80000001 11 +38 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +38 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +38 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +38 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +38 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +38 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +38 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +38 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +muxnits ---D---- ---S---- CZ = ---Q---- CZ +39 000 00000000 00000000 00 = 00000000 00 +39 001 00000000 00000000 01 = 00000000 01 +39 002 00000000 00000000 10 = 00000000 10 +39 003 00000000 00000000 11 = 00000000 11 +39 004 00000001 00000000 00 = 00000001 00 +39 005 00000001 00000000 01 = 00000001 01 +39 006 00000001 00000000 10 = 00000001 10 +39 007 00000001 00000000 11 = 00000001 11 +39 008 00000002 00000000 00 = 00000002 00 +39 009 00000002 00000000 01 = 00000002 01 +39 00A 00000002 00000000 10 = 00000002 10 +39 00B 00000002 00000000 11 = 00000002 11 +39 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +39 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +39 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +39 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +39 010 80000000 00000000 00 = 80000000 00 +39 011 80000000 00000000 01 = 80000000 01 +39 012 80000000 00000000 10 = 80000000 10 +39 013 80000000 00000000 11 = 80000000 11 +39 014 80000001 00000000 00 = 80000001 00 +39 015 80000001 00000000 01 = 80000001 01 +39 016 80000001 00000000 10 = 80000001 10 +39 017 80000001 00000000 11 = 80000001 11 +39 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +39 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +39 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +39 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +39 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +39 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +39 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +39 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +39 020 00000000 00000001 00 = 00000001 00 +39 021 00000000 00000001 01 = 00000001 01 +39 022 00000000 00000001 10 = 00000001 10 +39 023 00000000 00000001 11 = 00000001 11 +39 024 00000001 00000001 00 = 00000001 00 +39 025 00000001 00000001 01 = 00000001 01 +39 026 00000001 00000001 10 = 00000001 10 +39 027 00000001 00000001 11 = 00000001 11 +39 028 00000002 00000001 00 = 00000001 00 +39 029 00000002 00000001 01 = 00000001 01 +39 02A 00000002 00000001 10 = 00000001 10 +39 02B 00000002 00000001 11 = 00000001 11 +39 02C 7FFFFFFF 00000001 00 = 7FFFFFFD 00 +39 02D 7FFFFFFF 00000001 01 = 7FFFFFFD 01 +39 02E 7FFFFFFF 00000001 10 = 7FFFFFFD 10 +39 02F 7FFFFFFF 00000001 11 = 7FFFFFFD 11 +39 030 80000000 00000001 00 = 80000001 00 +39 031 80000000 00000001 01 = 80000001 01 +39 032 80000000 00000001 10 = 80000001 10 +39 033 80000000 00000001 11 = 80000001 11 +39 034 80000001 00000001 00 = 80000001 00 +39 035 80000001 00000001 01 = 80000001 01 +39 036 80000001 00000001 10 = 80000001 10 +39 037 80000001 00000001 11 = 80000001 11 +39 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 +39 039 FFFFFFFE 00000001 01 = FFFFFFFD 01 +39 03A FFFFFFFE 00000001 10 = FFFFFFFD 10 +39 03B FFFFFFFE 00000001 11 = FFFFFFFD 11 +39 03C FFFFFFFF 00000001 00 = FFFFFFFD 00 +39 03D FFFFFFFF 00000001 01 = FFFFFFFD 01 +39 03E FFFFFFFF 00000001 10 = FFFFFFFD 10 +39 03F FFFFFFFF 00000001 11 = FFFFFFFD 11 +39 040 00000000 00000002 00 = 00000002 00 +39 041 00000000 00000002 01 = 00000002 01 +39 042 00000000 00000002 10 = 00000002 10 +39 043 00000000 00000002 11 = 00000002 11 +39 044 00000001 00000002 00 = 00000002 00 +39 045 00000001 00000002 01 = 00000002 01 +39 046 00000001 00000002 10 = 00000002 10 +39 047 00000001 00000002 11 = 00000002 11 +39 048 00000002 00000002 00 = 00000002 00 +39 049 00000002 00000002 01 = 00000002 01 +39 04A 00000002 00000002 10 = 00000002 10 +39 04B 00000002 00000002 11 = 00000002 11 +39 04C 7FFFFFFF 00000002 00 = 7FFFFFFE 00 +39 04D 7FFFFFFF 00000002 01 = 7FFFFFFE 01 +39 04E 7FFFFFFF 00000002 10 = 7FFFFFFE 10 +39 04F 7FFFFFFF 00000002 11 = 7FFFFFFE 11 +39 050 80000000 00000002 00 = 80000002 00 +39 051 80000000 00000002 01 = 80000002 01 +39 052 80000000 00000002 10 = 80000002 10 +39 053 80000000 00000002 11 = 80000002 11 +39 054 80000001 00000002 00 = 80000002 00 +39 055 80000001 00000002 01 = 80000002 01 +39 056 80000001 00000002 10 = 80000002 10 +39 057 80000001 00000002 11 = 80000002 11 +39 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 +39 059 FFFFFFFE 00000002 01 = FFFFFFFE 01 +39 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 +39 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 +39 05C FFFFFFFF 00000002 00 = FFFFFFFE 00 +39 05D FFFFFFFF 00000002 01 = FFFFFFFE 01 +39 05E FFFFFFFF 00000002 10 = FFFFFFFE 10 +39 05F FFFFFFFF 00000002 11 = FFFFFFFE 11 +39 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +39 061 00000000 7FFFFFFF 01 = 7FFFFFFF 01 +39 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 +39 063 00000000 7FFFFFFF 11 = 7FFFFFFF 11 +39 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 +39 065 00000001 7FFFFFFF 01 = 7FFFFFFF 01 +39 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 +39 067 00000001 7FFFFFFF 11 = 7FFFFFFF 11 +39 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 +39 069 00000002 7FFFFFFF 01 = 7FFFFFFF 01 +39 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 +39 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 11 +39 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +39 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +39 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +39 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +39 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 +39 071 80000000 7FFFFFFF 01 = 7FFFFFFF 01 +39 072 80000000 7FFFFFFF 10 = 7FFFFFFF 10 +39 073 80000000 7FFFFFFF 11 = 7FFFFFFF 11 +39 074 80000001 7FFFFFFF 00 = 7FFFFFFF 00 +39 075 80000001 7FFFFFFF 01 = 7FFFFFFF 01 +39 076 80000001 7FFFFFFF 10 = 7FFFFFFF 10 +39 077 80000001 7FFFFFFF 11 = 7FFFFFFF 11 +39 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 +39 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 01 +39 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 +39 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 11 +39 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +39 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +39 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +39 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +39 080 00000000 80000000 00 = 80000000 00 +39 081 00000000 80000000 01 = 80000000 01 +39 082 00000000 80000000 10 = 80000000 10 +39 083 00000000 80000000 11 = 80000000 11 +39 084 00000001 80000000 00 = 80000001 00 +39 085 00000001 80000000 01 = 80000001 01 +39 086 00000001 80000000 10 = 80000001 10 +39 087 00000001 80000000 11 = 80000001 11 +39 088 00000002 80000000 00 = 80000002 00 +39 089 00000002 80000000 01 = 80000002 01 +39 08A 00000002 80000000 10 = 80000002 10 +39 08B 00000002 80000000 11 = 80000002 11 +39 08C 7FFFFFFF 80000000 00 = BFFFFFFF 00 +39 08D 7FFFFFFF 80000000 01 = BFFFFFFF 01 +39 08E 7FFFFFFF 80000000 10 = BFFFFFFF 10 +39 08F 7FFFFFFF 80000000 11 = BFFFFFFF 11 +39 090 80000000 80000000 00 = 80000000 00 +39 091 80000000 80000000 01 = 80000000 01 +39 092 80000000 80000000 10 = 80000000 10 +39 093 80000000 80000000 11 = 80000000 11 +39 094 80000001 80000000 00 = 80000001 00 +39 095 80000001 80000000 01 = 80000001 01 +39 096 80000001 80000000 10 = 80000001 10 +39 097 80000001 80000000 11 = 80000001 11 +39 098 FFFFFFFE 80000000 00 = BFFFFFFE 00 +39 099 FFFFFFFE 80000000 01 = BFFFFFFE 01 +39 09A FFFFFFFE 80000000 10 = BFFFFFFE 10 +39 09B FFFFFFFE 80000000 11 = BFFFFFFE 11 +39 09C FFFFFFFF 80000000 00 = BFFFFFFF 00 +39 09D FFFFFFFF 80000000 01 = BFFFFFFF 01 +39 09E FFFFFFFF 80000000 10 = BFFFFFFF 10 +39 09F FFFFFFFF 80000000 11 = BFFFFFFF 11 +39 0A0 00000000 80000001 00 = 80000001 00 +39 0A1 00000000 80000001 01 = 80000001 01 +39 0A2 00000000 80000001 10 = 80000001 10 +39 0A3 00000000 80000001 11 = 80000001 11 +39 0A4 00000001 80000001 00 = 80000001 00 +39 0A5 00000001 80000001 01 = 80000001 01 +39 0A6 00000001 80000001 10 = 80000001 10 +39 0A7 00000001 80000001 11 = 80000001 11 +39 0A8 00000002 80000001 00 = 80000001 00 +39 0A9 00000002 80000001 01 = 80000001 01 +39 0AA 00000002 80000001 10 = 80000001 10 +39 0AB 00000002 80000001 11 = 80000001 11 +39 0AC 7FFFFFFF 80000001 00 = BFFFFFFD 00 +39 0AD 7FFFFFFF 80000001 01 = BFFFFFFD 01 +39 0AE 7FFFFFFF 80000001 10 = BFFFFFFD 10 +39 0AF 7FFFFFFF 80000001 11 = BFFFFFFD 11 +39 0B0 80000000 80000001 00 = 80000001 00 +39 0B1 80000000 80000001 01 = 80000001 01 +39 0B2 80000000 80000001 10 = 80000001 10 +39 0B3 80000000 80000001 11 = 80000001 11 +39 0B4 80000001 80000001 00 = 80000001 00 +39 0B5 80000001 80000001 01 = 80000001 01 +39 0B6 80000001 80000001 10 = 80000001 10 +39 0B7 80000001 80000001 11 = 80000001 11 +39 0B8 FFFFFFFE 80000001 00 = BFFFFFFD 00 +39 0B9 FFFFFFFE 80000001 01 = BFFFFFFD 01 +39 0BA FFFFFFFE 80000001 10 = BFFFFFFD 10 +39 0BB FFFFFFFE 80000001 11 = BFFFFFFD 11 +39 0BC FFFFFFFF 80000001 00 = BFFFFFFD 00 +39 0BD FFFFFFFF 80000001 01 = BFFFFFFD 01 +39 0BE FFFFFFFF 80000001 10 = BFFFFFFD 10 +39 0BF FFFFFFFF 80000001 11 = BFFFFFFD 11 +39 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +39 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 01 +39 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +39 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 11 +39 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 00 +39 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 01 +39 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 +39 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 11 +39 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 00 +39 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 01 +39 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 +39 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 11 +39 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +39 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +39 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +39 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +39 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 00 +39 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 01 +39 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +39 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 11 +39 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 00 +39 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 01 +39 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 +39 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 11 +39 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +39 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +39 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +39 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +39 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +39 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +39 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +39 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +39 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +39 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 01 +39 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +39 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 11 +39 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 +39 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 01 +39 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +39 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 11 +39 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 00 +39 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 01 +39 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 +39 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 11 +39 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +39 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +39 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +39 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +39 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 +39 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 01 +39 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 +39 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 11 +39 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +39 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 01 +39 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +39 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 11 +39 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +39 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +39 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +39 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +39 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +39 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +39 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +39 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +muxnibs ---D---- ---S---- CZ = ---Q---- CZ +3A 000 00000000 00000000 00 = 00000000 00 +3A 001 00000000 00000000 01 = 00000000 01 +3A 002 00000000 00000000 10 = 00000000 10 +3A 003 00000000 00000000 11 = 00000000 11 +3A 004 00000001 00000000 00 = 00000001 00 +3A 005 00000001 00000000 01 = 00000001 01 +3A 006 00000001 00000000 10 = 00000001 10 +3A 007 00000001 00000000 11 = 00000001 11 +3A 008 00000002 00000000 00 = 00000002 00 +3A 009 00000002 00000000 01 = 00000002 01 +3A 00A 00000002 00000000 10 = 00000002 10 +3A 00B 00000002 00000000 11 = 00000002 11 +3A 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +3A 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +3A 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +3A 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +3A 010 80000000 00000000 00 = 80000000 00 +3A 011 80000000 00000000 01 = 80000000 01 +3A 012 80000000 00000000 10 = 80000000 10 +3A 013 80000000 00000000 11 = 80000000 11 +3A 014 80000001 00000000 00 = 80000001 00 +3A 015 80000001 00000000 01 = 80000001 01 +3A 016 80000001 00000000 10 = 80000001 10 +3A 017 80000001 00000000 11 = 80000001 11 +3A 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +3A 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +3A 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +3A 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +3A 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +3A 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +3A 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +3A 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +3A 020 00000000 00000001 00 = 00000001 00 +3A 021 00000000 00000001 01 = 00000001 01 +3A 022 00000000 00000001 10 = 00000001 10 +3A 023 00000000 00000001 11 = 00000001 11 +3A 024 00000001 00000001 00 = 00000001 00 +3A 025 00000001 00000001 01 = 00000001 01 +3A 026 00000001 00000001 10 = 00000001 10 +3A 027 00000001 00000001 11 = 00000001 11 +3A 028 00000002 00000001 00 = 00000001 00 +3A 029 00000002 00000001 01 = 00000001 01 +3A 02A 00000002 00000001 10 = 00000001 10 +3A 02B 00000002 00000001 11 = 00000001 11 +3A 02C 7FFFFFFF 00000001 00 = 7FFFFFF1 00 +3A 02D 7FFFFFFF 00000001 01 = 7FFFFFF1 01 +3A 02E 7FFFFFFF 00000001 10 = 7FFFFFF1 10 +3A 02F 7FFFFFFF 00000001 11 = 7FFFFFF1 11 +3A 030 80000000 00000001 00 = 80000001 00 +3A 031 80000000 00000001 01 = 80000001 01 +3A 032 80000000 00000001 10 = 80000001 10 +3A 033 80000000 00000001 11 = 80000001 11 +3A 034 80000001 00000001 00 = 80000001 00 +3A 035 80000001 00000001 01 = 80000001 01 +3A 036 80000001 00000001 10 = 80000001 10 +3A 037 80000001 00000001 11 = 80000001 11 +3A 038 FFFFFFFE 00000001 00 = FFFFFFF1 00 +3A 039 FFFFFFFE 00000001 01 = FFFFFFF1 01 +3A 03A FFFFFFFE 00000001 10 = FFFFFFF1 10 +3A 03B FFFFFFFE 00000001 11 = FFFFFFF1 11 +3A 03C FFFFFFFF 00000001 00 = FFFFFFF1 00 +3A 03D FFFFFFFF 00000001 01 = FFFFFFF1 01 +3A 03E FFFFFFFF 00000001 10 = FFFFFFF1 10 +3A 03F FFFFFFFF 00000001 11 = FFFFFFF1 11 +3A 040 00000000 00000002 00 = 00000002 00 +3A 041 00000000 00000002 01 = 00000002 01 +3A 042 00000000 00000002 10 = 00000002 10 +3A 043 00000000 00000002 11 = 00000002 11 +3A 044 00000001 00000002 00 = 00000002 00 +3A 045 00000001 00000002 01 = 00000002 01 +3A 046 00000001 00000002 10 = 00000002 10 +3A 047 00000001 00000002 11 = 00000002 11 +3A 048 00000002 00000002 00 = 00000002 00 +3A 049 00000002 00000002 01 = 00000002 01 +3A 04A 00000002 00000002 10 = 00000002 10 +3A 04B 00000002 00000002 11 = 00000002 11 +3A 04C 7FFFFFFF 00000002 00 = 7FFFFFF2 00 +3A 04D 7FFFFFFF 00000002 01 = 7FFFFFF2 01 +3A 04E 7FFFFFFF 00000002 10 = 7FFFFFF2 10 +3A 04F 7FFFFFFF 00000002 11 = 7FFFFFF2 11 +3A 050 80000000 00000002 00 = 80000002 00 +3A 051 80000000 00000002 01 = 80000002 01 +3A 052 80000000 00000002 10 = 80000002 10 +3A 053 80000000 00000002 11 = 80000002 11 +3A 054 80000001 00000002 00 = 80000002 00 +3A 055 80000001 00000002 01 = 80000002 01 +3A 056 80000001 00000002 10 = 80000002 10 +3A 057 80000001 00000002 11 = 80000002 11 +3A 058 FFFFFFFE 00000002 00 = FFFFFFF2 00 +3A 059 FFFFFFFE 00000002 01 = FFFFFFF2 01 +3A 05A FFFFFFFE 00000002 10 = FFFFFFF2 10 +3A 05B FFFFFFFE 00000002 11 = FFFFFFF2 11 +3A 05C FFFFFFFF 00000002 00 = FFFFFFF2 00 +3A 05D FFFFFFFF 00000002 01 = FFFFFFF2 01 +3A 05E FFFFFFFF 00000002 10 = FFFFFFF2 10 +3A 05F FFFFFFFF 00000002 11 = FFFFFFF2 11 +3A 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +3A 061 00000000 7FFFFFFF 01 = 7FFFFFFF 01 +3A 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 +3A 063 00000000 7FFFFFFF 11 = 7FFFFFFF 11 +3A 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 +3A 065 00000001 7FFFFFFF 01 = 7FFFFFFF 01 +3A 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 +3A 067 00000001 7FFFFFFF 11 = 7FFFFFFF 11 +3A 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 +3A 069 00000002 7FFFFFFF 01 = 7FFFFFFF 01 +3A 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 +3A 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 11 +3A 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +3A 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +3A 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +3A 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +3A 070 80000000 7FFFFFFF 00 = 7FFFFFFF 00 +3A 071 80000000 7FFFFFFF 01 = 7FFFFFFF 01 +3A 072 80000000 7FFFFFFF 10 = 7FFFFFFF 10 +3A 073 80000000 7FFFFFFF 11 = 7FFFFFFF 11 +3A 074 80000001 7FFFFFFF 00 = 7FFFFFFF 00 +3A 075 80000001 7FFFFFFF 01 = 7FFFFFFF 01 +3A 076 80000001 7FFFFFFF 10 = 7FFFFFFF 10 +3A 077 80000001 7FFFFFFF 11 = 7FFFFFFF 11 +3A 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFF 00 +3A 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 01 +3A 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 +3A 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 11 +3A 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +3A 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +3A 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +3A 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +3A 080 00000000 80000000 00 = 80000000 00 +3A 081 00000000 80000000 01 = 80000000 01 +3A 082 00000000 80000000 10 = 80000000 10 +3A 083 00000000 80000000 11 = 80000000 11 +3A 084 00000001 80000000 00 = 80000001 00 +3A 085 00000001 80000000 01 = 80000001 01 +3A 086 00000001 80000000 10 = 80000001 10 +3A 087 00000001 80000000 11 = 80000001 11 +3A 088 00000002 80000000 00 = 80000002 00 +3A 089 00000002 80000000 01 = 80000002 01 +3A 08A 00000002 80000000 10 = 80000002 10 +3A 08B 00000002 80000000 11 = 80000002 11 +3A 08C 7FFFFFFF 80000000 00 = 8FFFFFFF 00 +3A 08D 7FFFFFFF 80000000 01 = 8FFFFFFF 01 +3A 08E 7FFFFFFF 80000000 10 = 8FFFFFFF 10 +3A 08F 7FFFFFFF 80000000 11 = 8FFFFFFF 11 +3A 090 80000000 80000000 00 = 80000000 00 +3A 091 80000000 80000000 01 = 80000000 01 +3A 092 80000000 80000000 10 = 80000000 10 +3A 093 80000000 80000000 11 = 80000000 11 +3A 094 80000001 80000000 00 = 80000001 00 +3A 095 80000001 80000000 01 = 80000001 01 +3A 096 80000001 80000000 10 = 80000001 10 +3A 097 80000001 80000000 11 = 80000001 11 +3A 098 FFFFFFFE 80000000 00 = 8FFFFFFE 00 +3A 099 FFFFFFFE 80000000 01 = 8FFFFFFE 01 +3A 09A FFFFFFFE 80000000 10 = 8FFFFFFE 10 +3A 09B FFFFFFFE 80000000 11 = 8FFFFFFE 11 +3A 09C FFFFFFFF 80000000 00 = 8FFFFFFF 00 +3A 09D FFFFFFFF 80000000 01 = 8FFFFFFF 01 +3A 09E FFFFFFFF 80000000 10 = 8FFFFFFF 10 +3A 09F FFFFFFFF 80000000 11 = 8FFFFFFF 11 +3A 0A0 00000000 80000001 00 = 80000001 00 +3A 0A1 00000000 80000001 01 = 80000001 01 +3A 0A2 00000000 80000001 10 = 80000001 10 +3A 0A3 00000000 80000001 11 = 80000001 11 +3A 0A4 00000001 80000001 00 = 80000001 00 +3A 0A5 00000001 80000001 01 = 80000001 01 +3A 0A6 00000001 80000001 10 = 80000001 10 +3A 0A7 00000001 80000001 11 = 80000001 11 +3A 0A8 00000002 80000001 00 = 80000001 00 +3A 0A9 00000002 80000001 01 = 80000001 01 +3A 0AA 00000002 80000001 10 = 80000001 10 +3A 0AB 00000002 80000001 11 = 80000001 11 +3A 0AC 7FFFFFFF 80000001 00 = 8FFFFFF1 00 +3A 0AD 7FFFFFFF 80000001 01 = 8FFFFFF1 01 +3A 0AE 7FFFFFFF 80000001 10 = 8FFFFFF1 10 +3A 0AF 7FFFFFFF 80000001 11 = 8FFFFFF1 11 +3A 0B0 80000000 80000001 00 = 80000001 00 +3A 0B1 80000000 80000001 01 = 80000001 01 +3A 0B2 80000000 80000001 10 = 80000001 10 +3A 0B3 80000000 80000001 11 = 80000001 11 +3A 0B4 80000001 80000001 00 = 80000001 00 +3A 0B5 80000001 80000001 01 = 80000001 01 +3A 0B6 80000001 80000001 10 = 80000001 10 +3A 0B7 80000001 80000001 11 = 80000001 11 +3A 0B8 FFFFFFFE 80000001 00 = 8FFFFFF1 00 +3A 0B9 FFFFFFFE 80000001 01 = 8FFFFFF1 01 +3A 0BA FFFFFFFE 80000001 10 = 8FFFFFF1 10 +3A 0BB FFFFFFFE 80000001 11 = 8FFFFFF1 11 +3A 0BC FFFFFFFF 80000001 00 = 8FFFFFF1 00 +3A 0BD FFFFFFFF 80000001 01 = 8FFFFFF1 01 +3A 0BE FFFFFFFF 80000001 10 = 8FFFFFF1 10 +3A 0BF FFFFFFFF 80000001 11 = 8FFFFFF1 11 +3A 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +3A 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 01 +3A 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +3A 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 11 +3A 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 00 +3A 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 01 +3A 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 +3A 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 11 +3A 0C8 00000002 FFFFFFFE 00 = FFFFFFFE 00 +3A 0C9 00000002 FFFFFFFE 01 = FFFFFFFE 01 +3A 0CA 00000002 FFFFFFFE 10 = FFFFFFFE 10 +3A 0CB 00000002 FFFFFFFE 11 = FFFFFFFE 11 +3A 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +3A 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +3A 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +3A 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +3A 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 00 +3A 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 01 +3A 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +3A 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 11 +3A 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 00 +3A 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 01 +3A 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 +3A 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 11 +3A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 +3A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +3A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 +3A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 +3A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +3A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +3A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +3A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +3A 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +3A 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 01 +3A 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +3A 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 11 +3A 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 +3A 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 01 +3A 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +3A 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 11 +3A 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 00 +3A 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 01 +3A 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 +3A 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 11 +3A 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3A 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +3A 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +3A 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +3A 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 +3A 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 01 +3A 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 +3A 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 11 +3A 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +3A 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 01 +3A 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +3A 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 11 +3A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +3A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +3A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +3A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +3A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +3A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +3A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +movbyts ---D---- ---S---- CZ = ---Q---- CZ +3B 000 00000000 00000000 00 = 00000000 00 +3B 001 00000000 00000000 01 = 00000000 01 +3B 002 00000000 00000000 10 = 00000000 10 +3B 003 00000000 00000000 11 = 00000000 11 +3B 004 00000001 00000000 00 = 01010101 00 +3B 005 00000001 00000000 01 = 01010101 01 +3B 006 00000001 00000000 10 = 01010101 10 +3B 007 00000001 00000000 11 = 01010101 11 +3B 008 00000002 00000000 00 = 02020202 00 +3B 009 00000002 00000000 01 = 02020202 01 +3B 00A 00000002 00000000 10 = 02020202 10 +3B 00B 00000002 00000000 11 = 02020202 11 +3B 00C 7FFFFFFF 00000000 00 = FFFFFFFF 00 +3B 00D 7FFFFFFF 00000000 01 = FFFFFFFF 01 +3B 00E 7FFFFFFF 00000000 10 = FFFFFFFF 10 +3B 00F 7FFFFFFF 00000000 11 = FFFFFFFF 11 +3B 010 80000000 00000000 00 = 00000000 00 +3B 011 80000000 00000000 01 = 00000000 01 +3B 012 80000000 00000000 10 = 00000000 10 +3B 013 80000000 00000000 11 = 00000000 11 +3B 014 80000001 00000000 00 = 01010101 00 +3B 015 80000001 00000000 01 = 01010101 01 +3B 016 80000001 00000000 10 = 01010101 10 +3B 017 80000001 00000000 11 = 01010101 11 +3B 018 FFFFFFFE 00000000 00 = FEFEFEFE 00 +3B 019 FFFFFFFE 00000000 01 = FEFEFEFE 01 +3B 01A FFFFFFFE 00000000 10 = FEFEFEFE 10 +3B 01B FFFFFFFE 00000000 11 = FEFEFEFE 11 +3B 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +3B 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +3B 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +3B 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +3B 020 00000000 00000001 00 = 00000000 00 +3B 021 00000000 00000001 01 = 00000000 01 +3B 022 00000000 00000001 10 = 00000000 10 +3B 023 00000000 00000001 11 = 00000000 11 +3B 024 00000001 00000001 00 = 01010100 00 +3B 025 00000001 00000001 01 = 01010100 01 +3B 026 00000001 00000001 10 = 01010100 10 +3B 027 00000001 00000001 11 = 01010100 11 +3B 028 00000002 00000001 00 = 02020200 00 +3B 029 00000002 00000001 01 = 02020200 01 +3B 02A 00000002 00000001 10 = 02020200 10 +3B 02B 00000002 00000001 11 = 02020200 11 +3B 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 +3B 02D 7FFFFFFF 00000001 01 = FFFFFFFF 01 +3B 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 +3B 02F 7FFFFFFF 00000001 11 = FFFFFFFF 11 +3B 030 80000000 00000001 00 = 00000000 00 +3B 031 80000000 00000001 01 = 00000000 01 +3B 032 80000000 00000001 10 = 00000000 10 +3B 033 80000000 00000001 11 = 00000000 11 +3B 034 80000001 00000001 00 = 01010100 00 +3B 035 80000001 00000001 01 = 01010100 01 +3B 036 80000001 00000001 10 = 01010100 10 +3B 037 80000001 00000001 11 = 01010100 11 +3B 038 FFFFFFFE 00000001 00 = FEFEFEFF 00 +3B 039 FFFFFFFE 00000001 01 = FEFEFEFF 01 +3B 03A FFFFFFFE 00000001 10 = FEFEFEFF 10 +3B 03B FFFFFFFE 00000001 11 = FEFEFEFF 11 +3B 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +3B 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +3B 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +3B 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +3B 040 00000000 00000002 00 = 00000000 00 +3B 041 00000000 00000002 01 = 00000000 01 +3B 042 00000000 00000002 10 = 00000000 10 +3B 043 00000000 00000002 11 = 00000000 11 +3B 044 00000001 00000002 00 = 01010100 00 +3B 045 00000001 00000002 01 = 01010100 01 +3B 046 00000001 00000002 10 = 01010100 10 +3B 047 00000001 00000002 11 = 01010100 11 +3B 048 00000002 00000002 00 = 02020200 00 +3B 049 00000002 00000002 01 = 02020200 01 +3B 04A 00000002 00000002 10 = 02020200 10 +3B 04B 00000002 00000002 11 = 02020200 11 +3B 04C 7FFFFFFF 00000002 00 = FFFFFFFF 00 +3B 04D 7FFFFFFF 00000002 01 = FFFFFFFF 01 +3B 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 +3B 04F 7FFFFFFF 00000002 11 = FFFFFFFF 11 +3B 050 80000000 00000002 00 = 00000000 00 +3B 051 80000000 00000002 01 = 00000000 01 +3B 052 80000000 00000002 10 = 00000000 10 +3B 053 80000000 00000002 11 = 00000000 11 +3B 054 80000001 00000002 00 = 01010100 00 +3B 055 80000001 00000002 01 = 01010100 01 +3B 056 80000001 00000002 10 = 01010100 10 +3B 057 80000001 00000002 11 = 01010100 11 +3B 058 FFFFFFFE 00000002 00 = FEFEFEFF 00 +3B 059 FFFFFFFE 00000002 01 = FEFEFEFF 01 +3B 05A FFFFFFFE 00000002 10 = FEFEFEFF 10 +3B 05B FFFFFFFE 00000002 11 = FEFEFEFF 11 +3B 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +3B 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +3B 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +3B 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +3B 060 00000000 7FFFFFFF 00 = 00000000 00 +3B 061 00000000 7FFFFFFF 01 = 00000000 01 +3B 062 00000000 7FFFFFFF 10 = 00000000 10 +3B 063 00000000 7FFFFFFF 11 = 00000000 11 +3B 064 00000001 7FFFFFFF 00 = 00000000 00 +3B 065 00000001 7FFFFFFF 01 = 00000000 01 +3B 066 00000001 7FFFFFFF 10 = 00000000 10 +3B 067 00000001 7FFFFFFF 11 = 00000000 11 +3B 068 00000002 7FFFFFFF 00 = 00000000 00 +3B 069 00000002 7FFFFFFF 01 = 00000000 01 +3B 06A 00000002 7FFFFFFF 10 = 00000000 10 +3B 06B 00000002 7FFFFFFF 11 = 00000000 11 +3B 06C 7FFFFFFF 7FFFFFFF 00 = 7F7F7F7F 00 +3B 06D 7FFFFFFF 7FFFFFFF 01 = 7F7F7F7F 01 +3B 06E 7FFFFFFF 7FFFFFFF 10 = 7F7F7F7F 10 +3B 06F 7FFFFFFF 7FFFFFFF 11 = 7F7F7F7F 11 +3B 070 80000000 7FFFFFFF 00 = 80808080 00 +3B 071 80000000 7FFFFFFF 01 = 80808080 01 +3B 072 80000000 7FFFFFFF 10 = 80808080 10 +3B 073 80000000 7FFFFFFF 11 = 80808080 11 +3B 074 80000001 7FFFFFFF 00 = 80808080 00 +3B 075 80000001 7FFFFFFF 01 = 80808080 01 +3B 076 80000001 7FFFFFFF 10 = 80808080 10 +3B 077 80000001 7FFFFFFF 11 = 80808080 11 +3B 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +3B 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 +3B 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +3B 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 +3B 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +3B 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +3B 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +3B 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +3B 080 00000000 80000000 00 = 00000000 00 +3B 081 00000000 80000000 01 = 00000000 01 +3B 082 00000000 80000000 10 = 00000000 10 +3B 083 00000000 80000000 11 = 00000000 11 +3B 084 00000001 80000000 00 = 01010101 00 +3B 085 00000001 80000000 01 = 01010101 01 +3B 086 00000001 80000000 10 = 01010101 10 +3B 087 00000001 80000000 11 = 01010101 11 +3B 088 00000002 80000000 00 = 02020202 00 +3B 089 00000002 80000000 01 = 02020202 01 +3B 08A 00000002 80000000 10 = 02020202 10 +3B 08B 00000002 80000000 11 = 02020202 11 +3B 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +3B 08D 7FFFFFFF 80000000 01 = FFFFFFFF 01 +3B 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +3B 08F 7FFFFFFF 80000000 11 = FFFFFFFF 11 +3B 090 80000000 80000000 00 = 00000000 00 +3B 091 80000000 80000000 01 = 00000000 01 +3B 092 80000000 80000000 10 = 00000000 10 +3B 093 80000000 80000000 11 = 00000000 11 +3B 094 80000001 80000000 00 = 01010101 00 +3B 095 80000001 80000000 01 = 01010101 01 +3B 096 80000001 80000000 10 = 01010101 10 +3B 097 80000001 80000000 11 = 01010101 11 +3B 098 FFFFFFFE 80000000 00 = FEFEFEFE 00 +3B 099 FFFFFFFE 80000000 01 = FEFEFEFE 01 +3B 09A FFFFFFFE 80000000 10 = FEFEFEFE 10 +3B 09B FFFFFFFE 80000000 11 = FEFEFEFE 11 +3B 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +3B 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +3B 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +3B 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +3B 0A0 00000000 80000001 00 = 00000000 00 +3B 0A1 00000000 80000001 01 = 00000000 01 +3B 0A2 00000000 80000001 10 = 00000000 10 +3B 0A3 00000000 80000001 11 = 00000000 11 +3B 0A4 00000001 80000001 00 = 01010100 00 +3B 0A5 00000001 80000001 01 = 01010100 01 +3B 0A6 00000001 80000001 10 = 01010100 10 +3B 0A7 00000001 80000001 11 = 01010100 11 +3B 0A8 00000002 80000001 00 = 02020200 00 +3B 0A9 00000002 80000001 01 = 02020200 01 +3B 0AA 00000002 80000001 10 = 02020200 10 +3B 0AB 00000002 80000001 11 = 02020200 11 +3B 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +3B 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 01 +3B 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 +3B 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 11 +3B 0B0 80000000 80000001 00 = 00000000 00 +3B 0B1 80000000 80000001 01 = 00000000 01 +3B 0B2 80000000 80000001 10 = 00000000 10 +3B 0B3 80000000 80000001 11 = 00000000 11 +3B 0B4 80000001 80000001 00 = 01010100 00 +3B 0B5 80000001 80000001 01 = 01010100 01 +3B 0B6 80000001 80000001 10 = 01010100 10 +3B 0B7 80000001 80000001 11 = 01010100 11 +3B 0B8 FFFFFFFE 80000001 00 = FEFEFEFF 00 +3B 0B9 FFFFFFFE 80000001 01 = FEFEFEFF 01 +3B 0BA FFFFFFFE 80000001 10 = FEFEFEFF 10 +3B 0BB FFFFFFFE 80000001 11 = FEFEFEFF 11 +3B 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +3B 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +3B 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +3B 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +3B 0C0 00000000 FFFFFFFE 00 = 00000000 00 +3B 0C1 00000000 FFFFFFFE 01 = 00000000 01 +3B 0C2 00000000 FFFFFFFE 10 = 00000000 10 +3B 0C3 00000000 FFFFFFFE 11 = 00000000 11 +3B 0C4 00000001 FFFFFFFE 00 = 00000000 00 +3B 0C5 00000001 FFFFFFFE 01 = 00000000 01 +3B 0C6 00000001 FFFFFFFE 10 = 00000000 10 +3B 0C7 00000001 FFFFFFFE 11 = 00000000 11 +3B 0C8 00000002 FFFFFFFE 00 = 00000000 00 +3B 0C9 00000002 FFFFFFFE 01 = 00000000 01 +3B 0CA 00000002 FFFFFFFE 10 = 00000000 10 +3B 0CB 00000002 FFFFFFFE 11 = 00000000 11 +3B 0CC 7FFFFFFF FFFFFFFE 00 = 7F7F7FFF 00 +3B 0CD 7FFFFFFF FFFFFFFE 01 = 7F7F7FFF 01 +3B 0CE 7FFFFFFF FFFFFFFE 10 = 7F7F7FFF 10 +3B 0CF 7FFFFFFF FFFFFFFE 11 = 7F7F7FFF 11 +3B 0D0 80000000 FFFFFFFE 00 = 80808000 00 +3B 0D1 80000000 FFFFFFFE 01 = 80808000 01 +3B 0D2 80000000 FFFFFFFE 10 = 80808000 10 +3B 0D3 80000000 FFFFFFFE 11 = 80808000 11 +3B 0D4 80000001 FFFFFFFE 00 = 80808000 00 +3B 0D5 80000001 FFFFFFFE 01 = 80808000 01 +3B 0D6 80000001 FFFFFFFE 10 = 80808000 10 +3B 0D7 80000001 FFFFFFFE 11 = 80808000 11 +3B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFF 00 +3B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFF 01 +3B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 +3B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 11 +3B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +3B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +3B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +3B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +3B 0E0 00000000 FFFFFFFF 00 = 00000000 00 +3B 0E1 00000000 FFFFFFFF 01 = 00000000 01 +3B 0E2 00000000 FFFFFFFF 10 = 00000000 10 +3B 0E3 00000000 FFFFFFFF 11 = 00000000 11 +3B 0E4 00000001 FFFFFFFF 00 = 00000000 00 +3B 0E5 00000001 FFFFFFFF 01 = 00000000 01 +3B 0E6 00000001 FFFFFFFF 10 = 00000000 10 +3B 0E7 00000001 FFFFFFFF 11 = 00000000 11 +3B 0E8 00000002 FFFFFFFF 00 = 00000000 00 +3B 0E9 00000002 FFFFFFFF 01 = 00000000 01 +3B 0EA 00000002 FFFFFFFF 10 = 00000000 10 +3B 0EB 00000002 FFFFFFFF 11 = 00000000 11 +3B 0EC 7FFFFFFF FFFFFFFF 00 = 7F7F7F7F 00 +3B 0ED 7FFFFFFF FFFFFFFF 01 = 7F7F7F7F 01 +3B 0EE 7FFFFFFF FFFFFFFF 10 = 7F7F7F7F 10 +3B 0EF 7FFFFFFF FFFFFFFF 11 = 7F7F7F7F 11 +3B 0F0 80000000 FFFFFFFF 00 = 80808080 00 +3B 0F1 80000000 FFFFFFFF 01 = 80808080 01 +3B 0F2 80000000 FFFFFFFF 10 = 80808080 10 +3B 0F3 80000000 FFFFFFFF 11 = 80808080 11 +3B 0F4 80000001 FFFFFFFF 00 = 80808080 00 +3B 0F5 80000001 FFFFFFFF 01 = 80808080 01 +3B 0F6 80000001 FFFFFFFF 10 = 80808080 10 +3B 0F7 80000001 FFFFFFFF 11 = 80808080 11 +3B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +3B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +3B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +3B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +3B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +3B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +3B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +mul ---D---- ---S---- CZ = ---Q---- CZ +3C 000 00000000 00000000 00 = 00000000 01 +3C 001 00000000 00000000 01 = 00000000 01 +3C 002 00000000 00000000 10 = 00000000 11 +3C 003 00000000 00000000 11 = 00000000 11 +3C 004 00000001 00000000 00 = 00000000 01 +3C 005 00000001 00000000 01 = 00000000 01 +3C 006 00000001 00000000 10 = 00000000 11 +3C 007 00000001 00000000 11 = 00000000 11 +3C 008 00000002 00000000 00 = 00000000 01 +3C 009 00000002 00000000 01 = 00000000 01 +3C 00A 00000002 00000000 10 = 00000000 11 +3C 00B 00000002 00000000 11 = 00000000 11 +3C 00C 7FFFFFFF 00000000 00 = 00000000 01 +3C 00D 7FFFFFFF 00000000 01 = 00000000 01 +3C 00E 7FFFFFFF 00000000 10 = 00000000 11 +3C 00F 7FFFFFFF 00000000 11 = 00000000 11 +3C 010 80000000 00000000 00 = 00000000 01 +3C 011 80000000 00000000 01 = 00000000 01 +3C 012 80000000 00000000 10 = 00000000 11 +3C 013 80000000 00000000 11 = 00000000 11 +3C 014 80000001 00000000 00 = 00000000 01 +3C 015 80000001 00000000 01 = 00000000 01 +3C 016 80000001 00000000 10 = 00000000 11 +3C 017 80000001 00000000 11 = 00000000 11 +3C 018 FFFFFFFE 00000000 00 = 00000000 01 +3C 019 FFFFFFFE 00000000 01 = 00000000 01 +3C 01A FFFFFFFE 00000000 10 = 00000000 11 +3C 01B FFFFFFFE 00000000 11 = 00000000 11 +3C 01C FFFFFFFF 00000000 00 = 00000000 01 +3C 01D FFFFFFFF 00000000 01 = 00000000 01 +3C 01E FFFFFFFF 00000000 10 = 00000000 11 +3C 01F FFFFFFFF 00000000 11 = 00000000 11 +3C 020 00000000 00000001 00 = 00000000 01 +3C 021 00000000 00000001 01 = 00000000 01 +3C 022 00000000 00000001 10 = 00000000 11 +3C 023 00000000 00000001 11 = 00000000 11 +3C 024 00000001 00000001 00 = 00000001 00 +3C 025 00000001 00000001 01 = 00000001 00 +3C 026 00000001 00000001 10 = 00000001 10 +3C 027 00000001 00000001 11 = 00000001 10 +3C 028 00000002 00000001 00 = 00000002 00 +3C 029 00000002 00000001 01 = 00000002 00 +3C 02A 00000002 00000001 10 = 00000002 10 +3C 02B 00000002 00000001 11 = 00000002 10 +3C 02C 7FFFFFFF 00000001 00 = 0000FFFF 00 +3C 02D 7FFFFFFF 00000001 01 = 0000FFFF 00 +3C 02E 7FFFFFFF 00000001 10 = 0000FFFF 10 +3C 02F 7FFFFFFF 00000001 11 = 0000FFFF 10 +3C 030 80000000 00000001 00 = 00000000 01 +3C 031 80000000 00000001 01 = 00000000 01 +3C 032 80000000 00000001 10 = 00000000 11 +3C 033 80000000 00000001 11 = 00000000 11 +3C 034 80000001 00000001 00 = 00000001 00 +3C 035 80000001 00000001 01 = 00000001 00 +3C 036 80000001 00000001 10 = 00000001 10 +3C 037 80000001 00000001 11 = 00000001 10 +3C 038 FFFFFFFE 00000001 00 = 0000FFFE 00 +3C 039 FFFFFFFE 00000001 01 = 0000FFFE 00 +3C 03A FFFFFFFE 00000001 10 = 0000FFFE 10 +3C 03B FFFFFFFE 00000001 11 = 0000FFFE 10 +3C 03C FFFFFFFF 00000001 00 = 0000FFFF 00 +3C 03D FFFFFFFF 00000001 01 = 0000FFFF 00 +3C 03E FFFFFFFF 00000001 10 = 0000FFFF 10 +3C 03F FFFFFFFF 00000001 11 = 0000FFFF 10 +3C 040 00000000 00000002 00 = 00000000 01 +3C 041 00000000 00000002 01 = 00000000 01 +3C 042 00000000 00000002 10 = 00000000 11 +3C 043 00000000 00000002 11 = 00000000 11 +3C 044 00000001 00000002 00 = 00000002 00 +3C 045 00000001 00000002 01 = 00000002 00 +3C 046 00000001 00000002 10 = 00000002 10 +3C 047 00000001 00000002 11 = 00000002 10 +3C 048 00000002 00000002 00 = 00000004 00 +3C 049 00000002 00000002 01 = 00000004 00 +3C 04A 00000002 00000002 10 = 00000004 10 +3C 04B 00000002 00000002 11 = 00000004 10 +3C 04C 7FFFFFFF 00000002 00 = 0001FFFE 00 +3C 04D 7FFFFFFF 00000002 01 = 0001FFFE 00 +3C 04E 7FFFFFFF 00000002 10 = 0001FFFE 10 +3C 04F 7FFFFFFF 00000002 11 = 0001FFFE 10 +3C 050 80000000 00000002 00 = 00000000 01 +3C 051 80000000 00000002 01 = 00000000 01 +3C 052 80000000 00000002 10 = 00000000 11 +3C 053 80000000 00000002 11 = 00000000 11 +3C 054 80000001 00000002 00 = 00000002 00 +3C 055 80000001 00000002 01 = 00000002 00 +3C 056 80000001 00000002 10 = 00000002 10 +3C 057 80000001 00000002 11 = 00000002 10 +3C 058 FFFFFFFE 00000002 00 = 0001FFFC 00 +3C 059 FFFFFFFE 00000002 01 = 0001FFFC 00 +3C 05A FFFFFFFE 00000002 10 = 0001FFFC 10 +3C 05B FFFFFFFE 00000002 11 = 0001FFFC 10 +3C 05C FFFFFFFF 00000002 00 = 0001FFFE 00 +3C 05D FFFFFFFF 00000002 01 = 0001FFFE 00 +3C 05E FFFFFFFF 00000002 10 = 0001FFFE 10 +3C 05F FFFFFFFF 00000002 11 = 0001FFFE 10 +3C 060 00000000 7FFFFFFF 00 = 00000000 01 +3C 061 00000000 7FFFFFFF 01 = 00000000 01 +3C 062 00000000 7FFFFFFF 10 = 00000000 11 +3C 063 00000000 7FFFFFFF 11 = 00000000 11 +3C 064 00000001 7FFFFFFF 00 = 0000FFFF 00 +3C 065 00000001 7FFFFFFF 01 = 0000FFFF 00 +3C 066 00000001 7FFFFFFF 10 = 0000FFFF 10 +3C 067 00000001 7FFFFFFF 11 = 0000FFFF 10 +3C 068 00000002 7FFFFFFF 00 = 0001FFFE 00 +3C 069 00000002 7FFFFFFF 01 = 0001FFFE 00 +3C 06A 00000002 7FFFFFFF 10 = 0001FFFE 10 +3C 06B 00000002 7FFFFFFF 11 = 0001FFFE 10 +3C 06C 7FFFFFFF 7FFFFFFF 00 = FFFE0001 00 +3C 06D 7FFFFFFF 7FFFFFFF 01 = FFFE0001 00 +3C 06E 7FFFFFFF 7FFFFFFF 10 = FFFE0001 10 +3C 06F 7FFFFFFF 7FFFFFFF 11 = FFFE0001 10 +3C 070 80000000 7FFFFFFF 00 = 00000000 01 +3C 071 80000000 7FFFFFFF 01 = 00000000 01 +3C 072 80000000 7FFFFFFF 10 = 00000000 11 +3C 073 80000000 7FFFFFFF 11 = 00000000 11 +3C 074 80000001 7FFFFFFF 00 = 0000FFFF 00 +3C 075 80000001 7FFFFFFF 01 = 0000FFFF 00 +3C 076 80000001 7FFFFFFF 10 = 0000FFFF 10 +3C 077 80000001 7FFFFFFF 11 = 0000FFFF 10 +3C 078 FFFFFFFE 7FFFFFFF 00 = FFFD0002 00 +3C 079 FFFFFFFE 7FFFFFFF 01 = FFFD0002 00 +3C 07A FFFFFFFE 7FFFFFFF 10 = FFFD0002 10 +3C 07B FFFFFFFE 7FFFFFFF 11 = FFFD0002 10 +3C 07C FFFFFFFF 7FFFFFFF 00 = FFFE0001 00 +3C 07D FFFFFFFF 7FFFFFFF 01 = FFFE0001 00 +3C 07E FFFFFFFF 7FFFFFFF 10 = FFFE0001 10 +3C 07F FFFFFFFF 7FFFFFFF 11 = FFFE0001 10 +3C 080 00000000 80000000 00 = 00000000 01 +3C 081 00000000 80000000 01 = 00000000 01 +3C 082 00000000 80000000 10 = 00000000 11 +3C 083 00000000 80000000 11 = 00000000 11 +3C 084 00000001 80000000 00 = 00000000 01 +3C 085 00000001 80000000 01 = 00000000 01 +3C 086 00000001 80000000 10 = 00000000 11 +3C 087 00000001 80000000 11 = 00000000 11 +3C 088 00000002 80000000 00 = 00000000 01 +3C 089 00000002 80000000 01 = 00000000 01 +3C 08A 00000002 80000000 10 = 00000000 11 +3C 08B 00000002 80000000 11 = 00000000 11 +3C 08C 7FFFFFFF 80000000 00 = 00000000 01 +3C 08D 7FFFFFFF 80000000 01 = 00000000 01 +3C 08E 7FFFFFFF 80000000 10 = 00000000 11 +3C 08F 7FFFFFFF 80000000 11 = 00000000 11 +3C 090 80000000 80000000 00 = 00000000 01 +3C 091 80000000 80000000 01 = 00000000 01 +3C 092 80000000 80000000 10 = 00000000 11 +3C 093 80000000 80000000 11 = 00000000 11 +3C 094 80000001 80000000 00 = 00000000 01 +3C 095 80000001 80000000 01 = 00000000 01 +3C 096 80000001 80000000 10 = 00000000 11 +3C 097 80000001 80000000 11 = 00000000 11 +3C 098 FFFFFFFE 80000000 00 = 00000000 01 +3C 099 FFFFFFFE 80000000 01 = 00000000 01 +3C 09A FFFFFFFE 80000000 10 = 00000000 11 +3C 09B FFFFFFFE 80000000 11 = 00000000 11 +3C 09C FFFFFFFF 80000000 00 = 00000000 01 +3C 09D FFFFFFFF 80000000 01 = 00000000 01 +3C 09E FFFFFFFF 80000000 10 = 00000000 11 +3C 09F FFFFFFFF 80000000 11 = 00000000 11 +3C 0A0 00000000 80000001 00 = 00000000 01 +3C 0A1 00000000 80000001 01 = 00000000 01 +3C 0A2 00000000 80000001 10 = 00000000 11 +3C 0A3 00000000 80000001 11 = 00000000 11 +3C 0A4 00000001 80000001 00 = 00000001 00 +3C 0A5 00000001 80000001 01 = 00000001 00 +3C 0A6 00000001 80000001 10 = 00000001 10 +3C 0A7 00000001 80000001 11 = 00000001 10 +3C 0A8 00000002 80000001 00 = 00000002 00 +3C 0A9 00000002 80000001 01 = 00000002 00 +3C 0AA 00000002 80000001 10 = 00000002 10 +3C 0AB 00000002 80000001 11 = 00000002 10 +3C 0AC 7FFFFFFF 80000001 00 = 0000FFFF 00 +3C 0AD 7FFFFFFF 80000001 01 = 0000FFFF 00 +3C 0AE 7FFFFFFF 80000001 10 = 0000FFFF 10 +3C 0AF 7FFFFFFF 80000001 11 = 0000FFFF 10 +3C 0B0 80000000 80000001 00 = 00000000 01 +3C 0B1 80000000 80000001 01 = 00000000 01 +3C 0B2 80000000 80000001 10 = 00000000 11 +3C 0B3 80000000 80000001 11 = 00000000 11 +3C 0B4 80000001 80000001 00 = 00000001 00 +3C 0B5 80000001 80000001 01 = 00000001 00 +3C 0B6 80000001 80000001 10 = 00000001 10 +3C 0B7 80000001 80000001 11 = 00000001 10 +3C 0B8 FFFFFFFE 80000001 00 = 0000FFFE 00 +3C 0B9 FFFFFFFE 80000001 01 = 0000FFFE 00 +3C 0BA FFFFFFFE 80000001 10 = 0000FFFE 10 +3C 0BB FFFFFFFE 80000001 11 = 0000FFFE 10 +3C 0BC FFFFFFFF 80000001 00 = 0000FFFF 00 +3C 0BD FFFFFFFF 80000001 01 = 0000FFFF 00 +3C 0BE FFFFFFFF 80000001 10 = 0000FFFF 10 +3C 0BF FFFFFFFF 80000001 11 = 0000FFFF 10 +3C 0C0 00000000 FFFFFFFE 00 = 00000000 01 +3C 0C1 00000000 FFFFFFFE 01 = 00000000 01 +3C 0C2 00000000 FFFFFFFE 10 = 00000000 11 +3C 0C3 00000000 FFFFFFFE 11 = 00000000 11 +3C 0C4 00000001 FFFFFFFE 00 = 0000FFFE 00 +3C 0C5 00000001 FFFFFFFE 01 = 0000FFFE 00 +3C 0C6 00000001 FFFFFFFE 10 = 0000FFFE 10 +3C 0C7 00000001 FFFFFFFE 11 = 0000FFFE 10 +3C 0C8 00000002 FFFFFFFE 00 = 0001FFFC 00 +3C 0C9 00000002 FFFFFFFE 01 = 0001FFFC 00 +3C 0CA 00000002 FFFFFFFE 10 = 0001FFFC 10 +3C 0CB 00000002 FFFFFFFE 11 = 0001FFFC 10 +3C 0CC 7FFFFFFF FFFFFFFE 00 = FFFD0002 00 +3C 0CD 7FFFFFFF FFFFFFFE 01 = FFFD0002 00 +3C 0CE 7FFFFFFF FFFFFFFE 10 = FFFD0002 10 +3C 0CF 7FFFFFFF FFFFFFFE 11 = FFFD0002 10 +3C 0D0 80000000 FFFFFFFE 00 = 00000000 01 +3C 0D1 80000000 FFFFFFFE 01 = 00000000 01 +3C 0D2 80000000 FFFFFFFE 10 = 00000000 11 +3C 0D3 80000000 FFFFFFFE 11 = 00000000 11 +3C 0D4 80000001 FFFFFFFE 00 = 0000FFFE 00 +3C 0D5 80000001 FFFFFFFE 01 = 0000FFFE 00 +3C 0D6 80000001 FFFFFFFE 10 = 0000FFFE 10 +3C 0D7 80000001 FFFFFFFE 11 = 0000FFFE 10 +3C 0D8 FFFFFFFE FFFFFFFE 00 = FFFC0004 00 +3C 0D9 FFFFFFFE FFFFFFFE 01 = FFFC0004 00 +3C 0DA FFFFFFFE FFFFFFFE 10 = FFFC0004 10 +3C 0DB FFFFFFFE FFFFFFFE 11 = FFFC0004 10 +3C 0DC FFFFFFFF FFFFFFFE 00 = FFFD0002 00 +3C 0DD FFFFFFFF FFFFFFFE 01 = FFFD0002 00 +3C 0DE FFFFFFFF FFFFFFFE 10 = FFFD0002 10 +3C 0DF FFFFFFFF FFFFFFFE 11 = FFFD0002 10 +3C 0E0 00000000 FFFFFFFF 00 = 00000000 01 +3C 0E1 00000000 FFFFFFFF 01 = 00000000 01 +3C 0E2 00000000 FFFFFFFF 10 = 00000000 11 +3C 0E3 00000000 FFFFFFFF 11 = 00000000 11 +3C 0E4 00000001 FFFFFFFF 00 = 0000FFFF 00 +3C 0E5 00000001 FFFFFFFF 01 = 0000FFFF 00 +3C 0E6 00000001 FFFFFFFF 10 = 0000FFFF 10 +3C 0E7 00000001 FFFFFFFF 11 = 0000FFFF 10 +3C 0E8 00000002 FFFFFFFF 00 = 0001FFFE 00 +3C 0E9 00000002 FFFFFFFF 01 = 0001FFFE 00 +3C 0EA 00000002 FFFFFFFF 10 = 0001FFFE 10 +3C 0EB 00000002 FFFFFFFF 11 = 0001FFFE 10 +3C 0EC 7FFFFFFF FFFFFFFF 00 = FFFE0001 00 +3C 0ED 7FFFFFFF FFFFFFFF 01 = FFFE0001 00 +3C 0EE 7FFFFFFF FFFFFFFF 10 = FFFE0001 10 +3C 0EF 7FFFFFFF FFFFFFFF 11 = FFFE0001 10 +3C 0F0 80000000 FFFFFFFF 00 = 00000000 01 +3C 0F1 80000000 FFFFFFFF 01 = 00000000 01 +3C 0F2 80000000 FFFFFFFF 10 = 00000000 11 +3C 0F3 80000000 FFFFFFFF 11 = 00000000 11 +3C 0F4 80000001 FFFFFFFF 00 = 0000FFFF 00 +3C 0F5 80000001 FFFFFFFF 01 = 0000FFFF 00 +3C 0F6 80000001 FFFFFFFF 10 = 0000FFFF 10 +3C 0F7 80000001 FFFFFFFF 11 = 0000FFFF 10 +3C 0F8 FFFFFFFE FFFFFFFF 00 = FFFD0002 00 +3C 0F9 FFFFFFFE FFFFFFFF 01 = FFFD0002 00 +3C 0FA FFFFFFFE FFFFFFFF 10 = FFFD0002 10 +3C 0FB FFFFFFFE FFFFFFFF 11 = FFFD0002 10 +3C 0FC FFFFFFFF FFFFFFFF 00 = FFFE0001 00 +3C 0FD FFFFFFFF FFFFFFFF 01 = FFFE0001 00 +3C 0FE FFFFFFFF FFFFFFFF 10 = FFFE0001 10 +3C 0FF FFFFFFFF FFFFFFFF 11 = FFFE0001 10 +muls ---D---- ---S---- CZ = ---Q---- CZ +3D 000 00000000 00000000 00 = 00000000 01 +3D 001 00000000 00000000 01 = 00000000 01 +3D 002 00000000 00000000 10 = 00000000 11 +3D 003 00000000 00000000 11 = 00000000 11 +3D 004 00000001 00000000 00 = 00000000 01 +3D 005 00000001 00000000 01 = 00000000 01 +3D 006 00000001 00000000 10 = 00000000 11 +3D 007 00000001 00000000 11 = 00000000 11 +3D 008 00000002 00000000 00 = 00000000 01 +3D 009 00000002 00000000 01 = 00000000 01 +3D 00A 00000002 00000000 10 = 00000000 11 +3D 00B 00000002 00000000 11 = 00000000 11 +3D 00C 7FFFFFFF 00000000 00 = 00000000 01 +3D 00D 7FFFFFFF 00000000 01 = 00000000 01 +3D 00E 7FFFFFFF 00000000 10 = 00000000 11 +3D 00F 7FFFFFFF 00000000 11 = 00000000 11 +3D 010 80000000 00000000 00 = 00000000 01 +3D 011 80000000 00000000 01 = 00000000 01 +3D 012 80000000 00000000 10 = 00000000 11 +3D 013 80000000 00000000 11 = 00000000 11 +3D 014 80000001 00000000 00 = 00000000 01 +3D 015 80000001 00000000 01 = 00000000 01 +3D 016 80000001 00000000 10 = 00000000 11 +3D 017 80000001 00000000 11 = 00000000 11 +3D 018 FFFFFFFE 00000000 00 = 00000000 01 +3D 019 FFFFFFFE 00000000 01 = 00000000 01 +3D 01A FFFFFFFE 00000000 10 = 00000000 11 +3D 01B FFFFFFFE 00000000 11 = 00000000 11 +3D 01C FFFFFFFF 00000000 00 = 00000000 01 +3D 01D FFFFFFFF 00000000 01 = 00000000 01 +3D 01E FFFFFFFF 00000000 10 = 00000000 11 +3D 01F FFFFFFFF 00000000 11 = 00000000 11 +3D 020 00000000 00000001 00 = 00000000 01 +3D 021 00000000 00000001 01 = 00000000 01 +3D 022 00000000 00000001 10 = 00000000 11 +3D 023 00000000 00000001 11 = 00000000 11 +3D 024 00000001 00000001 00 = 00000001 00 +3D 025 00000001 00000001 01 = 00000001 00 +3D 026 00000001 00000001 10 = 00000001 10 +3D 027 00000001 00000001 11 = 00000001 10 +3D 028 00000002 00000001 00 = 00000002 00 +3D 029 00000002 00000001 01 = 00000002 00 +3D 02A 00000002 00000001 10 = 00000002 10 +3D 02B 00000002 00000001 11 = 00000002 10 +3D 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 +3D 02D 7FFFFFFF 00000001 01 = FFFFFFFF 00 +3D 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 +3D 02F 7FFFFFFF 00000001 11 = FFFFFFFF 10 +3D 030 80000000 00000001 00 = 00000000 01 +3D 031 80000000 00000001 01 = 00000000 01 +3D 032 80000000 00000001 10 = 00000000 11 +3D 033 80000000 00000001 11 = 00000000 11 +3D 034 80000001 00000001 00 = 00000001 00 +3D 035 80000001 00000001 01 = 00000001 00 +3D 036 80000001 00000001 10 = 00000001 10 +3D 037 80000001 00000001 11 = 00000001 10 +3D 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 +3D 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 +3D 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 +3D 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +3D 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +3D 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 +3D 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +3D 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +3D 040 00000000 00000002 00 = 00000000 01 +3D 041 00000000 00000002 01 = 00000000 01 +3D 042 00000000 00000002 10 = 00000000 11 +3D 043 00000000 00000002 11 = 00000000 11 +3D 044 00000001 00000002 00 = 00000002 00 +3D 045 00000001 00000002 01 = 00000002 00 +3D 046 00000001 00000002 10 = 00000002 10 +3D 047 00000001 00000002 11 = 00000002 10 +3D 048 00000002 00000002 00 = 00000004 00 +3D 049 00000002 00000002 01 = 00000004 00 +3D 04A 00000002 00000002 10 = 00000004 10 +3D 04B 00000002 00000002 11 = 00000004 10 +3D 04C 7FFFFFFF 00000002 00 = FFFFFFFE 00 +3D 04D 7FFFFFFF 00000002 01 = FFFFFFFE 00 +3D 04E 7FFFFFFF 00000002 10 = FFFFFFFE 10 +3D 04F 7FFFFFFF 00000002 11 = FFFFFFFE 10 +3D 050 80000000 00000002 00 = 00000000 01 +3D 051 80000000 00000002 01 = 00000000 01 +3D 052 80000000 00000002 10 = 00000000 11 +3D 053 80000000 00000002 11 = 00000000 11 +3D 054 80000001 00000002 00 = 00000002 00 +3D 055 80000001 00000002 01 = 00000002 00 +3D 056 80000001 00000002 10 = 00000002 10 +3D 057 80000001 00000002 11 = 00000002 10 +3D 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +3D 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +3D 05A FFFFFFFE 00000002 10 = FFFFFFFC 10 +3D 05B FFFFFFFE 00000002 11 = FFFFFFFC 10 +3D 05C FFFFFFFF 00000002 00 = FFFFFFFE 00 +3D 05D FFFFFFFF 00000002 01 = FFFFFFFE 00 +3D 05E FFFFFFFF 00000002 10 = FFFFFFFE 10 +3D 05F FFFFFFFF 00000002 11 = FFFFFFFE 10 +3D 060 00000000 7FFFFFFF 00 = 00000000 01 +3D 061 00000000 7FFFFFFF 01 = 00000000 01 +3D 062 00000000 7FFFFFFF 10 = 00000000 11 +3D 063 00000000 7FFFFFFF 11 = 00000000 11 +3D 064 00000001 7FFFFFFF 00 = FFFFFFFF 00 +3D 065 00000001 7FFFFFFF 01 = FFFFFFFF 00 +3D 066 00000001 7FFFFFFF 10 = FFFFFFFF 10 +3D 067 00000001 7FFFFFFF 11 = FFFFFFFF 10 +3D 068 00000002 7FFFFFFF 00 = FFFFFFFE 00 +3D 069 00000002 7FFFFFFF 01 = FFFFFFFE 00 +3D 06A 00000002 7FFFFFFF 10 = FFFFFFFE 10 +3D 06B 00000002 7FFFFFFF 11 = FFFFFFFE 10 +3D 06C 7FFFFFFF 7FFFFFFF 00 = 00000001 00 +3D 06D 7FFFFFFF 7FFFFFFF 01 = 00000001 00 +3D 06E 7FFFFFFF 7FFFFFFF 10 = 00000001 10 +3D 06F 7FFFFFFF 7FFFFFFF 11 = 00000001 10 +3D 070 80000000 7FFFFFFF 00 = 00000000 01 +3D 071 80000000 7FFFFFFF 01 = 00000000 01 +3D 072 80000000 7FFFFFFF 10 = 00000000 11 +3D 073 80000000 7FFFFFFF 11 = 00000000 11 +3D 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 +3D 075 80000001 7FFFFFFF 01 = FFFFFFFF 00 +3D 076 80000001 7FFFFFFF 10 = FFFFFFFF 10 +3D 077 80000001 7FFFFFFF 11 = FFFFFFFF 10 +3D 078 FFFFFFFE 7FFFFFFF 00 = 00000002 00 +3D 079 FFFFFFFE 7FFFFFFF 01 = 00000002 00 +3D 07A FFFFFFFE 7FFFFFFF 10 = 00000002 10 +3D 07B FFFFFFFE 7FFFFFFF 11 = 00000002 10 +3D 07C FFFFFFFF 7FFFFFFF 00 = 00000001 00 +3D 07D FFFFFFFF 7FFFFFFF 01 = 00000001 00 +3D 07E FFFFFFFF 7FFFFFFF 10 = 00000001 10 +3D 07F FFFFFFFF 7FFFFFFF 11 = 00000001 10 +3D 080 00000000 80000000 00 = 00000000 01 +3D 081 00000000 80000000 01 = 00000000 01 +3D 082 00000000 80000000 10 = 00000000 11 +3D 083 00000000 80000000 11 = 00000000 11 +3D 084 00000001 80000000 00 = 00000000 01 +3D 085 00000001 80000000 01 = 00000000 01 +3D 086 00000001 80000000 10 = 00000000 11 +3D 087 00000001 80000000 11 = 00000000 11 +3D 088 00000002 80000000 00 = 00000000 01 +3D 089 00000002 80000000 01 = 00000000 01 +3D 08A 00000002 80000000 10 = 00000000 11 +3D 08B 00000002 80000000 11 = 00000000 11 +3D 08C 7FFFFFFF 80000000 00 = 00000000 01 +3D 08D 7FFFFFFF 80000000 01 = 00000000 01 +3D 08E 7FFFFFFF 80000000 10 = 00000000 11 +3D 08F 7FFFFFFF 80000000 11 = 00000000 11 +3D 090 80000000 80000000 00 = 00000000 01 +3D 091 80000000 80000000 01 = 00000000 01 +3D 092 80000000 80000000 10 = 00000000 11 +3D 093 80000000 80000000 11 = 00000000 11 +3D 094 80000001 80000000 00 = 00000000 01 +3D 095 80000001 80000000 01 = 00000000 01 +3D 096 80000001 80000000 10 = 00000000 11 +3D 097 80000001 80000000 11 = 00000000 11 +3D 098 FFFFFFFE 80000000 00 = 00000000 01 +3D 099 FFFFFFFE 80000000 01 = 00000000 01 +3D 09A FFFFFFFE 80000000 10 = 00000000 11 +3D 09B FFFFFFFE 80000000 11 = 00000000 11 +3D 09C FFFFFFFF 80000000 00 = 00000000 01 +3D 09D FFFFFFFF 80000000 01 = 00000000 01 +3D 09E FFFFFFFF 80000000 10 = 00000000 11 +3D 09F FFFFFFFF 80000000 11 = 00000000 11 +3D 0A0 00000000 80000001 00 = 00000000 01 +3D 0A1 00000000 80000001 01 = 00000000 01 +3D 0A2 00000000 80000001 10 = 00000000 11 +3D 0A3 00000000 80000001 11 = 00000000 11 +3D 0A4 00000001 80000001 00 = 00000001 00 +3D 0A5 00000001 80000001 01 = 00000001 00 +3D 0A6 00000001 80000001 10 = 00000001 10 +3D 0A7 00000001 80000001 11 = 00000001 10 +3D 0A8 00000002 80000001 00 = 00000002 00 +3D 0A9 00000002 80000001 01 = 00000002 00 +3D 0AA 00000002 80000001 10 = 00000002 10 +3D 0AB 00000002 80000001 11 = 00000002 10 +3D 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +3D 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 00 +3D 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 +3D 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 10 +3D 0B0 80000000 80000001 00 = 00000000 01 +3D 0B1 80000000 80000001 01 = 00000000 01 +3D 0B2 80000000 80000001 10 = 00000000 11 +3D 0B3 80000000 80000001 11 = 00000000 11 +3D 0B4 80000001 80000001 00 = 00000001 00 +3D 0B5 80000001 80000001 01 = 00000001 00 +3D 0B6 80000001 80000001 10 = 00000001 10 +3D 0B7 80000001 80000001 11 = 00000001 10 +3D 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 +3D 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 +3D 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 +3D 0BB FFFFFFFE 80000001 11 = FFFFFFFE 10 +3D 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +3D 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 +3D 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +3D 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 +3D 0C0 00000000 FFFFFFFE 00 = 00000000 01 +3D 0C1 00000000 FFFFFFFE 01 = 00000000 01 +3D 0C2 00000000 FFFFFFFE 10 = 00000000 11 +3D 0C3 00000000 FFFFFFFE 11 = 00000000 11 +3D 0C4 00000001 FFFFFFFE 00 = FFFFFFFE 00 +3D 0C5 00000001 FFFFFFFE 01 = FFFFFFFE 00 +3D 0C6 00000001 FFFFFFFE 10 = FFFFFFFE 10 +3D 0C7 00000001 FFFFFFFE 11 = FFFFFFFE 10 +3D 0C8 00000002 FFFFFFFE 00 = FFFFFFFC 00 +3D 0C9 00000002 FFFFFFFE 01 = FFFFFFFC 00 +3D 0CA 00000002 FFFFFFFE 10 = FFFFFFFC 10 +3D 0CB 00000002 FFFFFFFE 11 = FFFFFFFC 10 +3D 0CC 7FFFFFFF FFFFFFFE 00 = 00000002 00 +3D 0CD 7FFFFFFF FFFFFFFE 01 = 00000002 00 +3D 0CE 7FFFFFFF FFFFFFFE 10 = 00000002 10 +3D 0CF 7FFFFFFF FFFFFFFE 11 = 00000002 10 +3D 0D0 80000000 FFFFFFFE 00 = 00000000 01 +3D 0D1 80000000 FFFFFFFE 01 = 00000000 01 +3D 0D2 80000000 FFFFFFFE 10 = 00000000 11 +3D 0D3 80000000 FFFFFFFE 11 = 00000000 11 +3D 0D4 80000001 FFFFFFFE 00 = FFFFFFFE 00 +3D 0D5 80000001 FFFFFFFE 01 = FFFFFFFE 00 +3D 0D6 80000001 FFFFFFFE 10 = FFFFFFFE 10 +3D 0D7 80000001 FFFFFFFE 11 = FFFFFFFE 10 +3D 0D8 FFFFFFFE FFFFFFFE 00 = 00000004 00 +3D 0D9 FFFFFFFE FFFFFFFE 01 = 00000004 00 +3D 0DA FFFFFFFE FFFFFFFE 10 = 00000004 10 +3D 0DB FFFFFFFE FFFFFFFE 11 = 00000004 10 +3D 0DC FFFFFFFF FFFFFFFE 00 = 00000002 00 +3D 0DD FFFFFFFF FFFFFFFE 01 = 00000002 00 +3D 0DE FFFFFFFF FFFFFFFE 10 = 00000002 10 +3D 0DF FFFFFFFF FFFFFFFE 11 = 00000002 10 +3D 0E0 00000000 FFFFFFFF 00 = 00000000 01 +3D 0E1 00000000 FFFFFFFF 01 = 00000000 01 +3D 0E2 00000000 FFFFFFFF 10 = 00000000 11 +3D 0E3 00000000 FFFFFFFF 11 = 00000000 11 +3D 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 +3D 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 00 +3D 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +3D 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 10 +3D 0E8 00000002 FFFFFFFF 00 = FFFFFFFE 00 +3D 0E9 00000002 FFFFFFFF 01 = FFFFFFFE 00 +3D 0EA 00000002 FFFFFFFF 10 = FFFFFFFE 10 +3D 0EB 00000002 FFFFFFFF 11 = FFFFFFFE 10 +3D 0EC 7FFFFFFF FFFFFFFF 00 = 00000001 00 +3D 0ED 7FFFFFFF FFFFFFFF 01 = 00000001 00 +3D 0EE 7FFFFFFF FFFFFFFF 10 = 00000001 10 +3D 0EF 7FFFFFFF FFFFFFFF 11 = 00000001 10 +3D 0F0 80000000 FFFFFFFF 00 = 00000000 01 +3D 0F1 80000000 FFFFFFFF 01 = 00000000 01 +3D 0F2 80000000 FFFFFFFF 10 = 00000000 11 +3D 0F3 80000000 FFFFFFFF 11 = 00000000 11 +3D 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +3D 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 00 +3D 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +3D 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 10 +3D 0F8 FFFFFFFE FFFFFFFF 00 = 00000002 00 +3D 0F9 FFFFFFFE FFFFFFFF 01 = 00000002 00 +3D 0FA FFFFFFFE FFFFFFFF 10 = 00000002 10 +3D 0FB FFFFFFFE FFFFFFFF 11 = 00000002 10 +3D 0FC FFFFFFFF FFFFFFFF 00 = 00000001 00 +3D 0FD FFFFFFFF FFFFFFFF 01 = 00000001 00 +3D 0FE FFFFFFFF FFFFFFFF 10 = 00000001 10 +3D 0FF FFFFFFFF FFFFFFFF 11 = 00000001 10 +addpix ---D---- ---S---- CZ = ---Q---- CZ +3E 000 00000000 00000000 00 = 00000000 00 +3E 001 00000000 00000000 01 = 00000000 01 +3E 002 00000000 00000000 10 = 00000000 10 +3E 003 00000000 00000000 11 = 00000000 11 +3E 004 00000001 00000000 00 = 00000001 00 +3E 005 00000001 00000000 01 = 00000001 01 +3E 006 00000001 00000000 10 = 00000001 10 +3E 007 00000001 00000000 11 = 00000001 11 +3E 008 00000002 00000000 00 = 00000002 00 +3E 009 00000002 00000000 01 = 00000002 01 +3E 00A 00000002 00000000 10 = 00000002 10 +3E 00B 00000002 00000000 11 = 00000002 11 +3E 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +3E 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +3E 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +3E 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +3E 010 80000000 00000000 00 = 80000000 00 +3E 011 80000000 00000000 01 = 80000000 01 +3E 012 80000000 00000000 10 = 80000000 10 +3E 013 80000000 00000000 11 = 80000000 11 +3E 014 80000001 00000000 00 = 80000001 00 +3E 015 80000001 00000000 01 = 80000001 01 +3E 016 80000001 00000000 10 = 80000001 10 +3E 017 80000001 00000000 11 = 80000001 11 +3E 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +3E 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +3E 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +3E 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +3E 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +3E 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +3E 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +3E 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +3E 020 00000000 00000001 00 = 00000001 00 +3E 021 00000000 00000001 01 = 00000001 01 +3E 022 00000000 00000001 10 = 00000001 10 +3E 023 00000000 00000001 11 = 00000001 11 +3E 024 00000001 00000001 00 = 00000002 00 +3E 025 00000001 00000001 01 = 00000002 01 +3E 026 00000001 00000001 10 = 00000002 10 +3E 027 00000001 00000001 11 = 00000002 11 +3E 028 00000002 00000001 00 = 00000003 00 +3E 029 00000002 00000001 01 = 00000003 01 +3E 02A 00000002 00000001 10 = 00000003 10 +3E 02B 00000002 00000001 11 = 00000003 11 +3E 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 00 +3E 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 01 +3E 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 +3E 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 11 +3E 030 80000000 00000001 00 = 80000001 00 +3E 031 80000000 00000001 01 = 80000001 01 +3E 032 80000000 00000001 10 = 80000001 10 +3E 033 80000000 00000001 11 = 80000001 11 +3E 034 80000001 00000001 00 = 80000002 00 +3E 035 80000001 00000001 01 = 80000002 01 +3E 036 80000001 00000001 10 = 80000002 10 +3E 037 80000001 00000001 11 = 80000002 11 +3E 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 +3E 039 FFFFFFFE 00000001 01 = FFFFFFFF 01 +3E 03A FFFFFFFE 00000001 10 = FFFFFFFF 10 +3E 03B FFFFFFFE 00000001 11 = FFFFFFFF 11 +3E 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 +3E 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +3E 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 +3E 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 +3E 040 00000000 00000002 00 = 00000002 00 +3E 041 00000000 00000002 01 = 00000002 01 +3E 042 00000000 00000002 10 = 00000002 10 +3E 043 00000000 00000002 11 = 00000002 11 +3E 044 00000001 00000002 00 = 00000003 00 +3E 045 00000001 00000002 01 = 00000003 01 +3E 046 00000001 00000002 10 = 00000003 10 +3E 047 00000001 00000002 11 = 00000003 11 +3E 048 00000002 00000002 00 = 00000004 00 +3E 049 00000002 00000002 01 = 00000004 01 +3E 04A 00000002 00000002 10 = 00000004 10 +3E 04B 00000002 00000002 11 = 00000004 11 +3E 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 00 +3E 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 01 +3E 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 +3E 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 11 +3E 050 80000000 00000002 00 = 80000002 00 +3E 051 80000000 00000002 01 = 80000002 01 +3E 052 80000000 00000002 10 = 80000002 10 +3E 053 80000000 00000002 11 = 80000002 11 +3E 054 80000001 00000002 00 = 80000003 00 +3E 055 80000001 00000002 01 = 80000003 01 +3E 056 80000001 00000002 10 = 80000003 10 +3E 057 80000001 00000002 11 = 80000003 11 +3E 058 FFFFFFFE 00000002 00 = FFFFFFFF 00 +3E 059 FFFFFFFE 00000002 01 = FFFFFFFF 01 +3E 05A FFFFFFFE 00000002 10 = FFFFFFFF 10 +3E 05B FFFFFFFE 00000002 11 = FFFFFFFF 11 +3E 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 +3E 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +3E 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 +3E 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 +3E 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 +3E 061 00000000 7FFFFFFF 01 = 7FFFFFFF 01 +3E 062 00000000 7FFFFFFF 10 = 7FFFFFFF 10 +3E 063 00000000 7FFFFFFF 11 = 7FFFFFFF 11 +3E 064 00000001 7FFFFFFF 00 = 7FFFFFFF 00 +3E 065 00000001 7FFFFFFF 01 = 7FFFFFFF 01 +3E 066 00000001 7FFFFFFF 10 = 7FFFFFFF 10 +3E 067 00000001 7FFFFFFF 11 = 7FFFFFFF 11 +3E 068 00000002 7FFFFFFF 00 = 7FFFFFFF 00 +3E 069 00000002 7FFFFFFF 01 = 7FFFFFFF 01 +3E 06A 00000002 7FFFFFFF 10 = 7FFFFFFF 10 +3E 06B 00000002 7FFFFFFF 11 = 7FFFFFFF 11 +3E 06C 7FFFFFFF 7FFFFFFF 00 = FEFFFFFF 00 +3E 06D 7FFFFFFF 7FFFFFFF 01 = FEFFFFFF 01 +3E 06E 7FFFFFFF 7FFFFFFF 10 = FEFFFFFF 10 +3E 06F 7FFFFFFF 7FFFFFFF 11 = FEFFFFFF 11 +3E 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +3E 071 80000000 7FFFFFFF 01 = FFFFFFFF 01 +3E 072 80000000 7FFFFFFF 10 = FFFFFFFF 10 +3E 073 80000000 7FFFFFFF 11 = FFFFFFFF 11 +3E 074 80000001 7FFFFFFF 00 = FFFFFFFF 00 +3E 075 80000001 7FFFFFFF 01 = FFFFFFFF 01 +3E 076 80000001 7FFFFFFF 10 = FFFFFFFF 10 +3E 077 80000001 7FFFFFFF 11 = FFFFFFFF 11 +3E 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFF 00 +3E 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFF 01 +3E 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFF 10 +3E 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFF 11 +3E 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 +3E 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +3E 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +3E 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 +3E 080 00000000 80000000 00 = 80000000 00 +3E 081 00000000 80000000 01 = 80000000 01 +3E 082 00000000 80000000 10 = 80000000 10 +3E 083 00000000 80000000 11 = 80000000 11 +3E 084 00000001 80000000 00 = 80000001 00 +3E 085 00000001 80000000 01 = 80000001 01 +3E 086 00000001 80000000 10 = 80000001 10 +3E 087 00000001 80000000 11 = 80000001 11 +3E 088 00000002 80000000 00 = 80000002 00 +3E 089 00000002 80000000 01 = 80000002 01 +3E 08A 00000002 80000000 10 = 80000002 10 +3E 08B 00000002 80000000 11 = 80000002 11 +3E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +3E 08D 7FFFFFFF 80000000 01 = FFFFFFFF 01 +3E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +3E 08F 7FFFFFFF 80000000 11 = FFFFFFFF 11 +3E 090 80000000 80000000 00 = FF000000 00 +3E 091 80000000 80000000 01 = FF000000 01 +3E 092 80000000 80000000 10 = FF000000 10 +3E 093 80000000 80000000 11 = FF000000 11 +3E 094 80000001 80000000 00 = FF000001 00 +3E 095 80000001 80000000 01 = FF000001 01 +3E 096 80000001 80000000 10 = FF000001 10 +3E 097 80000001 80000000 11 = FF000001 11 +3E 098 FFFFFFFE 80000000 00 = FFFFFFFE 00 +3E 099 FFFFFFFE 80000000 01 = FFFFFFFE 01 +3E 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 +3E 09B FFFFFFFE 80000000 11 = FFFFFFFE 11 +3E 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 +3E 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +3E 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 +3E 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 +3E 0A0 00000000 80000001 00 = 80000001 00 +3E 0A1 00000000 80000001 01 = 80000001 01 +3E 0A2 00000000 80000001 10 = 80000001 10 +3E 0A3 00000000 80000001 11 = 80000001 11 +3E 0A4 00000001 80000001 00 = 80000002 00 +3E 0A5 00000001 80000001 01 = 80000002 01 +3E 0A6 00000001 80000001 10 = 80000002 10 +3E 0A7 00000001 80000001 11 = 80000002 11 +3E 0A8 00000002 80000001 00 = 80000003 00 +3E 0A9 00000002 80000001 01 = 80000003 01 +3E 0AA 00000002 80000001 10 = 80000003 10 +3E 0AB 00000002 80000001 11 = 80000003 11 +3E 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 +3E 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 01 +3E 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 +3E 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 11 +3E 0B0 80000000 80000001 00 = FF000001 00 +3E 0B1 80000000 80000001 01 = FF000001 01 +3E 0B2 80000000 80000001 10 = FF000001 10 +3E 0B3 80000000 80000001 11 = FF000001 11 +3E 0B4 80000001 80000001 00 = FF000002 00 +3E 0B5 80000001 80000001 01 = FF000002 01 +3E 0B6 80000001 80000001 10 = FF000002 10 +3E 0B7 80000001 80000001 11 = FF000002 11 +3E 0B8 FFFFFFFE 80000001 00 = FFFFFFFF 00 +3E 0B9 FFFFFFFE 80000001 01 = FFFFFFFF 01 +3E 0BA FFFFFFFE 80000001 10 = FFFFFFFF 10 +3E 0BB FFFFFFFE 80000001 11 = FFFFFFFF 11 +3E 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 +3E 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +3E 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 +3E 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 +3E 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +3E 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 01 +3E 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +3E 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 11 +3E 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +3E 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 01 +3E 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 10 +3E 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 11 +3E 0C8 00000002 FFFFFFFE 00 = FFFFFFFF 00 +3E 0C9 00000002 FFFFFFFE 01 = FFFFFFFF 01 +3E 0CA 00000002 FFFFFFFE 10 = FFFFFFFF 10 +3E 0CB 00000002 FFFFFFFE 11 = FFFFFFFF 11 +3E 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +3E 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +3E 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +3E 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +3E 0D0 80000000 FFFFFFFE 00 = FFFFFFFE 00 +3E 0D1 80000000 FFFFFFFE 01 = FFFFFFFE 01 +3E 0D2 80000000 FFFFFFFE 10 = FFFFFFFE 10 +3E 0D3 80000000 FFFFFFFE 11 = FFFFFFFE 11 +3E 0D4 80000001 FFFFFFFE 00 = FFFFFFFF 00 +3E 0D5 80000001 FFFFFFFE 01 = FFFFFFFF 01 +3E 0D6 80000001 FFFFFFFE 10 = FFFFFFFF 10 +3E 0D7 80000001 FFFFFFFE 11 = FFFFFFFF 11 +3E 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFF 00 +3E 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFF 01 +3E 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 +3E 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 11 +3E 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 +3E 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +3E 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 +3E 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 +3E 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +3E 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 01 +3E 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +3E 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 11 +3E 0E4 00000001 FFFFFFFF 00 = FFFFFFFF 00 +3E 0E5 00000001 FFFFFFFF 01 = FFFFFFFF 01 +3E 0E6 00000001 FFFFFFFF 10 = FFFFFFFF 10 +3E 0E7 00000001 FFFFFFFF 11 = FFFFFFFF 11 +3E 0E8 00000002 FFFFFFFF 00 = FFFFFFFF 00 +3E 0E9 00000002 FFFFFFFF 01 = FFFFFFFF 01 +3E 0EA 00000002 FFFFFFFF 10 = FFFFFFFF 10 +3E 0EB 00000002 FFFFFFFF 11 = FFFFFFFF 11 +3E 0EC 7FFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3E 0ED 7FFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +3E 0EE 7FFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +3E 0EF 7FFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +3E 0F0 80000000 FFFFFFFF 00 = FFFFFFFF 00 +3E 0F1 80000000 FFFFFFFF 01 = FFFFFFFF 01 +3E 0F2 80000000 FFFFFFFF 10 = FFFFFFFF 10 +3E 0F3 80000000 FFFFFFFF 11 = FFFFFFFF 11 +3E 0F4 80000001 FFFFFFFF 00 = FFFFFFFF 00 +3E 0F5 80000001 FFFFFFFF 01 = FFFFFFFF 01 +3E 0F6 80000001 FFFFFFFF 10 = FFFFFFFF 10 +3E 0F7 80000001 FFFFFFFF 11 = FFFFFFFF 11 +3E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 +3E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 01 +3E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +3E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 11 +3E 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3E 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +3E 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +3E 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +mulpix ---D---- ---S---- CZ = ---Q---- CZ +3F 000 00000000 00000000 00 = 00000000 00 +3F 001 00000000 00000000 01 = 00000000 01 +3F 002 00000000 00000000 10 = 00000000 10 +3F 003 00000000 00000000 11 = 00000000 11 +3F 004 00000001 00000000 00 = 00000000 00 +3F 005 00000001 00000000 01 = 00000000 01 +3F 006 00000001 00000000 10 = 00000000 10 +3F 007 00000001 00000000 11 = 00000000 11 +3F 008 00000002 00000000 00 = 00000000 00 +3F 009 00000002 00000000 01 = 00000000 01 +3F 00A 00000002 00000000 10 = 00000000 10 +3F 00B 00000002 00000000 11 = 00000000 11 +3F 00C 7FFFFFFF 00000000 00 = 00000000 00 +3F 00D 7FFFFFFF 00000000 01 = 00000000 01 +3F 00E 7FFFFFFF 00000000 10 = 00000000 10 +3F 00F 7FFFFFFF 00000000 11 = 00000000 11 +3F 010 80000000 00000000 00 = 00000000 00 +3F 011 80000000 00000000 01 = 00000000 01 +3F 012 80000000 00000000 10 = 00000000 10 +3F 013 80000000 00000000 11 = 00000000 11 +3F 014 80000001 00000000 00 = 00000000 00 +3F 015 80000001 00000000 01 = 00000000 01 +3F 016 80000001 00000000 10 = 00000000 10 +3F 017 80000001 00000000 11 = 00000000 11 +3F 018 FFFFFFFE 00000000 00 = 00000000 00 +3F 019 FFFFFFFE 00000000 01 = 00000000 01 +3F 01A FFFFFFFE 00000000 10 = 00000000 10 +3F 01B FFFFFFFE 00000000 11 = 00000000 11 +3F 01C FFFFFFFF 00000000 00 = 00000000 00 +3F 01D FFFFFFFF 00000000 01 = 00000000 01 +3F 01E FFFFFFFF 00000000 10 = 00000000 10 +3F 01F FFFFFFFF 00000000 11 = 00000000 11 +3F 020 00000000 00000001 00 = 00000000 00 +3F 021 00000000 00000001 01 = 00000000 01 +3F 022 00000000 00000001 10 = 00000000 10 +3F 023 00000000 00000001 11 = 00000000 11 +3F 024 00000001 00000001 00 = 00000001 00 +3F 025 00000001 00000001 01 = 00000001 01 +3F 026 00000001 00000001 10 = 00000001 10 +3F 027 00000001 00000001 11 = 00000001 11 +3F 028 00000002 00000001 00 = 00000001 00 +3F 029 00000002 00000001 01 = 00000001 01 +3F 02A 00000002 00000001 10 = 00000001 10 +3F 02B 00000002 00000001 11 = 00000001 11 +3F 02C 7FFFFFFF 00000001 00 = 00000001 00 +3F 02D 7FFFFFFF 00000001 01 = 00000001 01 +3F 02E 7FFFFFFF 00000001 10 = 00000001 10 +3F 02F 7FFFFFFF 00000001 11 = 00000001 11 +3F 030 80000000 00000001 00 = 00000000 00 +3F 031 80000000 00000001 01 = 00000000 01 +3F 032 80000000 00000001 10 = 00000000 10 +3F 033 80000000 00000001 11 = 00000000 11 +3F 034 80000001 00000001 00 = 00000001 00 +3F 035 80000001 00000001 01 = 00000001 01 +3F 036 80000001 00000001 10 = 00000001 10 +3F 037 80000001 00000001 11 = 00000001 11 +3F 038 FFFFFFFE 00000001 00 = 00000001 00 +3F 039 FFFFFFFE 00000001 01 = 00000001 01 +3F 03A FFFFFFFE 00000001 10 = 00000001 10 +3F 03B FFFFFFFE 00000001 11 = 00000001 11 +3F 03C FFFFFFFF 00000001 00 = 00000001 00 +3F 03D FFFFFFFF 00000001 01 = 00000001 01 +3F 03E FFFFFFFF 00000001 10 = 00000001 10 +3F 03F FFFFFFFF 00000001 11 = 00000001 11 +3F 040 00000000 00000002 00 = 00000000 00 +3F 041 00000000 00000002 01 = 00000000 01 +3F 042 00000000 00000002 10 = 00000000 10 +3F 043 00000000 00000002 11 = 00000000 11 +3F 044 00000001 00000002 00 = 00000001 00 +3F 045 00000001 00000002 01 = 00000001 01 +3F 046 00000001 00000002 10 = 00000001 10 +3F 047 00000001 00000002 11 = 00000001 11 +3F 048 00000002 00000002 00 = 00000001 00 +3F 049 00000002 00000002 01 = 00000001 01 +3F 04A 00000002 00000002 10 = 00000001 10 +3F 04B 00000002 00000002 11 = 00000001 11 +3F 04C 7FFFFFFF 00000002 00 = 00000002 00 +3F 04D 7FFFFFFF 00000002 01 = 00000002 01 +3F 04E 7FFFFFFF 00000002 10 = 00000002 10 +3F 04F 7FFFFFFF 00000002 11 = 00000002 11 +3F 050 80000000 00000002 00 = 00000000 00 +3F 051 80000000 00000002 01 = 00000000 01 +3F 052 80000000 00000002 10 = 00000000 10 +3F 053 80000000 00000002 11 = 00000000 11 +3F 054 80000001 00000002 00 = 00000001 00 +3F 055 80000001 00000002 01 = 00000001 01 +3F 056 80000001 00000002 10 = 00000001 10 +3F 057 80000001 00000002 11 = 00000001 11 +3F 058 FFFFFFFE 00000002 00 = 00000002 00 +3F 059 FFFFFFFE 00000002 01 = 00000002 01 +3F 05A FFFFFFFE 00000002 10 = 00000002 10 +3F 05B FFFFFFFE 00000002 11 = 00000002 11 +3F 05C FFFFFFFF 00000002 00 = 00000002 00 +3F 05D FFFFFFFF 00000002 01 = 00000002 01 +3F 05E FFFFFFFF 00000002 10 = 00000002 10 +3F 05F FFFFFFFF 00000002 11 = 00000002 11 +3F 060 00000000 7FFFFFFF 00 = 00000000 00 +3F 061 00000000 7FFFFFFF 01 = 00000000 01 +3F 062 00000000 7FFFFFFF 10 = 00000000 10 +3F 063 00000000 7FFFFFFF 11 = 00000000 11 +3F 064 00000001 7FFFFFFF 00 = 00000001 00 +3F 065 00000001 7FFFFFFF 01 = 00000001 01 +3F 066 00000001 7FFFFFFF 10 = 00000001 10 +3F 067 00000001 7FFFFFFF 11 = 00000001 11 +3F 068 00000002 7FFFFFFF 00 = 00000002 00 +3F 069 00000002 7FFFFFFF 01 = 00000002 01 +3F 06A 00000002 7FFFFFFF 10 = 00000002 10 +3F 06B 00000002 7FFFFFFF 11 = 00000002 11 +3F 06C 7FFFFFFF 7FFFFFFF 00 = 40FFFFFF 00 +3F 06D 7FFFFFFF 7FFFFFFF 01 = 40FFFFFF 01 +3F 06E 7FFFFFFF 7FFFFFFF 10 = 40FFFFFF 10 +3F 06F 7FFFFFFF 7FFFFFFF 11 = 40FFFFFF 11 +3F 070 80000000 7FFFFFFF 00 = 40000000 00 +3F 071 80000000 7FFFFFFF 01 = 40000000 01 +3F 072 80000000 7FFFFFFF 10 = 40000000 10 +3F 073 80000000 7FFFFFFF 11 = 40000000 11 +3F 074 80000001 7FFFFFFF 00 = 40000001 00 +3F 075 80000001 7FFFFFFF 01 = 40000001 01 +3F 076 80000001 7FFFFFFF 10 = 40000001 10 +3F 077 80000001 7FFFFFFF 11 = 40000001 11 +3F 078 FFFFFFFE 7FFFFFFF 00 = 7FFFFFFE 00 +3F 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFE 01 +3F 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 10 +3F 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 11 +3F 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 +3F 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 +3F 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 +3F 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 +3F 080 00000000 80000000 00 = 00000000 00 +3F 081 00000000 80000000 01 = 00000000 01 +3F 082 00000000 80000000 10 = 00000000 10 +3F 083 00000000 80000000 11 = 00000000 11 +3F 084 00000001 80000000 00 = 00000000 00 +3F 085 00000001 80000000 01 = 00000000 01 +3F 086 00000001 80000000 10 = 00000000 10 +3F 087 00000001 80000000 11 = 00000000 11 +3F 088 00000002 80000000 00 = 00000000 00 +3F 089 00000002 80000000 01 = 00000000 01 +3F 08A 00000002 80000000 10 = 00000000 10 +3F 08B 00000002 80000000 11 = 00000000 11 +3F 08C 7FFFFFFF 80000000 00 = 40000000 00 +3F 08D 7FFFFFFF 80000000 01 = 40000000 01 +3F 08E 7FFFFFFF 80000000 10 = 40000000 10 +3F 08F 7FFFFFFF 80000000 11 = 40000000 11 +3F 090 80000000 80000000 00 = 40000000 00 +3F 091 80000000 80000000 01 = 40000000 01 +3F 092 80000000 80000000 10 = 40000000 10 +3F 093 80000000 80000000 11 = 40000000 11 +3F 094 80000001 80000000 00 = 40000000 00 +3F 095 80000001 80000000 01 = 40000000 01 +3F 096 80000001 80000000 10 = 40000000 10 +3F 097 80000001 80000000 11 = 40000000 11 +3F 098 FFFFFFFE 80000000 00 = 80000000 00 +3F 099 FFFFFFFE 80000000 01 = 80000000 01 +3F 09A FFFFFFFE 80000000 10 = 80000000 10 +3F 09B FFFFFFFE 80000000 11 = 80000000 11 +3F 09C FFFFFFFF 80000000 00 = 80000000 00 +3F 09D FFFFFFFF 80000000 01 = 80000000 01 +3F 09E FFFFFFFF 80000000 10 = 80000000 10 +3F 09F FFFFFFFF 80000000 11 = 80000000 11 +3F 0A0 00000000 80000001 00 = 00000000 00 +3F 0A1 00000000 80000001 01 = 00000000 01 +3F 0A2 00000000 80000001 10 = 00000000 10 +3F 0A3 00000000 80000001 11 = 00000000 11 +3F 0A4 00000001 80000001 00 = 00000001 00 +3F 0A5 00000001 80000001 01 = 00000001 01 +3F 0A6 00000001 80000001 10 = 00000001 10 +3F 0A7 00000001 80000001 11 = 00000001 11 +3F 0A8 00000002 80000001 00 = 00000001 00 +3F 0A9 00000002 80000001 01 = 00000001 01 +3F 0AA 00000002 80000001 10 = 00000001 10 +3F 0AB 00000002 80000001 11 = 00000001 11 +3F 0AC 7FFFFFFF 80000001 00 = 40000001 00 +3F 0AD 7FFFFFFF 80000001 01 = 40000001 01 +3F 0AE 7FFFFFFF 80000001 10 = 40000001 10 +3F 0AF 7FFFFFFF 80000001 11 = 40000001 11 +3F 0B0 80000000 80000001 00 = 40000000 00 +3F 0B1 80000000 80000001 01 = 40000000 01 +3F 0B2 80000000 80000001 10 = 40000000 10 +3F 0B3 80000000 80000001 11 = 40000000 11 +3F 0B4 80000001 80000001 00 = 40000001 00 +3F 0B5 80000001 80000001 01 = 40000001 01 +3F 0B6 80000001 80000001 10 = 40000001 10 +3F 0B7 80000001 80000001 11 = 40000001 11 +3F 0B8 FFFFFFFE 80000001 00 = 80000001 00 +3F 0B9 FFFFFFFE 80000001 01 = 80000001 01 +3F 0BA FFFFFFFE 80000001 10 = 80000001 10 +3F 0BB FFFFFFFE 80000001 11 = 80000001 11 +3F 0BC FFFFFFFF 80000001 00 = 80000001 00 +3F 0BD FFFFFFFF 80000001 01 = 80000001 01 +3F 0BE FFFFFFFF 80000001 10 = 80000001 10 +3F 0BF FFFFFFFF 80000001 11 = 80000001 11 +3F 0C0 00000000 FFFFFFFE 00 = 00000000 00 +3F 0C1 00000000 FFFFFFFE 01 = 00000000 01 +3F 0C2 00000000 FFFFFFFE 10 = 00000000 10 +3F 0C3 00000000 FFFFFFFE 11 = 00000000 11 +3F 0C4 00000001 FFFFFFFE 00 = 00000001 00 +3F 0C5 00000001 FFFFFFFE 01 = 00000001 01 +3F 0C6 00000001 FFFFFFFE 10 = 00000001 10 +3F 0C7 00000001 FFFFFFFE 11 = 00000001 11 +3F 0C8 00000002 FFFFFFFE 00 = 00000002 00 +3F 0C9 00000002 FFFFFFFE 01 = 00000002 01 +3F 0CA 00000002 FFFFFFFE 10 = 00000002 10 +3F 0CB 00000002 FFFFFFFE 11 = 00000002 11 +3F 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFE 00 +3F 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFE 01 +3F 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFE 10 +3F 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFE 11 +3F 0D0 80000000 FFFFFFFE 00 = 80000000 00 +3F 0D1 80000000 FFFFFFFE 01 = 80000000 01 +3F 0D2 80000000 FFFFFFFE 10 = 80000000 10 +3F 0D3 80000000 FFFFFFFE 11 = 80000000 11 +3F 0D4 80000001 FFFFFFFE 00 = 80000001 00 +3F 0D5 80000001 FFFFFFFE 01 = 80000001 01 +3F 0D6 80000001 FFFFFFFE 10 = 80000001 10 +3F 0D7 80000001 FFFFFFFE 11 = 80000001 11 +3F 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFD 00 +3F 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFD 01 +3F 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFD 10 +3F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFD 11 +3F 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFE 00 +3F 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFE 01 +3F 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +3F 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 11 +3F 0E0 00000000 FFFFFFFF 00 = 00000000 00 +3F 0E1 00000000 FFFFFFFF 01 = 00000000 01 +3F 0E2 00000000 FFFFFFFF 10 = 00000000 10 +3F 0E3 00000000 FFFFFFFF 11 = 00000000 11 +3F 0E4 00000001 FFFFFFFF 00 = 00000001 00 +3F 0E5 00000001 FFFFFFFF 01 = 00000001 01 +3F 0E6 00000001 FFFFFFFF 10 = 00000001 10 +3F 0E7 00000001 FFFFFFFF 11 = 00000001 11 +3F 0E8 00000002 FFFFFFFF 00 = 00000002 00 +3F 0E9 00000002 FFFFFFFF 01 = 00000002 01 +3F 0EA 00000002 FFFFFFFF 10 = 00000002 10 +3F 0EB 00000002 FFFFFFFF 11 = 00000002 11 +3F 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 +3F 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 +3F 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 +3F 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 +3F 0F0 80000000 FFFFFFFF 00 = 80000000 00 +3F 0F1 80000000 FFFFFFFF 01 = 80000000 01 +3F 0F2 80000000 FFFFFFFF 10 = 80000000 10 +3F 0F3 80000000 FFFFFFFF 11 = 80000000 11 +3F 0F4 80000001 FFFFFFFF 00 = 80000001 00 +3F 0F5 80000001 FFFFFFFF 01 = 80000001 01 +3F 0F6 80000001 FFFFFFFF 10 = 80000001 10 +3F 0F7 80000001 FFFFFFFF 11 = 80000001 11 +3F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 +3F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +3F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +3F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 +3F 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 +3F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +3F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +3F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 diff --git a/verify/testhdwa.txt b/verify/testhdwa.txt new file mode 100755 index 0000000..9273f70 --- /dev/null +++ b/verify/testhdwa.txt @@ -0,0 +1,1216 @@ +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altsn 12345678 7893FC03 00 00000028 = 0000002A 00 7893FC03 12345678 +altsn 12345678 7893FC03 00 00000029 = 000000A7 00 7893FC03 12345678 +altsn 12345678 7893FC03 00 0000002A = 00000A28 00 7893FC03 12345678 +altsn 12345678 7893FC03 00 0000002B = 0000A029 00 7893FC03 12345678 +altsn 12345678 7893FC03 00 0000002C = 000A002A 00 7893FC03 12345678 +altsn 12345678 7893FC03 00 0000002D = 00A0002B 00 7893FC03 12345678 +altsn 12345678 7893FC03 00 0000002E = 0A00002C 00 7893FC03 12345678 +altsn 12345678 7893FC03 00 0000002F = A000002D 00 7893FC03 12345678 +altsn 12345678 7893FC03 00 00000030 = 0000002E 00 7893FC0A 12345678 +altsn 12345678 7893FC03 00 00000031 = 0000002F 00 7893FCA3 12345678 +altsn 12345678 7893FC03 00 00000032 = 00000030 00 7893FA03 12345678 +altsn 12345678 7893FC03 00 00000033 = 00000031 00 7893AC03 12345678 +altsn 12345678 7893FC03 00 00000034 = 00000032 00 789AFC03 12345678 +altsn 12345678 7893FC03 00 00000035 = 00000033 00 78A3FC03 12345678 +altsn 12345678 7893FC03 00 00000036 = 00000034 00 7A93FC03 12345678 +altsn 12345678 7893FC03 00 00000037 = 00000035 00 A893FC03 12345678 +altsn 12345678 7893FC03 00 00000038 = 00000036 00 7893FC03 1234567A +altsn 12345678 7893FC03 00 00000039 = 00000037 00 7893FC03 123456A8 +altsn 12345678 7893FC03 00 0000003A = 00000038 00 7893FC03 12345A78 +altsn 12345678 7893FC03 00 0000003B = 00000039 00 7893FC03 1234A678 +altsn 12345678 7893FC03 00 0000003C = 0000003A 00 7893FC03 123A5678 +altsn 12345678 7893FC03 00 0000003D = 0000003B 00 7893FC03 12A45678 +altsn 12345678 7893FC03 00 0000003E = 0000003C 00 7893FC03 1A345678 +altsn 12345678 7893FC03 00 0000003F = 0000003D 00 7893FC03 A2345678 +altsn 12345678 7893FE03 00 00000028 = 0000002A 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 00000029 = 000000A8 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002A = 00000A29 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002B = 0000A02A 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002C = 000A002B 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002D = 00A0002C 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002E = 0A00002D 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002F = A000002E 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE0A 12345678 +altsn 12345678 7893FE03 00 00000031 = 00000030 00 7893FEA3 12345678 +altsn 12345678 7893FE03 00 00000032 = 00000031 00 7893FA03 12345678 +altsn 12345678 7893FE03 00 00000033 = 00000032 00 7893AE03 12345678 +altsn 12345678 7893FE03 00 00000034 = 00000033 00 789AFE03 12345678 +altsn 12345678 7893FE03 00 00000035 = 00000034 00 78A3FE03 12345678 +altsn 12345678 7893FE03 00 00000036 = 00000035 00 7A93FE03 12345678 +altsn 12345678 7893FE03 00 00000037 = 00000036 00 A893FE03 12345678 +altsn 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 1234567A +altsn 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 123456A8 +altsn 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 12345A78 +altsn 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 1234A678 +altsn 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 123A5678 +altsn 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 12A45678 +altsn 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 1A345678 +altsn 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 A2345678 +altsn 12345678 7893FE03 00 00000028 = 0000002A 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 00000029 = 000000A8 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002A = 00000A29 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002B = 0000A02A 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002C = 000A002B 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002D = 00A0002C 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002E = 0A00002D 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002F = A000002E 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE0A 12345678 +altsn 12345678 7893FE03 00 00000031 = 00000030 00 7893FEA3 12345678 +altsn 12345678 7893FE03 00 00000032 = 00000031 00 7893FA03 12345678 +altsn 12345678 7893FE03 00 00000033 = 00000032 00 7893AE03 12345678 +altsn 12345678 7893FE03 00 00000034 = 00000033 00 789AFE03 12345678 +altsn 12345678 7893FE03 00 00000035 = 00000034 00 78A3FE03 12345678 +altsn 12345678 7893FE03 00 00000036 = 00000035 00 7A93FE03 12345678 +altsn 12345678 7893FE03 00 00000037 = 00000036 00 A893FE03 12345678 +altsn 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 1234567A +altsn 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 123456A8 +altsn 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 12345A78 +altsn 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 1234A678 +altsn 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 123A5678 +altsn 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 12A45678 +altsn 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 1A345678 +altsn 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 A2345678 +altsn 12345678 7893FE03 00 00000028 = 0000002A 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 00000029 = 000000A8 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002A = 00000A29 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002B = 0000A02A 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002C = 000A002B 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002D = 00A0002C 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002E = 0A00002D 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002F = A000002E 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE0A 12345678 +altsn 12345678 7893FE03 00 00000031 = 00000030 00 7893FEA3 12345678 +altsn 12345678 7893FE03 00 00000032 = 00000031 00 7893FA03 12345678 +altsn 12345678 7893FE03 00 00000033 = 00000032 00 7893AE03 12345678 +altsn 12345678 7893FE03 00 00000034 = 00000033 00 789AFE03 12345678 +altsn 12345678 7893FE03 00 00000035 = 00000034 00 78A3FE03 12345678 +altsn 12345678 7893FE03 00 00000036 = 00000035 00 7A93FE03 12345678 +altsn 12345678 7893FE03 00 00000037 = 00000036 00 A893FE03 12345678 +altsn 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 1234567A +altsn 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 123456A8 +altsn 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 12345A78 +altsn 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 1234A678 +altsn 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 123A5678 +altsn 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 12A45678 +altsn 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 1A345678 +altsn 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 A2345678 +altsn 12345678 7893FE03 00 00000028 = 0000002A 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 00000029 = 000000A8 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002A = 00000A29 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002B = 0000A02A 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002C = 000A002B 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002D = 00A0002C 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002E = 0A00002D 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 0000002F = A000002E 00 7893FE03 12345678 +altsn 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE0A 12345678 +altsn 12345678 7893FE03 00 00000031 = 00000030 00 7893FEA3 12345678 +altsn 12345678 7893FE03 00 00000032 = 00000031 00 7893FA03 12345678 +altsn 12345678 7893FE03 00 00000033 = 00000032 00 7893AE03 12345678 +altsn 12345678 7893FE03 00 00000034 = 00000033 00 789AFE03 12345678 +altsn 12345678 7893FE03 00 00000035 = 00000034 00 78A3FE03 12345678 +altsn 12345678 7893FE03 00 00000036 = 00000035 00 7A93FE03 12345678 +altsn 12345678 7893FE03 00 00000037 = 00000036 00 A893FE03 12345678 +altsn 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 1234567A +altsn 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 123456A8 +altsn 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 12345A78 +altsn 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 1234A678 +altsn 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 123A5678 +altsn 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 12A45678 +altsn 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 1A345678 +altsn 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 A2345678 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altgn/g 12345678 7893FC03 00 00000028 = 00000026 00 7893FC03 00000006 +altgn/g 12345678 7893FC03 00 00000029 = 00000027 00 7893FC03 00000002 +altgn/g 12345678 7893FC03 00 0000002A = 00000028 00 7893FC03 00000000 +altgn/g 12345678 7893FC03 00 0000002B = 00000029 00 7893FC03 00000000 +altgn/g 12345678 7893FC03 00 0000002C = 0000002A 00 7893FC03 00000000 +altgn/g 12345678 7893FC03 00 0000002D = 0000002B 00 7893FC03 00000000 +altgn/g 12345678 7893FC03 00 0000002E = 0000002C 00 7893FC03 00000000 +altgn/g 12345678 7893FC03 00 0000002F = 0000002D 00 7893FC03 00000000 +altgn/g 12345678 7893FC03 00 00000030 = 0000002E 00 7893FC03 00000003 +altgn/g 12345678 7893FC03 00 00000031 = 0000002F 00 7893FC03 00000000 +altgn/g 12345678 7893FC03 00 00000032 = 00000030 00 7893FC03 0000000C +altgn/g 12345678 7893FC03 00 00000033 = 00000031 00 7893FC03 0000000F +altgn/g 12345678 7893FC03 00 00000034 = 00000032 00 7893FC03 00000003 +altgn/g 12345678 7893FC03 00 00000035 = 00000033 00 7893FC03 00000009 +altgn/g 12345678 7893FC03 00 00000036 = 00000034 00 7893FC03 00000008 +altgn/g 12345678 7893FC03 00 00000037 = 00000035 00 7893FC03 00000007 +altgn/g 12345678 7893FC03 00 00000038 = 00000036 00 7893FC03 00000008 +altgn/g 12345678 7893FC03 00 00000039 = 00000037 00 7893FC03 00000007 +altgn/g 12345678 7893FC03 00 0000003A = 00000038 00 7893FC03 00000006 +altgn/g 12345678 7893FC03 00 0000003B = 00000039 00 7893FC03 00000005 +altgn/g 12345678 7893FC03 00 0000003C = 0000003A 00 7893FC03 00000004 +altgn/g 12345678 7893FC03 00 0000003D = 0000003B 00 7893FC03 00000003 +altgn/g 12345678 7893FC03 00 0000003E = 0000003C 00 7893FC03 00000002 +altgn/g 12345678 7893FC03 00 0000003F = 0000003D 00 7893FC03 00000001 +altgn/g 12345678 7893FE03 00 00000028 = 00000027 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 00000029 = 00000028 00 7893FE03 00000002 +altgn/g 12345678 7893FE03 00 0000002A = 00000029 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002B = 0000002A 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002C = 0000002B 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002D = 0000002C 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002E = 0000002D 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002F = 0000002E 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 00000031 = 00000030 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 00000032 = 00000031 00 7893FE03 0000000E +altgn/g 12345678 7893FE03 00 00000033 = 00000032 00 7893FE03 0000000F +altgn/g 12345678 7893FE03 00 00000034 = 00000033 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 00000035 = 00000034 00 7893FE03 00000009 +altgn/g 12345678 7893FE03 00 00000036 = 00000035 00 7893FE03 00000008 +altgn/g 12345678 7893FE03 00 00000037 = 00000036 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 00000008 +altgn/g 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 00000006 +altgn/g 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 00000005 +altgn/g 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 00000004 +altgn/g 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 00000002 +altgn/g 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 00000001 +altgn/g 12345678 7893FE03 00 00000028 = 00000027 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 00000029 = 00000028 00 7893FE03 00000002 +altgn/g 12345678 7893FE03 00 0000002A = 00000029 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002B = 0000002A 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002C = 0000002B 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002D = 0000002C 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002E = 0000002D 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002F = 0000002E 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 00000031 = 00000030 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 00000032 = 00000031 00 7893FE03 0000000E +altgn/g 12345678 7893FE03 00 00000033 = 00000032 00 7893FE03 0000000F +altgn/g 12345678 7893FE03 00 00000034 = 00000033 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 00000035 = 00000034 00 7893FE03 00000009 +altgn/g 12345678 7893FE03 00 00000036 = 00000035 00 7893FE03 00000008 +altgn/g 12345678 7893FE03 00 00000037 = 00000036 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 00000008 +altgn/g 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 00000006 +altgn/g 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 00000005 +altgn/g 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 00000004 +altgn/g 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 00000002 +altgn/g 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 00000001 +altgn/g 12345678 7893FE03 00 00000028 = 00000027 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 00000029 = 00000028 00 7893FE03 00000002 +altgn/g 12345678 7893FE03 00 0000002A = 00000029 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002B = 0000002A 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002C = 0000002B 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002D = 0000002C 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002E = 0000002D 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002F = 0000002E 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 00000031 = 00000030 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 00000032 = 00000031 00 7893FE03 0000000E +altgn/g 12345678 7893FE03 00 00000033 = 00000032 00 7893FE03 0000000F +altgn/g 12345678 7893FE03 00 00000034 = 00000033 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 00000035 = 00000034 00 7893FE03 00000009 +altgn/g 12345678 7893FE03 00 00000036 = 00000035 00 7893FE03 00000008 +altgn/g 12345678 7893FE03 00 00000037 = 00000036 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 00000008 +altgn/g 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 00000006 +altgn/g 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 00000005 +altgn/g 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 00000004 +altgn/g 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 00000002 +altgn/g 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 00000001 +altgn/g 12345678 7893FE03 00 00000028 = 00000027 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 00000029 = 00000028 00 7893FE03 00000002 +altgn/g 12345678 7893FE03 00 0000002A = 00000029 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002B = 0000002A 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002C = 0000002B 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002D = 0000002C 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002E = 0000002D 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 0000002F = 0000002E 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 00000031 = 00000030 00 7893FE03 00000000 +altgn/g 12345678 7893FE03 00 00000032 = 00000031 00 7893FE03 0000000E +altgn/g 12345678 7893FE03 00 00000033 = 00000032 00 7893FE03 0000000F +altgn/g 12345678 7893FE03 00 00000034 = 00000033 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 00000035 = 00000034 00 7893FE03 00000009 +altgn/g 12345678 7893FE03 00 00000036 = 00000035 00 7893FE03 00000008 +altgn/g 12345678 7893FE03 00 00000037 = 00000036 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 00000008 +altgn/g 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 00000007 +altgn/g 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 00000006 +altgn/g 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 00000005 +altgn/g 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 00000004 +altgn/g 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 00000003 +altgn/g 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 00000002 +altgn/g 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 00000001 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altgn/r 12345678 7893FC03 00 00000028 = 00000026 00 7893FC03 23456786 +altgn/r 12345678 7893FC03 00 00000029 = 00000027 00 7893FC03 23456782 +altgn/r 12345678 7893FC03 00 0000002A = 00000028 00 7893FC03 23456780 +altgn/r 12345678 7893FC03 00 0000002B = 00000029 00 7893FC03 23456780 +altgn/r 12345678 7893FC03 00 0000002C = 0000002A 00 7893FC03 23456780 +altgn/r 12345678 7893FC03 00 0000002D = 0000002B 00 7893FC03 23456780 +altgn/r 12345678 7893FC03 00 0000002E = 0000002C 00 7893FC03 23456780 +altgn/r 12345678 7893FC03 00 0000002F = 0000002D 00 7893FC03 23456780 +altgn/r 12345678 7893FC03 00 00000030 = 0000002E 00 7893FC03 23456783 +altgn/r 12345678 7893FC03 00 00000031 = 0000002F 00 7893FC03 23456780 +altgn/r 12345678 7893FC03 00 00000032 = 00000030 00 7893FC03 2345678C +altgn/r 12345678 7893FC03 00 00000033 = 00000031 00 7893FC03 2345678F +altgn/r 12345678 7893FC03 00 00000034 = 00000032 00 7893FC03 23456783 +altgn/r 12345678 7893FC03 00 00000035 = 00000033 00 7893FC03 23456789 +altgn/r 12345678 7893FC03 00 00000036 = 00000034 00 7893FC03 23456788 +altgn/r 12345678 7893FC03 00 00000037 = 00000035 00 7893FC03 23456787 +altgn/r 12345678 7893FC03 00 00000038 = 00000036 00 7893FC03 23456788 +altgn/r 12345678 7893FC03 00 00000039 = 00000037 00 7893FC03 23456787 +altgn/r 12345678 7893FC03 00 0000003A = 00000038 00 7893FC03 23456786 +altgn/r 12345678 7893FC03 00 0000003B = 00000039 00 7893FC03 23456785 +altgn/r 12345678 7893FC03 00 0000003C = 0000003A 00 7893FC03 23456784 +altgn/r 12345678 7893FC03 00 0000003D = 0000003B 00 7893FC03 23456783 +altgn/r 12345678 7893FC03 00 0000003E = 0000003C 00 7893FC03 23456782 +altgn/r 12345678 7893FC03 00 0000003F = 0000003D 00 7893FC03 23456781 +altgn/r 12345678 7893FE03 00 00000028 = 00000027 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 00000029 = 00000028 00 7893FE03 23456782 +altgn/r 12345678 7893FE03 00 0000002A = 00000029 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002B = 0000002A 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002C = 0000002B 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002D = 0000002C 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002E = 0000002D 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002F = 0000002E 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 00000031 = 00000030 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 00000032 = 00000031 00 7893FE03 2345678E +altgn/r 12345678 7893FE03 00 00000033 = 00000032 00 7893FE03 2345678F +altgn/r 12345678 7893FE03 00 00000034 = 00000033 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 00000035 = 00000034 00 7893FE03 23456789 +altgn/r 12345678 7893FE03 00 00000036 = 00000035 00 7893FE03 23456788 +altgn/r 12345678 7893FE03 00 00000037 = 00000036 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 23456788 +altgn/r 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 23456786 +altgn/r 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 23456785 +altgn/r 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 23456784 +altgn/r 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 23456782 +altgn/r 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 23456781 +altgn/r 12345678 7893FE03 00 00000028 = 00000027 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 00000029 = 00000028 00 7893FE03 23456782 +altgn/r 12345678 7893FE03 00 0000002A = 00000029 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002B = 0000002A 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002C = 0000002B 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002D = 0000002C 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002E = 0000002D 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002F = 0000002E 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 00000031 = 00000030 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 00000032 = 00000031 00 7893FE03 2345678E +altgn/r 12345678 7893FE03 00 00000033 = 00000032 00 7893FE03 2345678F +altgn/r 12345678 7893FE03 00 00000034 = 00000033 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 00000035 = 00000034 00 7893FE03 23456789 +altgn/r 12345678 7893FE03 00 00000036 = 00000035 00 7893FE03 23456788 +altgn/r 12345678 7893FE03 00 00000037 = 00000036 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 23456788 +altgn/r 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 23456786 +altgn/r 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 23456785 +altgn/r 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 23456784 +altgn/r 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 23456782 +altgn/r 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 23456781 +altgn/r 12345678 7893FE03 00 00000028 = 00000027 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 00000029 = 00000028 00 7893FE03 23456782 +altgn/r 12345678 7893FE03 00 0000002A = 00000029 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002B = 0000002A 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002C = 0000002B 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002D = 0000002C 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002E = 0000002D 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002F = 0000002E 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 00000031 = 00000030 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 00000032 = 00000031 00 7893FE03 2345678E +altgn/r 12345678 7893FE03 00 00000033 = 00000032 00 7893FE03 2345678F +altgn/r 12345678 7893FE03 00 00000034 = 00000033 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 00000035 = 00000034 00 7893FE03 23456789 +altgn/r 12345678 7893FE03 00 00000036 = 00000035 00 7893FE03 23456788 +altgn/r 12345678 7893FE03 00 00000037 = 00000036 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 23456788 +altgn/r 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 23456786 +altgn/r 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 23456785 +altgn/r 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 23456784 +altgn/r 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 23456782 +altgn/r 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 23456781 +altgn/r 12345678 7893FE03 00 00000028 = 00000027 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 00000029 = 00000028 00 7893FE03 23456782 +altgn/r 12345678 7893FE03 00 0000002A = 00000029 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002B = 0000002A 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002C = 0000002B 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002D = 0000002C 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002E = 0000002D 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 0000002F = 0000002E 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 00000030 = 0000002F 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 00000031 = 00000030 00 7893FE03 23456780 +altgn/r 12345678 7893FE03 00 00000032 = 00000031 00 7893FE03 2345678E +altgn/r 12345678 7893FE03 00 00000033 = 00000032 00 7893FE03 2345678F +altgn/r 12345678 7893FE03 00 00000034 = 00000033 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 00000035 = 00000034 00 7893FE03 23456789 +altgn/r 12345678 7893FE03 00 00000036 = 00000035 00 7893FE03 23456788 +altgn/r 12345678 7893FE03 00 00000037 = 00000036 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 00000038 = 00000037 00 7893FE03 23456788 +altgn/r 12345678 7893FE03 00 00000039 = 00000038 00 7893FE03 23456787 +altgn/r 12345678 7893FE03 00 0000003A = 00000039 00 7893FE03 23456786 +altgn/r 12345678 7893FE03 00 0000003B = 0000003A 00 7893FE03 23456785 +altgn/r 12345678 7893FE03 00 0000003C = 0000003B 00 7893FE03 23456784 +altgn/r 12345678 7893FE03 00 0000003D = 0000003C 00 7893FE03 23456783 +altgn/r 12345678 7893FE03 00 0000003E = 0000003D 00 7893FE03 23456782 +altgn/r 12345678 7893FE03 00 0000003F = 0000003E 00 7893FE03 23456781 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altsb 12345678 7893FC03 00 00000014 = 0000005A 00 7893FC03 12345678 +altsb 12345678 7893FC03 00 00000015 = 00005A13 00 7893FC03 12345678 +altsb 12345678 7893FC03 00 00000016 = 005A0014 00 7893FC03 12345678 +altsb 12345678 7893FC03 00 00000017 = 5A000015 00 7893FC03 12345678 +altsb 12345678 7893FC03 00 00000018 = 00000016 00 7893FC5A 12345678 +altsb 12345678 7893FC03 00 00000019 = 00000017 00 78935A03 12345678 +altsb 12345678 7893FC03 00 0000001A = 00000018 00 785AFC03 12345678 +altsb 12345678 7893FC03 00 0000001B = 00000019 00 5A93FC03 12345678 +altsb 12345678 7893FC03 00 0000001C = 0000001A 00 7893FC03 1234565A +altsb 12345678 7893FC03 00 0000001D = 0000001B 00 7893FC03 12345A78 +altsb 12345678 7893FC03 00 0000001E = 0000001C 00 7893FC03 125A5678 +altsb 12345678 7893FC03 00 0000001F = 0000001D 00 7893FC03 5A345678 +altsb 12345678 7893FE03 00 00000014 = 0000005A 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000015 = 00005A14 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000016 = 005A0015 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000017 = 5A000016 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000018 = 00000017 00 7893FE5A 12345678 +altsb 12345678 7893FE03 00 00000019 = 00000018 00 78935A03 12345678 +altsb 12345678 7893FE03 00 0000001A = 00000019 00 785AFE03 12345678 +altsb 12345678 7893FE03 00 0000001B = 0000001A 00 5A93FE03 12345678 +altsb 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 1234565A +altsb 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 12345A78 +altsb 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 125A5678 +altsb 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 5A345678 +altsb 12345678 7893FE03 00 00000014 = 0000005A 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000015 = 00005A14 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000016 = 005A0015 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000017 = 5A000016 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000018 = 00000017 00 7893FE5A 12345678 +altsb 12345678 7893FE03 00 00000019 = 00000018 00 78935A03 12345678 +altsb 12345678 7893FE03 00 0000001A = 00000019 00 785AFE03 12345678 +altsb 12345678 7893FE03 00 0000001B = 0000001A 00 5A93FE03 12345678 +altsb 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 1234565A +altsb 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 12345A78 +altsb 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 125A5678 +altsb 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 5A345678 +altsb 12345678 7893FE03 00 00000014 = 0000005A 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000015 = 00005A14 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000016 = 005A0015 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000017 = 5A000016 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000018 = 00000017 00 7893FE5A 12345678 +altsb 12345678 7893FE03 00 00000019 = 00000018 00 78935A03 12345678 +altsb 12345678 7893FE03 00 0000001A = 00000019 00 785AFE03 12345678 +altsb 12345678 7893FE03 00 0000001B = 0000001A 00 5A93FE03 12345678 +altsb 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 1234565A +altsb 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 12345A78 +altsb 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 125A5678 +altsb 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 5A345678 +altsb 12345678 7893FE03 00 00000014 = 0000005A 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000015 = 00005A14 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000016 = 005A0015 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000017 = 5A000016 00 7893FE03 12345678 +altsb 12345678 7893FE03 00 00000018 = 00000017 00 7893FE5A 12345678 +altsb 12345678 7893FE03 00 00000019 = 00000018 00 78935A03 12345678 +altsb 12345678 7893FE03 00 0000001A = 00000019 00 785AFE03 12345678 +altsb 12345678 7893FE03 00 0000001B = 0000001A 00 5A93FE03 12345678 +altsb 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 1234565A +altsb 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 12345A78 +altsb 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 125A5678 +altsb 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 5A345678 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altgb/g 12345678 7893FC03 00 00000014 = 00000012 00 7893FC03 00000012 +altgb/g 12345678 7893FC03 00 00000015 = 00000013 00 7893FC03 00000000 +altgb/g 12345678 7893FC03 00 00000016 = 00000014 00 7893FC03 00000000 +altgb/g 12345678 7893FC03 00 00000017 = 00000015 00 7893FC03 00000000 +altgb/g 12345678 7893FC03 00 00000018 = 00000016 00 7893FC03 00000003 +altgb/g 12345678 7893FC03 00 00000019 = 00000017 00 7893FC03 000000FC +altgb/g 12345678 7893FC03 00 0000001A = 00000018 00 7893FC03 00000093 +altgb/g 12345678 7893FC03 00 0000001B = 00000019 00 7893FC03 00000078 +altgb/g 12345678 7893FC03 00 0000001C = 0000001A 00 7893FC03 00000078 +altgb/g 12345678 7893FC03 00 0000001D = 0000001B 00 7893FC03 00000056 +altgb/g 12345678 7893FC03 00 0000001E = 0000001C 00 7893FC03 00000034 +altgb/g 12345678 7893FC03 00 0000001F = 0000001D 00 7893FC03 00000012 +altgb/g 12345678 7893FE03 00 00000014 = 00000013 00 7893FE03 00000013 +altgb/g 12345678 7893FE03 00 00000015 = 00000014 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000016 = 00000015 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000017 = 00000016 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000018 = 00000017 00 7893FE03 00000003 +altgb/g 12345678 7893FE03 00 00000019 = 00000018 00 7893FE03 000000FE +altgb/g 12345678 7893FE03 00 0000001A = 00000019 00 7893FE03 00000093 +altgb/g 12345678 7893FE03 00 0000001B = 0000001A 00 7893FE03 00000078 +altgb/g 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 00000078 +altgb/g 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 00000056 +altgb/g 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 00000034 +altgb/g 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 00000012 +altgb/g 12345678 7893FE03 00 00000014 = 00000013 00 7893FE03 00000013 +altgb/g 12345678 7893FE03 00 00000015 = 00000014 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000016 = 00000015 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000017 = 00000016 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000018 = 00000017 00 7893FE03 00000003 +altgb/g 12345678 7893FE03 00 00000019 = 00000018 00 7893FE03 000000FE +altgb/g 12345678 7893FE03 00 0000001A = 00000019 00 7893FE03 00000093 +altgb/g 12345678 7893FE03 00 0000001B = 0000001A 00 7893FE03 00000078 +altgb/g 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 00000078 +altgb/g 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 00000056 +altgb/g 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 00000034 +altgb/g 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 00000012 +altgb/g 12345678 7893FE03 00 00000014 = 00000013 00 7893FE03 00000013 +altgb/g 12345678 7893FE03 00 00000015 = 00000014 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000016 = 00000015 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000017 = 00000016 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000018 = 00000017 00 7893FE03 00000003 +altgb/g 12345678 7893FE03 00 00000019 = 00000018 00 7893FE03 000000FE +altgb/g 12345678 7893FE03 00 0000001A = 00000019 00 7893FE03 00000093 +altgb/g 12345678 7893FE03 00 0000001B = 0000001A 00 7893FE03 00000078 +altgb/g 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 00000078 +altgb/g 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 00000056 +altgb/g 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 00000034 +altgb/g 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 00000012 +altgb/g 12345678 7893FE03 00 00000014 = 00000013 00 7893FE03 00000013 +altgb/g 12345678 7893FE03 00 00000015 = 00000014 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000016 = 00000015 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000017 = 00000016 00 7893FE03 00000000 +altgb/g 12345678 7893FE03 00 00000018 = 00000017 00 7893FE03 00000003 +altgb/g 12345678 7893FE03 00 00000019 = 00000018 00 7893FE03 000000FE +altgb/g 12345678 7893FE03 00 0000001A = 00000019 00 7893FE03 00000093 +altgb/g 12345678 7893FE03 00 0000001B = 0000001A 00 7893FE03 00000078 +altgb/g 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 00000078 +altgb/g 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 00000056 +altgb/g 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 00000034 +altgb/g 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 00000012 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altgb/r 12345678 7893FC03 00 00000014 = 00000012 00 7893FC03 34567812 +altgb/r 12345678 7893FC03 00 00000015 = 00000013 00 7893FC03 34567800 +altgb/r 12345678 7893FC03 00 00000016 = 00000014 00 7893FC03 34567800 +altgb/r 12345678 7893FC03 00 00000017 = 00000015 00 7893FC03 34567800 +altgb/r 12345678 7893FC03 00 00000018 = 00000016 00 7893FC03 34567803 +altgb/r 12345678 7893FC03 00 00000019 = 00000017 00 7893FC03 345678FC +altgb/r 12345678 7893FC03 00 0000001A = 00000018 00 7893FC03 34567893 +altgb/r 12345678 7893FC03 00 0000001B = 00000019 00 7893FC03 34567878 +altgb/r 12345678 7893FC03 00 0000001C = 0000001A 00 7893FC03 34567878 +altgb/r 12345678 7893FC03 00 0000001D = 0000001B 00 7893FC03 34567856 +altgb/r 12345678 7893FC03 00 0000001E = 0000001C 00 7893FC03 34567834 +altgb/r 12345678 7893FC03 00 0000001F = 0000001D 00 7893FC03 34567812 +altgb/r 12345678 7893FE03 00 00000014 = 00000013 00 7893FE03 34567813 +altgb/r 12345678 7893FE03 00 00000015 = 00000014 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000016 = 00000015 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000017 = 00000016 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000018 = 00000017 00 7893FE03 34567803 +altgb/r 12345678 7893FE03 00 00000019 = 00000018 00 7893FE03 345678FE +altgb/r 12345678 7893FE03 00 0000001A = 00000019 00 7893FE03 34567893 +altgb/r 12345678 7893FE03 00 0000001B = 0000001A 00 7893FE03 34567878 +altgb/r 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 34567878 +altgb/r 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 34567856 +altgb/r 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 34567834 +altgb/r 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 34567812 +altgb/r 12345678 7893FE03 00 00000014 = 00000013 00 7893FE03 34567813 +altgb/r 12345678 7893FE03 00 00000015 = 00000014 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000016 = 00000015 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000017 = 00000016 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000018 = 00000017 00 7893FE03 34567803 +altgb/r 12345678 7893FE03 00 00000019 = 00000018 00 7893FE03 345678FE +altgb/r 12345678 7893FE03 00 0000001A = 00000019 00 7893FE03 34567893 +altgb/r 12345678 7893FE03 00 0000001B = 0000001A 00 7893FE03 34567878 +altgb/r 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 34567878 +altgb/r 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 34567856 +altgb/r 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 34567834 +altgb/r 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 34567812 +altgb/r 12345678 7893FE03 00 00000014 = 00000013 00 7893FE03 34567813 +altgb/r 12345678 7893FE03 00 00000015 = 00000014 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000016 = 00000015 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000017 = 00000016 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000018 = 00000017 00 7893FE03 34567803 +altgb/r 12345678 7893FE03 00 00000019 = 00000018 00 7893FE03 345678FE +altgb/r 12345678 7893FE03 00 0000001A = 00000019 00 7893FE03 34567893 +altgb/r 12345678 7893FE03 00 0000001B = 0000001A 00 7893FE03 34567878 +altgb/r 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 34567878 +altgb/r 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 34567856 +altgb/r 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 34567834 +altgb/r 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 34567812 +altgb/r 12345678 7893FE03 00 00000014 = 00000013 00 7893FE03 34567813 +altgb/r 12345678 7893FE03 00 00000015 = 00000014 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000016 = 00000015 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000017 = 00000016 00 7893FE03 34567800 +altgb/r 12345678 7893FE03 00 00000018 = 00000017 00 7893FE03 34567803 +altgb/r 12345678 7893FE03 00 00000019 = 00000018 00 7893FE03 345678FE +altgb/r 12345678 7893FE03 00 0000001A = 00000019 00 7893FE03 34567893 +altgb/r 12345678 7893FE03 00 0000001B = 0000001A 00 7893FE03 34567878 +altgb/r 12345678 7893FE03 00 0000001C = 0000001B 00 7893FE03 34567878 +altgb/r 12345678 7893FE03 00 0000001D = 0000001C 00 7893FE03 34567856 +altgb/r 12345678 7893FE03 00 0000001E = 0000001D 00 7893FE03 34567834 +altgb/r 12345678 7893FE03 00 0000001F = 0000001E 00 7893FE03 34567812 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altsw 12345678 7893FC03 00 0000000A = 0000015A 00 7893FC03 12345678 +altsw 12345678 7893FC03 00 0000000B = 015A0009 00 7893FC03 12345678 +altsw 12345678 7893FC03 00 0000000C = 0000000A 00 7893015A 12345678 +altsw 12345678 7893FC03 00 0000000D = 0000000B 00 015AFC03 12345678 +altsw 12345678 7893FC03 00 0000000E = 0000000C 00 7893FC03 1234015A +altsw 12345678 7893FC03 00 0000000F = 0000000D 00 7893FC03 015A5678 +altsw 12345678 7893FE03 00 0000000A = 0000015A 00 7893FE03 12345678 +altsw 12345678 7893FE03 00 0000000B = 015A000A 00 7893FE03 12345678 +altsw 12345678 7893FE03 00 0000000C = 0000000B 00 7893015A 12345678 +altsw 12345678 7893FE03 00 0000000D = 0000000C 00 015AFE03 12345678 +altsw 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 1234015A +altsw 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 015A5678 +altsw 12345678 7893FE03 00 0000000A = 0000015A 00 7893FE03 12345678 +altsw 12345678 7893FE03 00 0000000B = 015A000A 00 7893FE03 12345678 +altsw 12345678 7893FE03 00 0000000C = 0000000B 00 7893015A 12345678 +altsw 12345678 7893FE03 00 0000000D = 0000000C 00 015AFE03 12345678 +altsw 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 1234015A +altsw 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 015A5678 +altsw 12345678 7893FE03 00 0000000A = 0000015A 00 7893FE03 12345678 +altsw 12345678 7893FE03 00 0000000B = 015A000A 00 7893FE03 12345678 +altsw 12345678 7893FE03 00 0000000C = 0000000B 00 7893015A 12345678 +altsw 12345678 7893FE03 00 0000000D = 0000000C 00 015AFE03 12345678 +altsw 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 1234015A +altsw 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 015A5678 +altsw 12345678 7893FE03 00 0000000A = 0000015A 00 7893FE03 12345678 +altsw 12345678 7893FE03 00 0000000B = 015A000A 00 7893FE03 12345678 +altsw 12345678 7893FE03 00 0000000C = 0000000B 00 7893015A 12345678 +altsw 12345678 7893FE03 00 0000000D = 0000000C 00 015AFE03 12345678 +altsw 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 1234015A +altsw 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 015A5678 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altgw/g 12345678 7893FC03 00 0000000A = 00000008 00 7893FC03 00000008 +altgw/g 12345678 7893FC03 00 0000000B = 00000009 00 7893FC03 00000000 +altgw/g 12345678 7893FC03 00 0000000C = 0000000A 00 7893FC03 0000FC03 +altgw/g 12345678 7893FC03 00 0000000D = 0000000B 00 7893FC03 00007893 +altgw/g 12345678 7893FC03 00 0000000E = 0000000C 00 7893FC03 00005678 +altgw/g 12345678 7893FC03 00 0000000F = 0000000D 00 7893FC03 00001234 +altgw/g 12345678 7893FE03 00 0000000A = 00000009 00 7893FE03 00000009 +altgw/g 12345678 7893FE03 00 0000000B = 0000000A 00 7893FE03 00000000 +altgw/g 12345678 7893FE03 00 0000000C = 0000000B 00 7893FE03 0000FE03 +altgw/g 12345678 7893FE03 00 0000000D = 0000000C 00 7893FE03 00007893 +altgw/g 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 00005678 +altgw/g 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 00001234 +altgw/g 12345678 7893FE03 00 0000000A = 00000009 00 7893FE03 00000009 +altgw/g 12345678 7893FE03 00 0000000B = 0000000A 00 7893FE03 00000000 +altgw/g 12345678 7893FE03 00 0000000C = 0000000B 00 7893FE03 0000FE03 +altgw/g 12345678 7893FE03 00 0000000D = 0000000C 00 7893FE03 00007893 +altgw/g 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 00005678 +altgw/g 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 00001234 +altgw/g 12345678 7893FE03 00 0000000A = 00000009 00 7893FE03 00000009 +altgw/g 12345678 7893FE03 00 0000000B = 0000000A 00 7893FE03 00000000 +altgw/g 12345678 7893FE03 00 0000000C = 0000000B 00 7893FE03 0000FE03 +altgw/g 12345678 7893FE03 00 0000000D = 0000000C 00 7893FE03 00007893 +altgw/g 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 00005678 +altgw/g 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 00001234 +altgw/g 12345678 7893FE03 00 0000000A = 00000009 00 7893FE03 00000009 +altgw/g 12345678 7893FE03 00 0000000B = 0000000A 00 7893FE03 00000000 +altgw/g 12345678 7893FE03 00 0000000C = 0000000B 00 7893FE03 0000FE03 +altgw/g 12345678 7893FE03 00 0000000D = 0000000C 00 7893FE03 00007893 +altgw/g 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 00005678 +altgw/g 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 00001234 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altgw/r 12345678 7893FC03 00 0000000A = 00000008 00 7893FC03 56780008 +altgw/r 12345678 7893FC03 00 0000000B = 00000009 00 7893FC03 56780000 +altgw/r 12345678 7893FC03 00 0000000C = 0000000A 00 7893FC03 5678FC03 +altgw/r 12345678 7893FC03 00 0000000D = 0000000B 00 7893FC03 56787893 +altgw/r 12345678 7893FC03 00 0000000E = 0000000C 00 7893FC03 56785678 +altgw/r 12345678 7893FC03 00 0000000F = 0000000D 00 7893FC03 56781234 +altgw/r 12345678 7893FE03 00 0000000A = 00000009 00 7893FE03 56780009 +altgw/r 12345678 7893FE03 00 0000000B = 0000000A 00 7893FE03 56780000 +altgw/r 12345678 7893FE03 00 0000000C = 0000000B 00 7893FE03 5678FE03 +altgw/r 12345678 7893FE03 00 0000000D = 0000000C 00 7893FE03 56787893 +altgw/r 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 56785678 +altgw/r 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 56781234 +altgw/r 12345678 7893FE03 00 0000000A = 00000009 00 7893FE03 56780009 +altgw/r 12345678 7893FE03 00 0000000B = 0000000A 00 7893FE03 56780000 +altgw/r 12345678 7893FE03 00 0000000C = 0000000B 00 7893FE03 5678FE03 +altgw/r 12345678 7893FE03 00 0000000D = 0000000C 00 7893FE03 56787893 +altgw/r 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 56785678 +altgw/r 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 56781234 +altgw/r 12345678 7893FE03 00 0000000A = 00000009 00 7893FE03 56780009 +altgw/r 12345678 7893FE03 00 0000000B = 0000000A 00 7893FE03 56780000 +altgw/r 12345678 7893FE03 00 0000000C = 0000000B 00 7893FE03 5678FE03 +altgw/r 12345678 7893FE03 00 0000000D = 0000000C 00 7893FE03 56787893 +altgw/r 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 56785678 +altgw/r 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 56781234 +altgw/r 12345678 7893FE03 00 0000000A = 00000009 00 7893FE03 56780009 +altgw/r 12345678 7893FE03 00 0000000B = 0000000A 00 7893FE03 56780000 +altgw/r 12345678 7893FE03 00 0000000C = 0000000B 00 7893FE03 5678FE03 +altgw/r 12345678 7893FE03 00 0000000D = 0000000C 00 7893FE03 56787893 +altgw/r 12345678 7893FE03 00 0000000E = 0000000D 00 7893FE03 56785678 +altgw/r 12345678 7893FE03 00 0000000F = 0000000E 00 7893FE03 56781234 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altr 12345678 7893FC03 00 00000005 = 7893FC06 00 7893FC03 12345678 +altr 12345678 7893FC03 00 00000006 = 00000004 00 7893FC07 12345678 +altr 12345678 7893FC03 00 00000007 = 00000005 00 7893FC03 7893FC08 +altr 12345678 7893FE03 00 00000005 = 7893FE07 00 7893FE03 12345678 +altr 12345678 7893FE03 00 00000006 = 00000005 00 7893FE08 12345678 +altr 12345678 7893FE03 00 00000007 = 00000006 00 7893FE03 7893FE09 +altr 12345678 7893FE03 00 00000005 = 7893FE07 00 7893FE03 12345678 +altr 12345678 7893FE03 00 00000006 = 00000005 00 7893FE08 12345678 +altr 12345678 7893FE03 00 00000007 = 00000006 00 7893FE03 7893FE09 +altr 12345678 7893FE03 00 00000005 = 7893FE07 00 7893FE03 12345678 +altr 12345678 7893FE03 00 00000006 = 00000005 00 7893FE08 12345678 +altr 12345678 7893FE03 00 00000007 = 00000006 00 7893FE03 7893FE09 +altr 12345678 7893FE03 00 00000005 = 7893FE07 00 7893FE03 12345678 +altr 12345678 7893FE03 00 00000006 = 00000005 00 7893FE08 12345678 +altr 12345678 7893FE03 00 00000007 = 00000006 00 7893FE03 7893FE09 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altd 12345678 7893FC03 00 00000005 = 00000006 00 7893FC03 12345678 +altd 12345678 7893FC03 00 00000006 = 00000004 00 7893FC07 12345678 +altd 12345678 7893FC03 00 00000007 = 00000005 00 7893FC03 1234567D +altd 12345678 7893FE03 00 00000005 = 00000008 00 7893FE03 12345678 +altd 12345678 7893FE03 00 00000006 = 00000005 00 7893FE08 12345678 +altd 12345678 7893FE03 00 00000007 = 00000006 00 7893FE03 1234567E +altd 12345678 7893FE03 00 00000005 = 00000008 00 7893FE03 12345678 +altd 12345678 7893FE03 00 00000006 = 00000005 00 7893FE08 12345678 +altd 12345678 7893FE03 00 00000007 = 00000006 00 7893FE03 1234567E +altd 12345678 7893FE03 00 00000005 = 00000008 00 7893FE03 12345678 +altd 12345678 7893FE03 00 00000006 = 00000005 00 7893FE08 12345678 +altd 12345678 7893FE03 00 00000007 = 00000006 00 7893FE03 1234567E +altd 12345678 7893FE03 00 00000005 = 00000008 00 7893FE03 12345678 +altd 12345678 7893FE03 00 00000006 = 00000005 00 7893FE08 12345678 +altd 12345678 7893FE03 00 00000007 = 00000006 00 7893FE03 1234567E +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +alts 12345678 7893FC03 00 00000005 = 00000003 00 7893FC06 12345678 +alts 12345678 7893FC03 00 00000006 = 00000004 00 F127F806 12345678 +alts 12345678 7893FC03 00 00000007 = 00000005 00 8AC8527B 12345678 +alts 12345678 7893FE03 00 00000005 = 00000004 00 7893FE07 12345678 +alts 12345678 7893FE03 00 00000006 = 00000005 00 F127FC06 12345678 +alts 12345678 7893FE03 00 00000007 = 00000006 00 8AC8547B 12345678 +alts 12345678 7893FE03 00 00000005 = 00000004 00 7893FE07 12345678 +alts 12345678 7893FE03 00 00000006 = 00000005 00 F127FC06 12345678 +alts 12345678 7893FE03 00 00000007 = 00000006 00 8AC8547B 12345678 +alts 12345678 7893FE03 00 00000005 = 00000004 00 7893FE07 12345678 +alts 12345678 7893FE03 00 00000006 = 00000005 00 F127FC06 12345678 +alts 12345678 7893FE03 00 00000007 = 00000006 00 8AC8547B 12345678 +alts 12345678 7893FE03 00 00000005 = 00000004 00 7893FE07 12345678 +alts 12345678 7893FE03 00 00000006 = 00000005 00 F127FC06 12345678 +alts 12345678 7893FE03 00 00000007 = 00000006 00 8AC8547B 12345678 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +altb 12345678 7893FC03 00 000000A0 = 0000013C 00 7893FC03 12345678 +altb 12345678 7893FC03 00 000000C0 = 000000BE 00 7893FCC1 12345678 +altb 12345678 7893FC03 00 000000E0 = 000000DE 00 7893FC03 12345756 +altb 12345678 7893FE03 00 000000A0 = 0000013E 00 7893FE03 12345678 +altb 12345678 7893FE03 00 000000C0 = 000000BF 00 7893FEC2 12345678 +altb 12345678 7893FE03 00 000000E0 = 000000DF 00 7893FE03 12345757 +altb 12345678 7893FE03 00 000000A0 = 0000013E 00 7893FE03 12345678 +altb 12345678 7893FE03 00 000000C0 = 000000BF 00 7893FEC2 12345678 +altb 12345678 7893FE03 00 000000E0 = 000000DF 00 7893FE03 12345757 +altb 12345678 7893FE03 00 000000A0 = 0000013E 00 7893FE03 12345678 +altb 12345678 7893FE03 00 000000C0 = 000000BF 00 7893FEC2 12345678 +altb 12345678 7893FE03 00 000000E0 = 000000DF 00 7893FE03 12345757 +altb 12345678 7893FE03 00 000000A0 = 0000013E 00 7893FE03 12345678 +altb 12345678 7893FE03 00 000000C0 = 000000BF 00 7893FEC2 12345678 +altb 12345678 7893FE03 00 000000E0 = 000000DF 00 7893FE03 12345757 +instr ---r3--- ---r2--- CZ ---r1--- = ---r1--- CZ ---r2--- ---r3--- +alti 12345678 00501208 00 0002EE00 = 00530008 00 00501208 12345678 +alti 12345678 00501208 00 0002EE01 = 00530009 00 00501208 12345678 +alti 12345678 00501208 00 0002EE02 = 0053000D 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE03 = 0053000C 00 00501209 12345678 +alti 12345678 00501208 00 0002EE04 = 0005DC08 00 00501208 12345678 +alti 12345678 00501208 00 0002EE05 = 0005DC0A 00 00501208 12345678 +alti 12345678 00501208 00 0002EE06 = 0005DC0C 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE07 = 0005DC0E 00 00501209 12345678 +alti 12345678 00501208 00 0002EE08 = 00530010 00 00501208 12345678 +alti 12345678 00501208 00 0002EE09 = 00530011 00 00501208 12345678 +alti 12345678 00501208 00 0002EE0A = 00530015 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE0B = 00530014 00 00501209 12345678 +alti 12345678 00501208 00 0002EE0C = 0005DC18 00 00501208 12345678 +alti 12345678 00501208 00 0002EE0D = 0005DC1A 00 00501208 12345678 +alti 12345678 00501208 00 0002EE0E = 0005DC1C 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE0F = 0005DC1E 00 00501209 12345678 +alti 12345678 00501208 00 0002EE10 = 0052FE18 00 00501008 12345678 +alti 12345678 00501208 00 0002EE11 = 0052FE19 00 00501008 12345678 +alti 12345678 00501208 00 0002EE12 = 0052FE1D 00 0050100B 12345678 +alti 12345678 00501208 00 0002EE13 = 0052FE1C 00 00501009 12345678 +alti 12345678 00501208 00 0002EE14 = 0005DC28 00 00501008 12345678 +alti 12345678 00501208 00 0002EE15 = 0005DC2A 00 00501008 12345678 +alti 12345678 00501208 00 0002EE16 = 0005DC2C 00 0050100B 12345678 +alti 12345678 00501208 00 0002EE17 = 0005DC2E 00 00501009 12345678 +alti 12345678 00501208 00 0002EE18 = 00530220 00 00501408 12345678 +alti 12345678 00501208 00 0002EE19 = 00530221 00 00501408 12345678 +alti 12345678 00501208 00 0002EE1A = 00530225 00 0050140B 12345678 +alti 12345678 00501208 00 0002EE1B = 00530224 00 00501409 12345678 +alti 12345678 00501208 00 0002EE1C = 0005DC38 00 00501408 12345678 +alti 12345678 00501208 00 0002EE1D = 0005DC3A 00 00501408 12345678 +alti 12345678 00501208 00 0002EE1E = 0005DC3C 00 0050140B 12345678 +alti 12345678 00501208 00 0002EE1F = 0005DC3E 00 00501409 12345678 +alti 12345678 00501208 00 0002EE20 = 0002EE20 00 00A02410 12345678 +alti 12345678 00501208 00 0002EE21 = 0002EE21 00 00A02410 12345678 +alti 12345678 00501208 00 0002EE22 = 0002EE22 00 00A02416 12345678 +alti 12345678 00501208 00 0002EE23 = 0002EE23 00 00A02412 12345678 +alti 12345678 00501208 00 0002EE24 = 0002EE24 00 0053002C 12345678 +alti 12345678 00501208 00 0002EE25 = 0002EE25 00 0053002D 12345678 +alti 12345678 00501208 00 0002EE26 = 0002EE26 00 00530031 12345678 +alti 12345678 00501208 00 0002EE27 = 0002EE27 00 00530030 12345678 +alti 12345678 00501208 00 0002EE28 = 0002EE28 00 00A02410 12345678 +alti 12345678 00501208 00 0002EE29 = 0002EE29 00 00A02410 12345678 +alti 12345678 00501208 00 0002EE2A = 0002EE2A 00 00A02416 12345678 +alti 12345678 00501208 00 0002EE2B = 0002EE2B 00 00A02412 12345678 +alti 12345678 00501208 00 0002EE2C = 0002EE2C 00 00530034 12345678 +alti 12345678 00501208 00 0002EE2D = 0002EE2D 00 00530035 12345678 +alti 12345678 00501208 00 0002EE2E = 0002EE2E 00 00530039 12345678 +alti 12345678 00501208 00 0002EE2F = 0002EE2F 00 00530038 12345678 +alti 12345678 00501208 00 0002EE30 = 0002EE30 00 00A02010 12345678 +alti 12345678 00501208 00 0002EE31 = 0002EE31 00 00A02010 12345678 +alti 12345678 00501208 00 0002EE32 = 0002EE32 00 00A02016 12345678 +alti 12345678 00501208 00 0002EE33 = 0002EE33 00 00A02012 12345678 +alti 12345678 00501208 00 0002EE34 = 0002EE34 00 0052FE3C 12345678 +alti 12345678 00501208 00 0002EE35 = 0002EE35 00 0052FE3D 12345678 +alti 12345678 00501208 00 0002EE36 = 0002EE36 00 0052FE41 12345678 +alti 12345678 00501208 00 0002EE37 = 0002EE37 00 0052FE40 12345678 +alti 12345678 00501208 00 0002EE38 = 0002EE38 00 00A02810 12345678 +alti 12345678 00501208 00 0002EE39 = 0002EE39 00 00A02810 12345678 +alti 12345678 00501208 00 0002EE3A = 0002EE3A 00 00A02816 12345678 +alti 12345678 00501208 00 0002EE3B = 0002EE3B 00 00A02812 12345678 +alti 12345678 00501208 00 0002EE3C = 0002EE3C 00 00530244 12345678 +alti 12345678 00501208 00 0002EE3D = 0002EE3D 00 00530245 12345678 +alti 12345678 00501208 00 0002EE3E = 0002EE3E 00 00530249 12345678 +alti 12345678 00501208 00 0002EE3F = 0002EE3F 00 00530248 12345678 +alti 12345678 00501208 00 0002EE40 = 0002EE40 00 00501208 12345678 +alti 12345678 00501208 00 0002EE41 = 0002EE41 00 00501208 12345678 +alti 12345678 00501208 00 0002EE42 = 0002EE42 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE43 = 0002EE43 00 00501209 12345678 +alti 12345678 00501208 00 0002EE44 = 0002EE44 00 00501208 12345678 +alti 12345678 00501208 00 0002EE45 = 0002EE45 00 00501208 12345678 +alti 12345678 00501208 00 0002EE46 = 0002EE46 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE47 = 0002EE47 00 00501209 12345678 +alti 12345678 00501208 00 0002EE48 = 0002EE48 00 00501208 12345678 +alti 12345678 00501208 00 0002EE49 = 0002EE49 00 00501208 12345678 +alti 12345678 00501208 00 0002EE4A = 0002EE4A 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE4B = 0002EE4B 00 00501209 12345678 +alti 12345678 00501208 00 0002EE4C = 0002EE4C 00 00501208 12345678 +alti 12345678 00501208 00 0002EE4D = 0002EE4D 00 00501208 12345678 +alti 12345678 00501208 00 0002EE4E = 0002EE4E 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE4F = 0002EE4F 00 00501209 12345678 +alti 12345678 00501208 00 0002EE50 = 0002EE50 00 00501008 12345678 +alti 12345678 00501208 00 0002EE51 = 0002EE51 00 00501008 12345678 +alti 12345678 00501208 00 0002EE52 = 0002EE52 00 0050100B 12345678 +alti 12345678 00501208 00 0002EE53 = 0002EE53 00 00501009 12345678 +alti 12345678 00501208 00 0002EE54 = 0002EE54 00 00501008 12345678 +alti 12345678 00501208 00 0002EE55 = 0002EE55 00 00501008 12345678 +alti 12345678 00501208 00 0002EE56 = 0002EE56 00 0050100B 12345678 +alti 12345678 00501208 00 0002EE57 = 0002EE57 00 00501009 12345678 +alti 12345678 00501208 00 0002EE58 = 0002EE58 00 00501408 12345678 +alti 12345678 00501208 00 0002EE59 = 0002EE59 00 00501408 12345678 +alti 12345678 00501208 00 0002EE5A = 0002EE5A 00 0050140B 12345678 +alti 12345678 00501208 00 0002EE5B = 0002EE5B 00 00501409 12345678 +alti 12345678 00501208 00 0002EE5C = 0002EE5C 00 00501408 12345678 +alti 12345678 00501208 00 0002EE5D = 0002EE5D 00 00501408 12345678 +alti 12345678 00501208 00 0002EE5E = 0002EE5E 00 0050140B 12345678 +alti 12345678 00501208 00 0002EE5F = 0002EE5F 00 00501409 12345678 +alti 12345678 00501208 00 0002EE60 = 0002EE60 00 00501208 12345678 +alti 12345678 00501208 00 0002EE61 = 0002EE61 00 00501208 12345678 +alti 12345678 00501208 00 0002EE62 = 0002EE62 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE63 = 0002EE63 00 00501209 12345678 +alti 12345678 00501208 00 0002EE64 = 0002EE64 00 00501208 12345678 +alti 12345678 00501208 00 0002EE65 = 0002EE65 00 00501208 12345678 +alti 12345678 00501208 00 0002EE66 = 0002EE66 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE67 = 0002EE67 00 00501209 12345678 +alti 12345678 00501208 00 0002EE68 = 0002EE68 00 00501208 12345678 +alti 12345678 00501208 00 0002EE69 = 0002EE69 00 00501208 12345678 +alti 12345678 00501208 00 0002EE6A = 0002EE6A 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE6B = 0002EE6B 00 00501209 12345678 +alti 12345678 00501208 00 0002EE6C = 0002EE6C 00 00501208 12345678 +alti 12345678 00501208 00 0002EE6D = 0002EE6D 00 00501208 12345678 +alti 12345678 00501208 00 0002EE6E = 0002EE6E 00 0050120B 12345678 +alti 12345678 00501208 00 0002EE6F = 0002EE6F 00 00501209 12345678 +alti 12345678 00501208 00 0002EE70 = 0002EE70 00 00501008 12345678 +alti 12345678 00501208 00 0002EE71 = 0002EE71 00 00501008 12345678 +alti 12345678 00501208 00 0002EE72 = 0002EE72 00 0050100B 12345678 +alti 12345678 00501208 00 0002EE73 = 0002EE73 00 00501009 12345678 +alti 12345678 00501208 00 0002EE74 = 0002EE74 00 00501008 12345678 +alti 12345678 00501208 00 0002EE75 = 0002EE75 00 00501008 12345678 +alti 12345678 00501208 00 0002EE76 = 0002EE76 00 0050100B 12345678 +alti 12345678 00501208 00 0002EE77 = 0002EE77 00 00501009 12345678 +alti 12345678 00501208 00 0002EE78 = 0002EE78 00 00501408 12345678 +alti 12345678 00501208 00 0002EE79 = 0002EE79 00 00501408 12345678 +alti 12345678 00501208 00 0002EE7A = 0002EE7A 00 0050140B 12345678 +alti 12345678 00501208 00 0002EE7B = 0002EE7B 00 00501409 12345678 +alti 12345678 00501208 00 0002EE7C = 0002EE7C 00 00501408 12345678 +alti 12345678 00501208 00 0002EE7D = 0002EE7D 00 00501408 12345678 +alti 12345678 00501208 00 0002EE7E = 0002EE7E 00 0050140B 12345678 +alti 12345678 00501208 00 0002EE7F = 0002EE7F 00 00501409 12345678 +alti 12345678 00501208 00 0002EE80 = 004B0088 00 00481208 12345678 +alti 12345678 00501208 00 0002EE81 = 004B0089 00 00481208 12345678 +alti 12345678 00501208 00 0002EE82 = 004B008D 00 0048120B 12345678 +alti 12345678 00501208 00 0002EE83 = 004B008C 00 00481209 12345678 +alti 12345678 00501208 00 0002EE84 = 0005DD08 00 00481208 12345678 +alti 12345678 00501208 00 0002EE85 = 0005DD0A 00 00481208 12345678 +alti 12345678 00501208 00 0002EE86 = 0005DD0C 00 0048120B 12345678 +alti 12345678 00501208 00 0002EE87 = 0005DD0E 00 00481209 12345678 +alti 12345678 00501208 00 0002EE88 = 004B0090 00 00481208 12345678 +alti 12345678 00501208 00 0002EE89 = 004B0091 00 00481208 12345678 +alti 12345678 00501208 00 0002EE8A = 004B0095 00 0048120B 12345678 +alti 12345678 00501208 00 0002EE8B = 004B0094 00 00481209 12345678 +alti 12345678 00501208 00 0002EE8C = 0005DD18 00 00481208 12345678 +alti 12345678 00501208 00 0002EE8D = 0005DD1A 00 00481208 12345678 +alti 12345678 00501208 00 0002EE8E = 0005DD1C 00 0048120B 12345678 +alti 12345678 00501208 00 0002EE8F = 0005DD1E 00 00481209 12345678 +alti 12345678 00501208 00 0002EE90 = 004AFE98 00 00481008 12345678 +alti 12345678 00501208 00 0002EE91 = 004AFE99 00 00481008 12345678 +alti 12345678 00501208 00 0002EE92 = 004AFE9D 00 0048100B 12345678 +alti 12345678 00501208 00 0002EE93 = 004AFE9C 00 00481009 12345678 +alti 12345678 00501208 00 0002EE94 = 0005DD28 00 00481008 12345678 +alti 12345678 00501208 00 0002EE95 = 0005DD2A 00 00481008 12345678 +alti 12345678 00501208 00 0002EE96 = 0005DD2C 00 0048100B 12345678 +alti 12345678 00501208 00 0002EE97 = 0005DD2E 00 00481009 12345678 +alti 12345678 00501208 00 0002EE98 = 004B02A0 00 00481408 12345678 +alti 12345678 00501208 00 0002EE99 = 004B02A1 00 00481408 12345678 +alti 12345678 00501208 00 0002EE9A = 004B02A5 00 0048140B 12345678 +alti 12345678 00501208 00 0002EE9B = 004B02A4 00 00481409 12345678 +alti 12345678 00501208 00 0002EE9C = 0005DD38 00 00481408 12345678 +alti 12345678 00501208 00 0002EE9D = 0005DD3A 00 00481408 12345678 +alti 12345678 00501208 00 0002EE9E = 0005DD3C 00 0048140B 12345678 +alti 12345678 00501208 00 0002EE9F = 0005DD3E 00 00481409 12345678 +alti 12345678 00501208 00 0002EEA0 = 0002EEA0 00 00902410 12345678 +alti 12345678 00501208 00 0002EEA1 = 0002EEA1 00 00902410 12345678 +alti 12345678 00501208 00 0002EEA2 = 0002EEA2 00 00902416 12345678 +alti 12345678 00501208 00 0002EEA3 = 0002EEA3 00 00902412 12345678 +alti 12345678 00501208 00 0002EEA4 = 0002EEA4 00 004B00AC 12345678 +alti 12345678 00501208 00 0002EEA5 = 0002EEA5 00 004B00AD 12345678 +alti 12345678 00501208 00 0002EEA6 = 0002EEA6 00 004B00B1 12345678 +alti 12345678 00501208 00 0002EEA7 = 0002EEA7 00 004B00B0 12345678 +alti 12345678 00501208 00 0002EEA8 = 0002EEA8 00 00902410 12345678 +alti 12345678 00501208 00 0002EEA9 = 0002EEA9 00 00902410 12345678 +alti 12345678 00501208 00 0002EEAA = 0002EEAA 00 00902416 12345678 +alti 12345678 00501208 00 0002EEAB = 0002EEAB 00 00902412 12345678 +alti 12345678 00501208 00 0002EEAC = 0002EEAC 00 004B00B4 12345678 +alti 12345678 00501208 00 0002EEAD = 0002EEAD 00 004B00B5 12345678 +alti 12345678 00501208 00 0002EEAE = 0002EEAE 00 004B00B9 12345678 +alti 12345678 00501208 00 0002EEAF = 0002EEAF 00 004B00B8 12345678 +alti 12345678 00501208 00 0002EEB0 = 0002EEB0 00 00902010 12345678 +alti 12345678 00501208 00 0002EEB1 = 0002EEB1 00 00902010 12345678 +alti 12345678 00501208 00 0002EEB2 = 0002EEB2 00 00902016 12345678 +alti 12345678 00501208 00 0002EEB3 = 0002EEB3 00 00902012 12345678 +alti 12345678 00501208 00 0002EEB4 = 0002EEB4 00 004AFEBC 12345678 +alti 12345678 00501208 00 0002EEB5 = 0002EEB5 00 004AFEBD 12345678 +alti 12345678 00501208 00 0002EEB6 = 0002EEB6 00 004AFEC1 12345678 +alti 12345678 00501208 00 0002EEB7 = 0002EEB7 00 004AFEC0 12345678 +alti 12345678 00501208 00 0002EEB8 = 0002EEB8 00 00902810 12345678 +alti 12345678 00501208 00 0002EEB9 = 0002EEB9 00 00902810 12345678 +alti 12345678 00501208 00 0002EEBA = 0002EEBA 00 00902816 12345678 +alti 12345678 00501208 00 0002EEBB = 0002EEBB 00 00902812 12345678 +alti 12345678 00501208 00 0002EEBC = 0002EEBC 00 004B02C4 12345678 +alti 12345678 00501208 00 0002EEBD = 0002EEBD 00 004B02C5 12345678 +alti 12345678 00501208 00 0002EEBE = 0002EEBE 00 004B02C9 12345678 +alti 12345678 00501208 00 0002EEBF = 0002EEBF 00 004B02C8 12345678 +alti 12345678 00501208 00 0002EEC0 = 005B00C8 00 00581208 12345678 +alti 12345678 00501208 00 0002EEC1 = 005B00C9 00 00581208 12345678 +alti 12345678 00501208 00 0002EEC2 = 005B00CD 00 0058120B 12345678 +alti 12345678 00501208 00 0002EEC3 = 005B00CC 00 00581209 12345678 +alti 12345678 00501208 00 0002EEC4 = 0005DD88 00 00581208 12345678 +alti 12345678 00501208 00 0002EEC5 = 0005DD8A 00 00581208 12345678 +alti 12345678 00501208 00 0002EEC6 = 0005DD8C 00 0058120B 12345678 +alti 12345678 00501208 00 0002EEC7 = 0005DD8E 00 00581209 12345678 +alti 12345678 00501208 00 0002EEC8 = 005B00D0 00 00581208 12345678 +alti 12345678 00501208 00 0002EEC9 = 005B00D1 00 00581208 12345678 +alti 12345678 00501208 00 0002EECA = 005B00D5 00 0058120B 12345678 +alti 12345678 00501208 00 0002EECB = 005B00D4 00 00581209 12345678 +alti 12345678 00501208 00 0002EECC = 0005DD98 00 00581208 12345678 +alti 12345678 00501208 00 0002EECD = 0005DD9A 00 00581208 12345678 +alti 12345678 00501208 00 0002EECE = 0005DD9C 00 0058120B 12345678 +alti 12345678 00501208 00 0002EECF = 0005DD9E 00 00581209 12345678 +alti 12345678 00501208 00 0002EED0 = 005AFED8 00 00581008 12345678 +alti 12345678 00501208 00 0002EED1 = 005AFED9 00 00581008 12345678 +alti 12345678 00501208 00 0002EED2 = 005AFEDD 00 0058100B 12345678 +alti 12345678 00501208 00 0002EED3 = 005AFEDC 00 00581009 12345678 +alti 12345678 00501208 00 0002EED4 = 0005DDA8 00 00581008 12345678 +alti 12345678 00501208 00 0002EED5 = 0005DDAA 00 00581008 12345678 +alti 12345678 00501208 00 0002EED6 = 0005DDAC 00 0058100B 12345678 +alti 12345678 00501208 00 0002EED7 = 0005DDAE 00 00581009 12345678 +alti 12345678 00501208 00 0002EED8 = 005B02E0 00 00581408 12345678 +alti 12345678 00501208 00 0002EED9 = 005B02E1 00 00581408 12345678 +alti 12345678 00501208 00 0002EEDA = 005B02E5 00 0058140B 12345678 +alti 12345678 00501208 00 0002EEDB = 005B02E4 00 00581409 12345678 +alti 12345678 00501208 00 0002EEDC = 0005DDB8 00 00581408 12345678 +alti 12345678 00501208 00 0002EEDD = 0005DDBA 00 00581408 12345678 +alti 12345678 00501208 00 0002EEDE = 0005DDBC 00 0058140B 12345678 +alti 12345678 00501208 00 0002EEDF = 0005DDBE 00 00581409 12345678 +alti 12345678 00501208 00 0002EEE0 = 0002EEE0 00 00B02410 12345678 +alti 12345678 00501208 00 0002EEE1 = 0002EEE1 00 00B02410 12345678 +alti 12345678 00501208 00 0002EEE2 = 0002EEE2 00 00B02416 12345678 +alti 12345678 00501208 00 0002EEE3 = 0002EEE3 00 00B02412 12345678 +alti 12345678 00501208 00 0002EEE4 = 0002EEE4 00 005B00EC 12345678 +alti 12345678 00501208 00 0002EEE5 = 0002EEE5 00 005B00ED 12345678 +alti 12345678 00501208 00 0002EEE6 = 0002EEE6 00 005B00F1 12345678 +alti 12345678 00501208 00 0002EEE7 = 0002EEE7 00 005B00F0 12345678 +alti 12345678 00501208 00 0002EEE8 = 0002EEE8 00 00B02410 12345678 +alti 12345678 00501208 00 0002EEE9 = 0002EEE9 00 00B02410 12345678 +alti 12345678 00501208 00 0002EEEA = 0002EEEA 00 00B02416 12345678 +alti 12345678 00501208 00 0002EEEB = 0002EEEB 00 00B02412 12345678 +alti 12345678 00501208 00 0002EEEC = 0002EEEC 00 005B00F4 12345678 +alti 12345678 00501208 00 0002EEED = 0002EEED 00 005B00F5 12345678 +alti 12345678 00501208 00 0002EEEE = 0002EEEE 00 005B00F9 12345678 +alti 12345678 00501208 00 0002EEEF = 0002EEEF 00 005B00F8 12345678 +alti 12345678 00501208 00 0002EEF0 = 0002EEF0 00 00B02010 12345678 +alti 12345678 00501208 00 0002EEF1 = 0002EEF1 00 00B02010 12345678 +alti 12345678 00501208 00 0002EEF2 = 0002EEF2 00 00B02016 12345678 +alti 12345678 00501208 00 0002EEF3 = 0002EEF3 00 00B02012 12345678 +alti 12345678 00501208 00 0002EEF4 = 0002EEF4 00 005AFEFC 12345678 +alti 12345678 00501208 00 0002EEF5 = 0002EEF5 00 005AFEFD 12345678 +alti 12345678 00501208 00 0002EEF6 = 0002EEF6 00 005AFF01 12345678 +alti 12345678 00501208 00 0002EEF7 = 0002EEF7 00 005AFF00 12345678 +alti 12345678 00501208 00 0002EEF8 = 0002EEF8 00 00B02810 12345678 +alti 12345678 00501208 00 0002EEF9 = 0002EEF9 00 00B02810 12345678 +alti 12345678 00501208 00 0002EEFA = 0002EEFA 00 00B02816 12345678 +alti 12345678 00501208 00 0002EEFB = 0002EEFB 00 00B02812 12345678 +alti 12345678 00501208 00 0002EEFC = 0002EEFC 00 005B0304 12345678 +alti 12345678 00501208 00 0002EEFD = 0002EEFD 00 005B0305 12345678 +alti 12345678 00501208 00 0002EEFE = 0002EEFE 00 005B0309 12345678 +alti 12345678 00501208 00 0002EEFF = 0002EEFF 00 005B0308 12345678 +alti 12345678 00501208 00 0002EF00 = 0002EF00 00 00501208 00530108 +alti 12345678 00501208 00 0002EF01 = 0002EF01 00 00501208 00530109 +alti 12345678 00501208 00 0002EF02 = 0002EF02 00 0050120B 0053010D +alti 12345678 00501208 00 0002EF03 = 0002EF03 00 00501209 0053010C +alti 12345678 00501208 00 0002EF04 = 0002EF04 00 00501208 0005DE08 +alti 12345678 00501208 00 0002EF05 = 0002EF05 00 00501208 0005DE0A +alti 12345678 00501208 00 0002EF06 = 0002EF06 00 0050120B 0005DE0C +alti 12345678 00501208 00 0002EF07 = 0002EF07 00 00501209 0005DE0E +alti 12345678 00501208 00 0002EF08 = 0002EF08 00 00501208 00530110 +alti 12345678 00501208 00 0002EF09 = 0002EF09 00 00501208 00530111 +alti 12345678 00501208 00 0002EF0A = 0002EF0A 00 0050120B 00530115 +alti 12345678 00501208 00 0002EF0B = 0002EF0B 00 00501209 00530114 +alti 12345678 00501208 00 0002EF0C = 0002EF0C 00 00501208 0005DE18 +alti 12345678 00501208 00 0002EF0D = 0002EF0D 00 00501208 0005DE1A +alti 12345678 00501208 00 0002EF0E = 0002EF0E 00 0050120B 0005DE1C +alti 12345678 00501208 00 0002EF0F = 0002EF0F 00 00501209 0005DE1E +alti 12345678 00501208 00 0002EF10 = 0002EF10 00 00501008 0052FF18 +alti 12345678 00501208 00 0002EF11 = 0002EF11 00 00501008 0052FF19 +alti 12345678 00501208 00 0002EF12 = 0002EF12 00 0050100B 0052FF1D +alti 12345678 00501208 00 0002EF13 = 0002EF13 00 00501009 0052FF1C +alti 12345678 00501208 00 0002EF14 = 0002EF14 00 00501008 0005DE28 +alti 12345678 00501208 00 0002EF15 = 0002EF15 00 00501008 0005DE2A +alti 12345678 00501208 00 0002EF16 = 0002EF16 00 0050100B 0005DE2C +alti 12345678 00501208 00 0002EF17 = 0002EF17 00 00501009 0005DE2E +alti 12345678 00501208 00 0002EF18 = 0002EF18 00 00501408 00530320 +alti 12345678 00501208 00 0002EF19 = 0002EF19 00 00501408 00530321 +alti 12345678 00501208 00 0002EF1A = 0002EF1A 00 0050140B 00530325 +alti 12345678 00501208 00 0002EF1B = 0002EF1B 00 00501409 00530324 +alti 12345678 00501208 00 0002EF1C = 0002EF1C 00 00501408 0005DE38 +alti 12345678 00501208 00 0002EF1D = 0002EF1D 00 00501408 0005DE3A +alti 12345678 00501208 00 0002EF1E = 0002EF1E 00 0050140B 0005DE3C +alti 12345678 00501208 00 0002EF1F = 0002EF1F 00 00501409 0005DE3E +alti 12345678 00501208 00 0002EF20 = 0002EF20 00 00501208 00A02410 +alti 12345678 00501208 00 0002EF21 = 0002EF21 00 00501208 00A02410 +alti 12345678 00501208 00 0002EF22 = 0002EF22 00 0050120B 00A02416 +alti 12345678 00501208 00 0002EF23 = 0002EF23 00 00501209 00A02412 +alti 12345678 00501208 00 0002EF24 = 0002EF24 00 00501208 0053012C +alti 12345678 00501208 00 0002EF25 = 0002EF25 00 00501208 0053012D +alti 12345678 00501208 00 0002EF26 = 0002EF26 00 0050120B 00530131 +alti 12345678 00501208 00 0002EF27 = 0002EF27 00 00501209 00530130 +alti 12345678 00501208 00 0002EF28 = 0002EF28 00 00501208 00A02410 +alti 12345678 00501208 00 0002EF29 = 0002EF29 00 00501208 00A02410 +alti 12345678 00501208 00 0002EF2A = 0002EF2A 00 0050120B 00A02416 +alti 12345678 00501208 00 0002EF2B = 0002EF2B 00 00501209 00A02412 +alti 12345678 00501208 00 0002EF2C = 0002EF2C 00 00501208 00530134 +alti 12345678 00501208 00 0002EF2D = 0002EF2D 00 00501208 00530135 +alti 12345678 00501208 00 0002EF2E = 0002EF2E 00 0050120B 00530139 +alti 12345678 00501208 00 0002EF2F = 0002EF2F 00 00501209 00530138 +alti 12345678 00501208 00 0002EF30 = 0002EF30 00 00501008 00A02010 +alti 12345678 00501208 00 0002EF31 = 0002EF31 00 00501008 00A02010 +alti 12345678 00501208 00 0002EF32 = 0002EF32 00 0050100B 00A02016 +alti 12345678 00501208 00 0002EF33 = 0002EF33 00 00501009 00A02012 +alti 12345678 00501208 00 0002EF34 = 0002EF34 00 00501008 0052FF3C +alti 12345678 00501208 00 0002EF35 = 0002EF35 00 00501008 0052FF3D +alti 12345678 00501208 00 0002EF36 = 0002EF36 00 0050100B 0052FF41 +alti 12345678 00501208 00 0002EF37 = 0002EF37 00 00501009 0052FF40 +alti 12345678 00501208 00 0002EF38 = 0002EF38 00 00501408 00A02810 +alti 12345678 00501208 00 0002EF39 = 0002EF39 00 00501408 00A02810 +alti 12345678 00501208 00 0002EF3A = 0002EF3A 00 0050140B 00A02816 +alti 12345678 00501208 00 0002EF3B = 0002EF3B 00 00501409 00A02812 +alti 12345678 00501208 00 0002EF3C = 0002EF3C 00 00501408 00530344 +alti 12345678 00501208 00 0002EF3D = 0002EF3D 00 00501408 00530345 +alti 12345678 00501208 00 0002EF3E = 0002EF3E 00 0050140B 00530349 +alti 12345678 00501208 00 0002EF3F = 0002EF3F 00 00501409 00530348 +alti 12345678 F1801208 00 0002EF40 = 0E82DD38 00 F1801208 12345678 +alti 12345678 F1801208 00 0002EF41 = 0E82DD39 00 F1801208 12345678 +alti 12345678 F1801208 00 0002EF42 = 0E82DD37 00 F180120B 12345678 +alti 12345678 F1801208 00 0002EF43 = 0E82DD3A 00 F1801209 12345678 +alti 12345678 F1801208 00 0002EF44 = 00000000 00 F1801208 12345678 +alti 12345678 F1801208 00 0002EF45 = 00000000 00 F1801208 12345678 +alti 12345678 F1801208 00 0002EF46 = 00000000 00 F180120B 12345678 +alti 12345678 F1801208 00 0002EF47 = 00000000 00 F1801209 12345678 +alti 12345678 F1801208 00 0002EF48 = 0E82DD40 00 F1801208 12345678 +alti 12345678 F1801208 00 0002EF49 = 0E82DD41 00 F1801208 12345678 +alti 12345678 F1801208 00 0002EF4A = 0E82DD3F 00 F180120B 12345678 +alti 12345678 F1801208 00 0002EF4B = 0E82DD42 00 F1801209 12345678 +alti 12345678 F1801208 00 0002EF4C = 00000000 00 F1801208 12345678 +alti 12345678 F1801208 00 0002EF4D = 00000000 00 F1801208 12345678 +alti 12345678 F1801208 00 0002EF4E = 00000000 00 F180120B 12345678 +alti 12345678 F1801208 00 0002EF4F = 00000000 00 F1801209 12345678 +alti 12345678 F1801208 00 0002EF50 = 0E82DF48 00 F1801008 12345678 +alti 12345678 F1801208 00 0002EF51 = 0E82DF49 00 F1801008 12345678 +alti 12345678 F1801208 00 0002EF52 = 0E82DF47 00 F180100B 12345678 +alti 12345678 F1801208 00 0002EF53 = 0E82DF4A 00 F1801009 12345678 +alti 12345678 F1801208 00 0002EF54 = 00000000 00 F1801008 12345678 +alti 12345678 F1801208 00 0002EF55 = 00000000 00 F1801008 12345678 +alti 12345678 F1801208 00 0002EF56 = 00000000 00 F180100B 12345678 +alti 12345678 F1801208 00 0002EF57 = 00000000 00 F1801009 12345678 +alti 12345678 F1801208 00 0002EF58 = 0E82DB50 00 F1801408 12345678 +alti 12345678 F1801208 00 0002EF59 = 0E82DB51 00 F1801408 12345678 +alti 12345678 F1801208 00 0002EF5A = 0E82DB4F 00 F180140B 12345678 +alti 12345678 F1801208 00 0002EF5B = 0E82DB52 00 F1801409 12345678 +alti 12345678 F1801208 00 0002EF5C = 00000000 00 F1801408 12345678 +alti 12345678 F1801208 00 0002EF5D = 00000000 00 F1801408 12345678 +alti 12345678 F1801208 00 0002EF5E = 00000000 00 F180140B 12345678 +alti 12345678 F1801208 00 0002EF5F = 00000000 00 F1801409 12345678 +alti 12345678 F1801208 00 0002EF60 = 0002EF60 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF61 = 0002EF61 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF62 = 0002EF62 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF63 = 0002EF63 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF64 = 0002EF64 00 F17D22A4 12345678 +alti 12345678 F1801208 00 0002EF65 = 0002EF65 00 F17D22A3 12345678 +alti 12345678 F1801208 00 0002EF66 = 0002EF66 00 F17D22A5 12345678 +alti 12345678 F1801208 00 0002EF67 = 0002EF67 00 F17D22A2 12345678 +alti 12345678 F1801208 00 0002EF68 = 0002EF68 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF69 = 0002EF69 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF6A = 0002EF6A 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF6B = 0002EF6B 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF6C = 0002EF6C 00 F17D229C 12345678 +alti 12345678 F1801208 00 0002EF6D = 0002EF6D 00 F17D229B 12345678 +alti 12345678 F1801208 00 0002EF6E = 0002EF6E 00 F17D229D 12345678 +alti 12345678 F1801208 00 0002EF6F = 0002EF6F 00 F17D229A 12345678 +alti 12345678 F1801208 00 0002EF70 = 0002EF70 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF71 = 0002EF71 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF72 = 0002EF72 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF73 = 0002EF73 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF74 = 0002EF74 00 F17D2094 12345678 +alti 12345678 F1801208 00 0002EF75 = 0002EF75 00 F17D2093 12345678 +alti 12345678 F1801208 00 0002EF76 = 0002EF76 00 F17D2095 12345678 +alti 12345678 F1801208 00 0002EF77 = 0002EF77 00 F17D2092 12345678 +alti 12345678 F1801208 00 0002EF78 = 0002EF78 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF79 = 0002EF79 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF7A = 0002EF7A 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF7B = 0002EF7B 00 00000000 12345678 +alti 12345678 F1801208 00 0002EF7C = 0002EF7C 00 F17D248C 12345678 +alti 12345678 F1801208 00 0002EF7D = 0002EF7D 00 F17D248B 12345678 +alti 12345678 F1801208 00 0002EF7E = 0002EF7E 00 F17D248D 12345678 +alti 12345678 F1801208 00 0002EF7F = 0002EF7F 00 F17D248A 12345678 +alti 12345678 00501208 00 0002EF80 = 0002EF80 00 00481208 004B0188 +alti 12345678 00501208 00 0002EF81 = 0002EF81 00 00481208 004B0189 +alti 12345678 00501208 00 0002EF82 = 0002EF82 00 0048120B 004B018D +alti 12345678 00501208 00 0002EF83 = 0002EF83 00 00481209 004B018C +alti 12345678 00501208 00 0002EF84 = 0002EF84 00 00481208 0005DF08 +alti 12345678 00501208 00 0002EF85 = 0002EF85 00 00481208 0005DF0A +alti 12345678 00501208 00 0002EF86 = 0002EF86 00 0048120B 0005DF0C +alti 12345678 00501208 00 0002EF87 = 0002EF87 00 00481209 0005DF0E +alti 12345678 00501208 00 0002EF88 = 0002EF88 00 00481208 004B0190 +alti 12345678 00501208 00 0002EF89 = 0002EF89 00 00481208 004B0191 +alti 12345678 00501208 00 0002EF8A = 0002EF8A 00 0048120B 004B0195 +alti 12345678 00501208 00 0002EF8B = 0002EF8B 00 00481209 004B0194 +alti 12345678 00501208 00 0002EF8C = 0002EF8C 00 00481208 0005DF18 +alti 12345678 00501208 00 0002EF8D = 0002EF8D 00 00481208 0005DF1A +alti 12345678 00501208 00 0002EF8E = 0002EF8E 00 0048120B 0005DF1C +alti 12345678 00501208 00 0002EF8F = 0002EF8F 00 00481209 0005DF1E +alti 12345678 00501208 00 0002EF90 = 0002EF90 00 00481008 004AFF98 +alti 12345678 00501208 00 0002EF91 = 0002EF91 00 00481008 004AFF99 +alti 12345678 00501208 00 0002EF92 = 0002EF92 00 0048100B 004AFF9D +alti 12345678 00501208 00 0002EF93 = 0002EF93 00 00481009 004AFF9C +alti 12345678 00501208 00 0002EF94 = 0002EF94 00 00481008 0005DF28 +alti 12345678 00501208 00 0002EF95 = 0002EF95 00 00481008 0005DF2A +alti 12345678 00501208 00 0002EF96 = 0002EF96 00 0048100B 0005DF2C +alti 12345678 00501208 00 0002EF97 = 0002EF97 00 00481009 0005DF2E +alti 12345678 00501208 00 0002EF98 = 0002EF98 00 00481408 004B03A0 +alti 12345678 00501208 00 0002EF99 = 0002EF99 00 00481408 004B03A1 +alti 12345678 00501208 00 0002EF9A = 0002EF9A 00 0048140B 004B03A5 +alti 12345678 00501208 00 0002EF9B = 0002EF9B 00 00481409 004B03A4 +alti 12345678 00501208 00 0002EF9C = 0002EF9C 00 00481408 0005DF38 +alti 12345678 00501208 00 0002EF9D = 0002EF9D 00 00481408 0005DF3A +alti 12345678 00501208 00 0002EF9E = 0002EF9E 00 0048140B 0005DF3C +alti 12345678 00501208 00 0002EF9F = 0002EF9F 00 00481409 0005DF3E +alti 12345678 00501208 00 0002EFA0 = 0002EFA0 00 00481208 00902410 +alti 12345678 00501208 00 0002EFA1 = 0002EFA1 00 00481208 00902410 +alti 12345678 00501208 00 0002EFA2 = 0002EFA2 00 0048120B 00902416 +alti 12345678 00501208 00 0002EFA3 = 0002EFA3 00 00481209 00902412 +alti 12345678 00501208 00 0002EFA4 = 0002EFA4 00 00481208 004B01AC +alti 12345678 00501208 00 0002EFA5 = 0002EFA5 00 00481208 004B01AD +alti 12345678 00501208 00 0002EFA6 = 0002EFA6 00 0048120B 004B01B1 +alti 12345678 00501208 00 0002EFA7 = 0002EFA7 00 00481209 004B01B0 +alti 12345678 00501208 00 0002EFA8 = 0002EFA8 00 00481208 00902410 +alti 12345678 00501208 00 0002EFA9 = 0002EFA9 00 00481208 00902410 +alti 12345678 00501208 00 0002EFAA = 0002EFAA 00 0048120B 00902416 +alti 12345678 00501208 00 0002EFAB = 0002EFAB 00 00481209 00902412 +alti 12345678 00501208 00 0002EFAC = 0002EFAC 00 00481208 004B01B4 +alti 12345678 00501208 00 0002EFAD = 0002EFAD 00 00481208 004B01B5 +alti 12345678 00501208 00 0002EFAE = 0002EFAE 00 0048120B 004B01B9 +alti 12345678 00501208 00 0002EFAF = 0002EFAF 00 00481209 004B01B8 +alti 12345678 00501208 00 0002EFB0 = 0002EFB0 00 00481008 00902010 +alti 12345678 00501208 00 0002EFB1 = 0002EFB1 00 00481008 00902010 +alti 12345678 00501208 00 0002EFB2 = 0002EFB2 00 0048100B 00902016 +alti 12345678 00501208 00 0002EFB3 = 0002EFB3 00 00481009 00902012 +alti 12345678 00501208 00 0002EFB4 = 0002EFB4 00 00481008 004AFFBC +alti 12345678 00501208 00 0002EFB5 = 0002EFB5 00 00481008 004AFFBD +alti 12345678 00501208 00 0002EFB6 = 0002EFB6 00 0048100B 004AFFC1 +alti 12345678 00501208 00 0002EFB7 = 0002EFB7 00 00481009 004AFFC0 +alti 12345678 00501208 00 0002EFB8 = 0002EFB8 00 00481408 00902810 +alti 12345678 00501208 00 0002EFB9 = 0002EFB9 00 00481408 00902810 +alti 12345678 00501208 00 0002EFBA = 0002EFBA 00 0048140B 00902816 +alti 12345678 00501208 00 0002EFBB = 0002EFBB 00 00481409 00902812 +alti 12345678 00501208 00 0002EFBC = 0002EFBC 00 00481408 004B03C4 +alti 12345678 00501208 00 0002EFBD = 0002EFBD 00 00481408 004B03C5 +alti 12345678 00501208 00 0002EFBE = 0002EFBE 00 0048140B 004B03C9 +alti 12345678 00501208 00 0002EFBF = 0002EFBF 00 00481409 004B03C8 +alti 12345678 00501208 00 0002EFC0 = 0002EFC0 00 00581208 005B01C8 +alti 12345678 00501208 00 0002EFC1 = 0002EFC1 00 00581208 005B01C9 +alti 12345678 00501208 00 0002EFC2 = 0002EFC2 00 0058120B 005B01CD +alti 12345678 00501208 00 0002EFC3 = 0002EFC3 00 00581209 005B01CC +alti 12345678 00501208 00 0002EFC4 = 0002EFC4 00 00581208 0005DF88 +alti 12345678 00501208 00 0002EFC5 = 0002EFC5 00 00581208 0005DF8A +alti 12345678 00501208 00 0002EFC6 = 0002EFC6 00 0058120B 0005DF8C +alti 12345678 00501208 00 0002EFC7 = 0002EFC7 00 00581209 0005DF8E +alti 12345678 00501208 00 0002EFC8 = 0002EFC8 00 00581208 005B01D0 +alti 12345678 00501208 00 0002EFC9 = 0002EFC9 00 00581208 005B01D1 +alti 12345678 00501208 00 0002EFCA = 0002EFCA 00 0058120B 005B01D5 +alti 12345678 00501208 00 0002EFCB = 0002EFCB 00 00581209 005B01D4 +alti 12345678 00501208 00 0002EFCC = 0002EFCC 00 00581208 0005DF98 +alti 12345678 00501208 00 0002EFCD = 0002EFCD 00 00581208 0005DF9A +alti 12345678 00501208 00 0002EFCE = 0002EFCE 00 0058120B 0005DF9C +alti 12345678 00501208 00 0002EFCF = 0002EFCF 00 00581209 0005DF9E +alti 12345678 00501208 00 0002EFD0 = 0002EFD0 00 00581008 005AFFD8 +alti 12345678 00501208 00 0002EFD1 = 0002EFD1 00 00581008 005AFFD9 +alti 12345678 00501208 00 0002EFD2 = 0002EFD2 00 0058100B 005AFFDD +alti 12345678 00501208 00 0002EFD3 = 0002EFD3 00 00581009 005AFFDC +alti 12345678 00501208 00 0002EFD4 = 0002EFD4 00 00581008 0005DFA8 +alti 12345678 00501208 00 0002EFD5 = 0002EFD5 00 00581008 0005DFAA +alti 12345678 00501208 00 0002EFD6 = 0002EFD6 00 0058100B 0005DFAC +alti 12345678 00501208 00 0002EFD7 = 0002EFD7 00 00581009 0005DFAE +alti 12345678 00501208 00 0002EFD8 = 0002EFD8 00 00581408 005B03E0 +alti 12345678 00501208 00 0002EFD9 = 0002EFD9 00 00581408 005B03E1 +alti 12345678 00501208 00 0002EFDA = 0002EFDA 00 0058140B 005B03E5 +alti 12345678 00501208 00 0002EFDB = 0002EFDB 00 00581409 005B03E4 +alti 12345678 00501208 00 0002EFDC = 0002EFDC 00 00581408 0005DFB8 +alti 12345678 00501208 00 0002EFDD = 0002EFDD 00 00581408 0005DFBA +alti 12345678 00501208 00 0002EFDE = 0002EFDE 00 0058140B 0005DFBC +alti 12345678 00501208 00 0002EFDF = 0002EFDF 00 00581409 0005DFBE +alti 12345678 00501208 00 0002EFE0 = 0002EFE0 00 00581208 00B02410 +alti 12345678 00501208 00 0002EFE1 = 0002EFE1 00 00581208 00B02410 +alti 12345678 00501208 00 0002EFE2 = 0002EFE2 00 0058120B 00B02416 +alti 12345678 00501208 00 0002EFE3 = 0002EFE3 00 00581209 00B02412 +alti 12345678 00501208 00 0002EFE4 = 0002EFE4 00 00581208 005B01EC +alti 12345678 00501208 00 0002EFE5 = 0002EFE5 00 00581208 005B01ED +alti 12345678 00501208 00 0002EFE6 = 0002EFE6 00 0058120B 005B01F1 +alti 12345678 00501208 00 0002EFE7 = 0002EFE7 00 00581209 005B01F0 +alti 12345678 00501208 00 0002EFE8 = 0002EFE8 00 00581208 00B02410 +alti 12345678 00501208 00 0002EFE9 = 0002EFE9 00 00581208 00B02410 +alti 12345678 00501208 00 0002EFEA = 0002EFEA 00 0058120B 00B02416 +alti 12345678 00501208 00 0002EFEB = 0002EFEB 00 00581209 00B02412 +alti 12345678 00501208 00 0002EFEC = 0002EFEC 00 00581208 005B01F4 +alti 12345678 00501208 00 0002EFED = 0002EFED 00 00581208 005B01F5 +alti 12345678 00501208 00 0002EFEE = 0002EFEE 00 0058120B 005B01F9 +alti 12345678 00501208 00 0002EFEF = 0002EFEF 00 00581209 005B01F8 +alti 12345678 00501208 00 0002EFF0 = 0002EFF0 00 00581008 00B02010 +alti 12345678 00501208 00 0002EFF1 = 0002EFF1 00 00581008 00B02010 +alti 12345678 00501208 00 0002EFF2 = 0002EFF2 00 0058100B 00B02016 +alti 12345678 00501208 00 0002EFF3 = 0002EFF3 00 00581009 00B02012 +alti 12345678 00501208 00 0002EFF4 = 0002EFF4 00 00581008 005AFFFC +alti 12345678 00501208 00 0002EFF5 = 0002EFF5 00 00581008 005AFFFD +alti 12345678 00501208 00 0002EFF6 = 0002EFF6 00 0058100B 005B0001 +alti 12345678 00501208 00 0002EFF7 = 0002EFF7 00 00581009 005B0000 +alti 12345678 00501208 00 0002EFF8 = 0002EFF8 00 00581408 00B02810 +alti 12345678 00501208 00 0002EFF9 = 0002EFF9 00 00581408 00B02810 +alti 12345678 00501208 00 0002EFFA = 0002EFFA 00 0058140B 00B02816 +alti 12345678 00501208 00 0002EFFB = 0002EFFB 00 00581409 00B02812 +alti 12345678 00501208 00 0002EFFC = 0002EFFC 00 00581408 005B0404 +alti 12345678 00501208 00 0002EFFD = 0002EFFD 00 00581408 005B0405 +alti 12345678 00501208 00 0002EFFE = 0002EFFE 00 0058140B 005B0409 +alti 12345678 00501208 00 0002EFFF = 0002EFFF 00 00581409 005B0408 diff --git a/verify/testhdwd.txt b/verify/testhdwd.txt new file mode 100755 index 0000000..70e75f2 --- /dev/null +++ b/verify/testhdwd.txt @@ -0,0 +1,2448 @@ +splitb ---D---- ---S---- CZ = ---Q---- CZ +00 000 00000000 00000000 00 = 00000000 00 +00 001 00000000 00000000 01 = 00000000 01 +00 002 00000000 00000000 10 = 00000000 10 +00 003 00000000 00000000 11 = 00000000 11 +00 004 00000001 00000000 00 = 00000001 00 +00 005 00000001 00000000 01 = 00000001 01 +00 006 00000001 00000000 10 = 00000001 10 +00 007 00000001 00000000 11 = 00000001 11 +00 008 00000002 00000000 00 = 00000100 00 +00 009 00000002 00000000 01 = 00000100 01 +00 00A 00000002 00000000 10 = 00000100 10 +00 00B 00000002 00000000 11 = 00000100 11 +00 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +00 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +00 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +00 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +00 010 80000000 00000000 00 = 80000000 00 +00 011 80000000 00000000 01 = 80000000 01 +00 012 80000000 00000000 10 = 80000000 10 +00 013 80000000 00000000 11 = 80000000 11 +00 014 80000001 00000000 00 = 80000001 00 +00 015 80000001 00000000 01 = 80000001 01 +00 016 80000001 00000000 10 = 80000001 10 +00 017 80000001 00000000 11 = 80000001 11 +00 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +00 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +00 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +00 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +00 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +00 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +00 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +00 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +00 020 00000004 00000000 00 = 00010000 00 +00 021 00000004 00000000 01 = 00010000 01 +00 022 00000004 00000000 10 = 00010000 10 +00 023 00000004 00000000 11 = 00010000 11 +00 024 00000008 00000000 00 = 01000000 00 +00 025 00000008 00000000 01 = 01000000 01 +00 026 00000008 00000000 10 = 01000000 10 +00 027 00000008 00000000 11 = 01000000 11 +00 028 00000010 00000000 00 = 00000002 00 +00 029 00000010 00000000 01 = 00000002 01 +00 02A 00000010 00000000 10 = 00000002 10 +00 02B 00000010 00000000 11 = 00000002 11 +00 02C 00000020 00000000 00 = 00000200 00 +00 02D 00000020 00000000 01 = 00000200 01 +00 02E 00000020 00000000 10 = 00000200 10 +00 02F 00000020 00000000 11 = 00000200 11 +00 030 00000040 00000000 00 = 00020000 00 +00 031 00000040 00000000 01 = 00020000 01 +00 032 00000040 00000000 10 = 00020000 10 +00 033 00000040 00000000 11 = 00020000 11 +00 034 00000080 00000000 00 = 02000000 00 +00 035 00000080 00000000 01 = 02000000 01 +00 036 00000080 00000000 10 = 02000000 10 +00 037 00000080 00000000 11 = 02000000 11 +00 038 00000100 00000000 00 = 00000004 00 +00 039 00000100 00000000 01 = 00000004 01 +00 03A 00000100 00000000 10 = 00000004 10 +00 03B 00000100 00000000 11 = 00000004 11 +00 03C 00000200 00000000 00 = 00000400 00 +00 03D 00000200 00000000 01 = 00000400 01 +00 03E 00000200 00000000 10 = 00000400 10 +00 03F 00000200 00000000 11 = 00000400 11 +00 040 00000400 00000000 00 = 00040000 00 +00 041 00000400 00000000 01 = 00040000 01 +00 042 00000400 00000000 10 = 00040000 10 +00 043 00000400 00000000 11 = 00040000 11 +00 044 00000800 00000000 00 = 04000000 00 +00 045 00000800 00000000 01 = 04000000 01 +00 046 00000800 00000000 10 = 04000000 10 +00 047 00000800 00000000 11 = 04000000 11 +00 048 00001000 00000000 00 = 00000008 00 +00 049 00001000 00000000 01 = 00000008 01 +00 04A 00001000 00000000 10 = 00000008 10 +00 04B 00001000 00000000 11 = 00000008 11 +00 04C 00002000 00000000 00 = 00000800 00 +00 04D 00002000 00000000 01 = 00000800 01 +00 04E 00002000 00000000 10 = 00000800 10 +00 04F 00002000 00000000 11 = 00000800 11 +00 050 00004000 00000000 00 = 00080000 00 +00 051 00004000 00000000 01 = 00080000 01 +00 052 00004000 00000000 10 = 00080000 10 +00 053 00004000 00000000 11 = 00080000 11 +00 054 00008000 00000000 00 = 08000000 00 +00 055 00008000 00000000 01 = 08000000 01 +00 056 00008000 00000000 10 = 08000000 10 +00 057 00008000 00000000 11 = 08000000 11 +00 058 00010000 00000000 00 = 00000010 00 +00 059 00010000 00000000 01 = 00000010 01 +00 05A 00010000 00000000 10 = 00000010 10 +00 05B 00010000 00000000 11 = 00000010 11 +00 05C 00020000 00000000 00 = 00001000 00 +00 05D 00020000 00000000 01 = 00001000 01 +00 05E 00020000 00000000 10 = 00001000 10 +00 05F 00020000 00000000 11 = 00001000 11 +00 060 00040000 00000000 00 = 00100000 00 +00 061 00040000 00000000 01 = 00100000 01 +00 062 00040000 00000000 10 = 00100000 10 +00 063 00040000 00000000 11 = 00100000 11 +00 064 00080000 00000000 00 = 10000000 00 +00 065 00080000 00000000 01 = 10000000 01 +00 066 00080000 00000000 10 = 10000000 10 +00 067 00080000 00000000 11 = 10000000 11 +00 068 00100000 00000000 00 = 00000020 00 +00 069 00100000 00000000 01 = 00000020 01 +00 06A 00100000 00000000 10 = 00000020 10 +00 06B 00100000 00000000 11 = 00000020 11 +00 06C 00200000 00000000 00 = 00002000 00 +00 06D 00200000 00000000 01 = 00002000 01 +00 06E 00200000 00000000 10 = 00002000 10 +00 06F 00200000 00000000 11 = 00002000 11 +00 070 00400000 00000000 00 = 00200000 00 +00 071 00400000 00000000 01 = 00200000 01 +00 072 00400000 00000000 10 = 00200000 10 +00 073 00400000 00000000 11 = 00200000 11 +00 074 00800000 00000000 00 = 20000000 00 +00 075 00800000 00000000 01 = 20000000 01 +00 076 00800000 00000000 10 = 20000000 10 +00 077 00800000 00000000 11 = 20000000 11 +00 078 01000000 00000000 00 = 00000040 00 +00 079 01000000 00000000 01 = 00000040 01 +00 07A 01000000 00000000 10 = 00000040 10 +00 07B 01000000 00000000 11 = 00000040 11 +00 07C 02000000 00000000 00 = 00004000 00 +00 07D 02000000 00000000 01 = 00004000 01 +00 07E 02000000 00000000 10 = 00004000 10 +00 07F 02000000 00000000 11 = 00004000 11 +00 080 04000000 00000000 00 = 00400000 00 +00 081 04000000 00000000 01 = 00400000 01 +00 082 04000000 00000000 10 = 00400000 10 +00 083 04000000 00000000 11 = 00400000 11 +00 084 08000000 00000000 00 = 40000000 00 +00 085 08000000 00000000 01 = 40000000 01 +00 086 08000000 00000000 10 = 40000000 10 +00 087 08000000 00000000 11 = 40000000 11 +00 088 10000000 00000000 00 = 00000080 00 +00 089 10000000 00000000 01 = 00000080 01 +00 08A 10000000 00000000 10 = 00000080 10 +00 08B 10000000 00000000 11 = 00000080 11 +00 08C 20000000 00000000 00 = 00008000 00 +00 08D 20000000 00000000 01 = 00008000 01 +00 08E 20000000 00000000 10 = 00008000 10 +00 08F 20000000 00000000 11 = 00008000 11 +00 090 40000000 00000000 00 = 00800000 00 +00 091 40000000 00000000 01 = 00800000 01 +00 092 40000000 00000000 10 = 00800000 10 +00 093 40000000 00000000 11 = 00800000 11 +00 094 80000000 00000000 00 = 80000000 00 +00 095 80000000 00000000 01 = 80000000 01 +00 096 80000000 00000000 10 = 80000000 10 +00 097 80000000 00000000 11 = 80000000 11 +mergeb ---D---- ---S---- CZ = ---Q---- CZ +01 000 00000000 00000000 00 = 00000000 00 +01 001 00000000 00000000 01 = 00000000 01 +01 002 00000000 00000000 10 = 00000000 10 +01 003 00000000 00000000 11 = 00000000 11 +01 004 00000001 00000000 00 = 00000001 00 +01 005 00000001 00000000 01 = 00000001 01 +01 006 00000001 00000000 10 = 00000001 10 +01 007 00000001 00000000 11 = 00000001 11 +01 008 00000002 00000000 00 = 00000010 00 +01 009 00000002 00000000 01 = 00000010 01 +01 00A 00000002 00000000 10 = 00000010 10 +01 00B 00000002 00000000 11 = 00000010 11 +01 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +01 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +01 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +01 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +01 010 80000000 00000000 00 = 80000000 00 +01 011 80000000 00000000 01 = 80000000 01 +01 012 80000000 00000000 10 = 80000000 10 +01 013 80000000 00000000 11 = 80000000 11 +01 014 80000001 00000000 00 = 80000001 00 +01 015 80000001 00000000 01 = 80000001 01 +01 016 80000001 00000000 10 = 80000001 10 +01 017 80000001 00000000 11 = 80000001 11 +01 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +01 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +01 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +01 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +01 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +01 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +01 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +01 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +01 020 00000004 00000000 00 = 00000100 00 +01 021 00000004 00000000 01 = 00000100 01 +01 022 00000004 00000000 10 = 00000100 10 +01 023 00000004 00000000 11 = 00000100 11 +01 024 00000008 00000000 00 = 00001000 00 +01 025 00000008 00000000 01 = 00001000 01 +01 026 00000008 00000000 10 = 00001000 10 +01 027 00000008 00000000 11 = 00001000 11 +01 028 00000010 00000000 00 = 00010000 00 +01 029 00000010 00000000 01 = 00010000 01 +01 02A 00000010 00000000 10 = 00010000 10 +01 02B 00000010 00000000 11 = 00010000 11 +01 02C 00000020 00000000 00 = 00100000 00 +01 02D 00000020 00000000 01 = 00100000 01 +01 02E 00000020 00000000 10 = 00100000 10 +01 02F 00000020 00000000 11 = 00100000 11 +01 030 00000040 00000000 00 = 01000000 00 +01 031 00000040 00000000 01 = 01000000 01 +01 032 00000040 00000000 10 = 01000000 10 +01 033 00000040 00000000 11 = 01000000 11 +01 034 00000080 00000000 00 = 10000000 00 +01 035 00000080 00000000 01 = 10000000 01 +01 036 00000080 00000000 10 = 10000000 10 +01 037 00000080 00000000 11 = 10000000 11 +01 038 00000100 00000000 00 = 00000002 00 +01 039 00000100 00000000 01 = 00000002 01 +01 03A 00000100 00000000 10 = 00000002 10 +01 03B 00000100 00000000 11 = 00000002 11 +01 03C 00000200 00000000 00 = 00000020 00 +01 03D 00000200 00000000 01 = 00000020 01 +01 03E 00000200 00000000 10 = 00000020 10 +01 03F 00000200 00000000 11 = 00000020 11 +01 040 00000400 00000000 00 = 00000200 00 +01 041 00000400 00000000 01 = 00000200 01 +01 042 00000400 00000000 10 = 00000200 10 +01 043 00000400 00000000 11 = 00000200 11 +01 044 00000800 00000000 00 = 00002000 00 +01 045 00000800 00000000 01 = 00002000 01 +01 046 00000800 00000000 10 = 00002000 10 +01 047 00000800 00000000 11 = 00002000 11 +01 048 00001000 00000000 00 = 00020000 00 +01 049 00001000 00000000 01 = 00020000 01 +01 04A 00001000 00000000 10 = 00020000 10 +01 04B 00001000 00000000 11 = 00020000 11 +01 04C 00002000 00000000 00 = 00200000 00 +01 04D 00002000 00000000 01 = 00200000 01 +01 04E 00002000 00000000 10 = 00200000 10 +01 04F 00002000 00000000 11 = 00200000 11 +01 050 00004000 00000000 00 = 02000000 00 +01 051 00004000 00000000 01 = 02000000 01 +01 052 00004000 00000000 10 = 02000000 10 +01 053 00004000 00000000 11 = 02000000 11 +01 054 00008000 00000000 00 = 20000000 00 +01 055 00008000 00000000 01 = 20000000 01 +01 056 00008000 00000000 10 = 20000000 10 +01 057 00008000 00000000 11 = 20000000 11 +01 058 00010000 00000000 00 = 00000004 00 +01 059 00010000 00000000 01 = 00000004 01 +01 05A 00010000 00000000 10 = 00000004 10 +01 05B 00010000 00000000 11 = 00000004 11 +01 05C 00020000 00000000 00 = 00000040 00 +01 05D 00020000 00000000 01 = 00000040 01 +01 05E 00020000 00000000 10 = 00000040 10 +01 05F 00020000 00000000 11 = 00000040 11 +01 060 00040000 00000000 00 = 00000400 00 +01 061 00040000 00000000 01 = 00000400 01 +01 062 00040000 00000000 10 = 00000400 10 +01 063 00040000 00000000 11 = 00000400 11 +01 064 00080000 00000000 00 = 00004000 00 +01 065 00080000 00000000 01 = 00004000 01 +01 066 00080000 00000000 10 = 00004000 10 +01 067 00080000 00000000 11 = 00004000 11 +01 068 00100000 00000000 00 = 00040000 00 +01 069 00100000 00000000 01 = 00040000 01 +01 06A 00100000 00000000 10 = 00040000 10 +01 06B 00100000 00000000 11 = 00040000 11 +01 06C 00200000 00000000 00 = 00400000 00 +01 06D 00200000 00000000 01 = 00400000 01 +01 06E 00200000 00000000 10 = 00400000 10 +01 06F 00200000 00000000 11 = 00400000 11 +01 070 00400000 00000000 00 = 04000000 00 +01 071 00400000 00000000 01 = 04000000 01 +01 072 00400000 00000000 10 = 04000000 10 +01 073 00400000 00000000 11 = 04000000 11 +01 074 00800000 00000000 00 = 40000000 00 +01 075 00800000 00000000 01 = 40000000 01 +01 076 00800000 00000000 10 = 40000000 10 +01 077 00800000 00000000 11 = 40000000 11 +01 078 01000000 00000000 00 = 00000008 00 +01 079 01000000 00000000 01 = 00000008 01 +01 07A 01000000 00000000 10 = 00000008 10 +01 07B 01000000 00000000 11 = 00000008 11 +01 07C 02000000 00000000 00 = 00000080 00 +01 07D 02000000 00000000 01 = 00000080 01 +01 07E 02000000 00000000 10 = 00000080 10 +01 07F 02000000 00000000 11 = 00000080 11 +01 080 04000000 00000000 00 = 00000800 00 +01 081 04000000 00000000 01 = 00000800 01 +01 082 04000000 00000000 10 = 00000800 10 +01 083 04000000 00000000 11 = 00000800 11 +01 084 08000000 00000000 00 = 00008000 00 +01 085 08000000 00000000 01 = 00008000 01 +01 086 08000000 00000000 10 = 00008000 10 +01 087 08000000 00000000 11 = 00008000 11 +01 088 10000000 00000000 00 = 00080000 00 +01 089 10000000 00000000 01 = 00080000 01 +01 08A 10000000 00000000 10 = 00080000 10 +01 08B 10000000 00000000 11 = 00080000 11 +01 08C 20000000 00000000 00 = 00800000 00 +01 08D 20000000 00000000 01 = 00800000 01 +01 08E 20000000 00000000 10 = 00800000 10 +01 08F 20000000 00000000 11 = 00800000 11 +01 090 40000000 00000000 00 = 08000000 00 +01 091 40000000 00000000 01 = 08000000 01 +01 092 40000000 00000000 10 = 08000000 10 +01 093 40000000 00000000 11 = 08000000 11 +01 094 80000000 00000000 00 = 80000000 00 +01 095 80000000 00000000 01 = 80000000 01 +01 096 80000000 00000000 10 = 80000000 10 +01 097 80000000 00000000 11 = 80000000 11 +splitw ---D---- ---S---- CZ = ---Q---- CZ +02 000 00000000 00000000 00 = 00000000 00 +02 001 00000000 00000000 01 = 00000000 01 +02 002 00000000 00000000 10 = 00000000 10 +02 003 00000000 00000000 11 = 00000000 11 +02 004 00000001 00000000 00 = 00000001 00 +02 005 00000001 00000000 01 = 00000001 01 +02 006 00000001 00000000 10 = 00000001 10 +02 007 00000001 00000000 11 = 00000001 11 +02 008 00000002 00000000 00 = 00010000 00 +02 009 00000002 00000000 01 = 00010000 01 +02 00A 00000002 00000000 10 = 00010000 10 +02 00B 00000002 00000000 11 = 00010000 11 +02 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +02 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +02 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +02 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +02 010 80000000 00000000 00 = 80000000 00 +02 011 80000000 00000000 01 = 80000000 01 +02 012 80000000 00000000 10 = 80000000 10 +02 013 80000000 00000000 11 = 80000000 11 +02 014 80000001 00000000 00 = 80000001 00 +02 015 80000001 00000000 01 = 80000001 01 +02 016 80000001 00000000 10 = 80000001 10 +02 017 80000001 00000000 11 = 80000001 11 +02 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +02 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +02 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +02 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +02 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +02 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +02 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +02 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +02 020 00000004 00000000 00 = 00000002 00 +02 021 00000004 00000000 01 = 00000002 01 +02 022 00000004 00000000 10 = 00000002 10 +02 023 00000004 00000000 11 = 00000002 11 +02 024 00000008 00000000 00 = 00020000 00 +02 025 00000008 00000000 01 = 00020000 01 +02 026 00000008 00000000 10 = 00020000 10 +02 027 00000008 00000000 11 = 00020000 11 +02 028 00000010 00000000 00 = 00000004 00 +02 029 00000010 00000000 01 = 00000004 01 +02 02A 00000010 00000000 10 = 00000004 10 +02 02B 00000010 00000000 11 = 00000004 11 +02 02C 00000020 00000000 00 = 00040000 00 +02 02D 00000020 00000000 01 = 00040000 01 +02 02E 00000020 00000000 10 = 00040000 10 +02 02F 00000020 00000000 11 = 00040000 11 +02 030 00000040 00000000 00 = 00000008 00 +02 031 00000040 00000000 01 = 00000008 01 +02 032 00000040 00000000 10 = 00000008 10 +02 033 00000040 00000000 11 = 00000008 11 +02 034 00000080 00000000 00 = 00080000 00 +02 035 00000080 00000000 01 = 00080000 01 +02 036 00000080 00000000 10 = 00080000 10 +02 037 00000080 00000000 11 = 00080000 11 +02 038 00000100 00000000 00 = 00000010 00 +02 039 00000100 00000000 01 = 00000010 01 +02 03A 00000100 00000000 10 = 00000010 10 +02 03B 00000100 00000000 11 = 00000010 11 +02 03C 00000200 00000000 00 = 00100000 00 +02 03D 00000200 00000000 01 = 00100000 01 +02 03E 00000200 00000000 10 = 00100000 10 +02 03F 00000200 00000000 11 = 00100000 11 +02 040 00000400 00000000 00 = 00000020 00 +02 041 00000400 00000000 01 = 00000020 01 +02 042 00000400 00000000 10 = 00000020 10 +02 043 00000400 00000000 11 = 00000020 11 +02 044 00000800 00000000 00 = 00200000 00 +02 045 00000800 00000000 01 = 00200000 01 +02 046 00000800 00000000 10 = 00200000 10 +02 047 00000800 00000000 11 = 00200000 11 +02 048 00001000 00000000 00 = 00000040 00 +02 049 00001000 00000000 01 = 00000040 01 +02 04A 00001000 00000000 10 = 00000040 10 +02 04B 00001000 00000000 11 = 00000040 11 +02 04C 00002000 00000000 00 = 00400000 00 +02 04D 00002000 00000000 01 = 00400000 01 +02 04E 00002000 00000000 10 = 00400000 10 +02 04F 00002000 00000000 11 = 00400000 11 +02 050 00004000 00000000 00 = 00000080 00 +02 051 00004000 00000000 01 = 00000080 01 +02 052 00004000 00000000 10 = 00000080 10 +02 053 00004000 00000000 11 = 00000080 11 +02 054 00008000 00000000 00 = 00800000 00 +02 055 00008000 00000000 01 = 00800000 01 +02 056 00008000 00000000 10 = 00800000 10 +02 057 00008000 00000000 11 = 00800000 11 +02 058 00010000 00000000 00 = 00000100 00 +02 059 00010000 00000000 01 = 00000100 01 +02 05A 00010000 00000000 10 = 00000100 10 +02 05B 00010000 00000000 11 = 00000100 11 +02 05C 00020000 00000000 00 = 01000000 00 +02 05D 00020000 00000000 01 = 01000000 01 +02 05E 00020000 00000000 10 = 01000000 10 +02 05F 00020000 00000000 11 = 01000000 11 +02 060 00040000 00000000 00 = 00000200 00 +02 061 00040000 00000000 01 = 00000200 01 +02 062 00040000 00000000 10 = 00000200 10 +02 063 00040000 00000000 11 = 00000200 11 +02 064 00080000 00000000 00 = 02000000 00 +02 065 00080000 00000000 01 = 02000000 01 +02 066 00080000 00000000 10 = 02000000 10 +02 067 00080000 00000000 11 = 02000000 11 +02 068 00100000 00000000 00 = 00000400 00 +02 069 00100000 00000000 01 = 00000400 01 +02 06A 00100000 00000000 10 = 00000400 10 +02 06B 00100000 00000000 11 = 00000400 11 +02 06C 00200000 00000000 00 = 04000000 00 +02 06D 00200000 00000000 01 = 04000000 01 +02 06E 00200000 00000000 10 = 04000000 10 +02 06F 00200000 00000000 11 = 04000000 11 +02 070 00400000 00000000 00 = 00000800 00 +02 071 00400000 00000000 01 = 00000800 01 +02 072 00400000 00000000 10 = 00000800 10 +02 073 00400000 00000000 11 = 00000800 11 +02 074 00800000 00000000 00 = 08000000 00 +02 075 00800000 00000000 01 = 08000000 01 +02 076 00800000 00000000 10 = 08000000 10 +02 077 00800000 00000000 11 = 08000000 11 +02 078 01000000 00000000 00 = 00001000 00 +02 079 01000000 00000000 01 = 00001000 01 +02 07A 01000000 00000000 10 = 00001000 10 +02 07B 01000000 00000000 11 = 00001000 11 +02 07C 02000000 00000000 00 = 10000000 00 +02 07D 02000000 00000000 01 = 10000000 01 +02 07E 02000000 00000000 10 = 10000000 10 +02 07F 02000000 00000000 11 = 10000000 11 +02 080 04000000 00000000 00 = 00002000 00 +02 081 04000000 00000000 01 = 00002000 01 +02 082 04000000 00000000 10 = 00002000 10 +02 083 04000000 00000000 11 = 00002000 11 +02 084 08000000 00000000 00 = 20000000 00 +02 085 08000000 00000000 01 = 20000000 01 +02 086 08000000 00000000 10 = 20000000 10 +02 087 08000000 00000000 11 = 20000000 11 +02 088 10000000 00000000 00 = 00004000 00 +02 089 10000000 00000000 01 = 00004000 01 +02 08A 10000000 00000000 10 = 00004000 10 +02 08B 10000000 00000000 11 = 00004000 11 +02 08C 20000000 00000000 00 = 40000000 00 +02 08D 20000000 00000000 01 = 40000000 01 +02 08E 20000000 00000000 10 = 40000000 10 +02 08F 20000000 00000000 11 = 40000000 11 +02 090 40000000 00000000 00 = 00008000 00 +02 091 40000000 00000000 01 = 00008000 01 +02 092 40000000 00000000 10 = 00008000 10 +02 093 40000000 00000000 11 = 00008000 11 +02 094 80000000 00000000 00 = 80000000 00 +02 095 80000000 00000000 01 = 80000000 01 +02 096 80000000 00000000 10 = 80000000 10 +02 097 80000000 00000000 11 = 80000000 11 +mergew ---D---- ---S---- CZ = ---Q---- CZ +03 000 00000000 00000000 00 = 00000000 00 +03 001 00000000 00000000 01 = 00000000 01 +03 002 00000000 00000000 10 = 00000000 10 +03 003 00000000 00000000 11 = 00000000 11 +03 004 00000001 00000000 00 = 00000001 00 +03 005 00000001 00000000 01 = 00000001 01 +03 006 00000001 00000000 10 = 00000001 10 +03 007 00000001 00000000 11 = 00000001 11 +03 008 00000002 00000000 00 = 00000004 00 +03 009 00000002 00000000 01 = 00000004 01 +03 00A 00000002 00000000 10 = 00000004 10 +03 00B 00000002 00000000 11 = 00000004 11 +03 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 +03 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 01 +03 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 +03 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 11 +03 010 80000000 00000000 00 = 80000000 00 +03 011 80000000 00000000 01 = 80000000 01 +03 012 80000000 00000000 10 = 80000000 10 +03 013 80000000 00000000 11 = 80000000 11 +03 014 80000001 00000000 00 = 80000001 00 +03 015 80000001 00000000 01 = 80000001 01 +03 016 80000001 00000000 10 = 80000001 10 +03 017 80000001 00000000 11 = 80000001 11 +03 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 +03 019 FFFFFFFE 00000000 01 = FFFFFFFE 01 +03 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +03 01B FFFFFFFE 00000000 11 = FFFFFFFE 11 +03 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +03 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +03 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +03 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +03 020 00000004 00000000 00 = 00000010 00 +03 021 00000004 00000000 01 = 00000010 01 +03 022 00000004 00000000 10 = 00000010 10 +03 023 00000004 00000000 11 = 00000010 11 +03 024 00000008 00000000 00 = 00000040 00 +03 025 00000008 00000000 01 = 00000040 01 +03 026 00000008 00000000 10 = 00000040 10 +03 027 00000008 00000000 11 = 00000040 11 +03 028 00000010 00000000 00 = 00000100 00 +03 029 00000010 00000000 01 = 00000100 01 +03 02A 00000010 00000000 10 = 00000100 10 +03 02B 00000010 00000000 11 = 00000100 11 +03 02C 00000020 00000000 00 = 00000400 00 +03 02D 00000020 00000000 01 = 00000400 01 +03 02E 00000020 00000000 10 = 00000400 10 +03 02F 00000020 00000000 11 = 00000400 11 +03 030 00000040 00000000 00 = 00001000 00 +03 031 00000040 00000000 01 = 00001000 01 +03 032 00000040 00000000 10 = 00001000 10 +03 033 00000040 00000000 11 = 00001000 11 +03 034 00000080 00000000 00 = 00004000 00 +03 035 00000080 00000000 01 = 00004000 01 +03 036 00000080 00000000 10 = 00004000 10 +03 037 00000080 00000000 11 = 00004000 11 +03 038 00000100 00000000 00 = 00010000 00 +03 039 00000100 00000000 01 = 00010000 01 +03 03A 00000100 00000000 10 = 00010000 10 +03 03B 00000100 00000000 11 = 00010000 11 +03 03C 00000200 00000000 00 = 00040000 00 +03 03D 00000200 00000000 01 = 00040000 01 +03 03E 00000200 00000000 10 = 00040000 10 +03 03F 00000200 00000000 11 = 00040000 11 +03 040 00000400 00000000 00 = 00100000 00 +03 041 00000400 00000000 01 = 00100000 01 +03 042 00000400 00000000 10 = 00100000 10 +03 043 00000400 00000000 11 = 00100000 11 +03 044 00000800 00000000 00 = 00400000 00 +03 045 00000800 00000000 01 = 00400000 01 +03 046 00000800 00000000 10 = 00400000 10 +03 047 00000800 00000000 11 = 00400000 11 +03 048 00001000 00000000 00 = 01000000 00 +03 049 00001000 00000000 01 = 01000000 01 +03 04A 00001000 00000000 10 = 01000000 10 +03 04B 00001000 00000000 11 = 01000000 11 +03 04C 00002000 00000000 00 = 04000000 00 +03 04D 00002000 00000000 01 = 04000000 01 +03 04E 00002000 00000000 10 = 04000000 10 +03 04F 00002000 00000000 11 = 04000000 11 +03 050 00004000 00000000 00 = 10000000 00 +03 051 00004000 00000000 01 = 10000000 01 +03 052 00004000 00000000 10 = 10000000 10 +03 053 00004000 00000000 11 = 10000000 11 +03 054 00008000 00000000 00 = 40000000 00 +03 055 00008000 00000000 01 = 40000000 01 +03 056 00008000 00000000 10 = 40000000 10 +03 057 00008000 00000000 11 = 40000000 11 +03 058 00010000 00000000 00 = 00000002 00 +03 059 00010000 00000000 01 = 00000002 01 +03 05A 00010000 00000000 10 = 00000002 10 +03 05B 00010000 00000000 11 = 00000002 11 +03 05C 00020000 00000000 00 = 00000008 00 +03 05D 00020000 00000000 01 = 00000008 01 +03 05E 00020000 00000000 10 = 00000008 10 +03 05F 00020000 00000000 11 = 00000008 11 +03 060 00040000 00000000 00 = 00000020 00 +03 061 00040000 00000000 01 = 00000020 01 +03 062 00040000 00000000 10 = 00000020 10 +03 063 00040000 00000000 11 = 00000020 11 +03 064 00080000 00000000 00 = 00000080 00 +03 065 00080000 00000000 01 = 00000080 01 +03 066 00080000 00000000 10 = 00000080 10 +03 067 00080000 00000000 11 = 00000080 11 +03 068 00100000 00000000 00 = 00000200 00 +03 069 00100000 00000000 01 = 00000200 01 +03 06A 00100000 00000000 10 = 00000200 10 +03 06B 00100000 00000000 11 = 00000200 11 +03 06C 00200000 00000000 00 = 00000800 00 +03 06D 00200000 00000000 01 = 00000800 01 +03 06E 00200000 00000000 10 = 00000800 10 +03 06F 00200000 00000000 11 = 00000800 11 +03 070 00400000 00000000 00 = 00002000 00 +03 071 00400000 00000000 01 = 00002000 01 +03 072 00400000 00000000 10 = 00002000 10 +03 073 00400000 00000000 11 = 00002000 11 +03 074 00800000 00000000 00 = 00008000 00 +03 075 00800000 00000000 01 = 00008000 01 +03 076 00800000 00000000 10 = 00008000 10 +03 077 00800000 00000000 11 = 00008000 11 +03 078 01000000 00000000 00 = 00020000 00 +03 079 01000000 00000000 01 = 00020000 01 +03 07A 01000000 00000000 10 = 00020000 10 +03 07B 01000000 00000000 11 = 00020000 11 +03 07C 02000000 00000000 00 = 00080000 00 +03 07D 02000000 00000000 01 = 00080000 01 +03 07E 02000000 00000000 10 = 00080000 10 +03 07F 02000000 00000000 11 = 00080000 11 +03 080 04000000 00000000 00 = 00200000 00 +03 081 04000000 00000000 01 = 00200000 01 +03 082 04000000 00000000 10 = 00200000 10 +03 083 04000000 00000000 11 = 00200000 11 +03 084 08000000 00000000 00 = 00800000 00 +03 085 08000000 00000000 01 = 00800000 01 +03 086 08000000 00000000 10 = 00800000 10 +03 087 08000000 00000000 11 = 00800000 11 +03 088 10000000 00000000 00 = 02000000 00 +03 089 10000000 00000000 01 = 02000000 01 +03 08A 10000000 00000000 10 = 02000000 10 +03 08B 10000000 00000000 11 = 02000000 11 +03 08C 20000000 00000000 00 = 08000000 00 +03 08D 20000000 00000000 01 = 08000000 01 +03 08E 20000000 00000000 10 = 08000000 10 +03 08F 20000000 00000000 11 = 08000000 11 +03 090 40000000 00000000 00 = 20000000 00 +03 091 40000000 00000000 01 = 20000000 01 +03 092 40000000 00000000 10 = 20000000 10 +03 093 40000000 00000000 11 = 20000000 11 +03 094 80000000 00000000 00 = 80000000 00 +03 095 80000000 00000000 01 = 80000000 01 +03 096 80000000 00000000 10 = 80000000 10 +03 097 80000000 00000000 11 = 80000000 11 +seussf ---D---- ---S---- CZ = ---Q---- CZ +04 000 00000000 00000000 00 = 354DAE51 00 +04 001 00000000 00000000 01 = 354DAE51 01 +04 002 00000000 00000000 10 = 354DAE51 10 +04 003 00000000 00000000 11 = 354DAE51 11 +04 004 00000001 00000000 00 = 354DA651 00 +04 005 00000001 00000000 01 = 354DA651 01 +04 006 00000001 00000000 10 = 354DA651 10 +04 007 00000001 00000000 11 = 354DA651 11 +04 008 00000002 00000000 00 = 354DAE71 00 +04 009 00000002 00000000 01 = 354DAE71 01 +04 00A 00000002 00000000 10 = 354DAE71 10 +04 00B 00000002 00000000 11 = 354DAE71 11 +04 00C 7FFFFFFF 00000000 00 = CAF251AE 00 +04 00D 7FFFFFFF 00000000 01 = CAF251AE 01 +04 00E 7FFFFFFF 00000000 10 = CAF251AE 10 +04 00F 7FFFFFFF 00000000 11 = CAF251AE 11 +04 010 80000000 00000000 00 = 350DAE51 00 +04 011 80000000 00000000 01 = 350DAE51 01 +04 012 80000000 00000000 10 = 350DAE51 10 +04 013 80000000 00000000 11 = 350DAE51 11 +04 014 80000001 00000000 00 = 350DA651 00 +04 015 80000001 00000000 01 = 350DA651 01 +04 016 80000001 00000000 10 = 350DA651 10 +04 017 80000001 00000000 11 = 350DA651 11 +04 018 FFFFFFFE 00000000 00 = CAB259AE 00 +04 019 FFFFFFFE 00000000 01 = CAB259AE 01 +04 01A FFFFFFFE 00000000 10 = CAB259AE 10 +04 01B FFFFFFFE 00000000 11 = CAB259AE 11 +04 01C FFFFFFFF 00000000 00 = CAB251AE 00 +04 01D FFFFFFFF 00000000 01 = CAB251AE 01 +04 01E FFFFFFFF 00000000 10 = CAB251AE 10 +04 01F FFFFFFFF 00000000 11 = CAB251AE 11 +04 020 00000004 00000000 00 = 3549AE51 00 +04 021 00000004 00000000 01 = 3549AE51 01 +04 022 00000004 00000000 10 = 3549AE51 10 +04 023 00000004 00000000 11 = 3549AE51 11 +04 024 00000008 00000000 00 = 344DAE51 00 +04 025 00000008 00000000 01 = 344DAE51 01 +04 026 00000008 00000000 10 = 344DAE51 10 +04 027 00000008 00000000 11 = 344DAE51 11 +04 028 00000010 00000000 00 = 3D4DAE51 00 +04 029 00000010 00000000 01 = 3D4DAE51 01 +04 02A 00000010 00000000 10 = 3D4DAE51 10 +04 02B 00000010 00000000 11 = 3D4DAE51 11 +04 02C 00000020 00000000 00 = 3545AE51 00 +04 02D 00000020 00000000 01 = 3545AE51 01 +04 02E 00000020 00000000 10 = 3545AE51 10 +04 02F 00000020 00000000 11 = 3545AE51 11 +04 030 00000040 00000000 00 = 355DAE51 00 +04 031 00000040 00000000 01 = 355DAE51 01 +04 032 00000040 00000000 10 = 355DAE51 10 +04 033 00000040 00000000 11 = 355DAE51 11 +04 034 00000080 00000000 00 = 754DAE51 00 +04 035 00000080 00000000 01 = 754DAE51 01 +04 036 00000080 00000000 10 = 754DAE51 10 +04 037 00000080 00000000 11 = 754DAE51 11 +04 038 00000100 00000000 00 = 254DAE51 00 +04 039 00000100 00000000 01 = 254DAE51 01 +04 03A 00000100 00000000 10 = 254DAE51 10 +04 03B 00000100 00000000 11 = 254DAE51 11 +04 03C 00000200 00000000 00 = 314DAE51 00 +04 03D 00000200 00000000 01 = 314DAE51 01 +04 03E 00000200 00000000 10 = 314DAE51 10 +04 03F 00000200 00000000 11 = 314DAE51 11 +04 040 00000400 00000000 00 = 356DAE51 00 +04 041 00000400 00000000 01 = 356DAE51 01 +04 042 00000400 00000000 10 = 356DAE51 10 +04 043 00000400 00000000 11 = 356DAE51 11 +04 044 00000800 00000000 00 = 374DAE51 00 +04 045 00000800 00000000 01 = 374DAE51 01 +04 046 00000800 00000000 10 = 374DAE51 10 +04 047 00000800 00000000 11 = 374DAE51 11 +04 048 00001000 00000000 00 = 354DAE59 00 +04 049 00001000 00000000 01 = 354DAE59 01 +04 04A 00001000 00000000 10 = 354DAE59 10 +04 04B 00001000 00000000 11 = 354DAE59 11 +04 04C 00002000 00000000 00 = 354DAF51 00 +04 04D 00002000 00000000 01 = 354DAF51 01 +04 04E 00002000 00000000 10 = 354DAF51 10 +04 04F 00002000 00000000 11 = 354DAF51 11 +04 050 00004000 00000000 00 = 354DAED1 00 +04 051 00004000 00000000 01 = 354DAED1 01 +04 052 00004000 00000000 10 = 354DAED1 10 +04 053 00004000 00000000 11 = 354DAED1 11 +04 054 00008000 00000000 00 = 35CDAE51 00 +04 055 00008000 00000000 01 = 35CDAE51 01 +04 056 00008000 00000000 10 = 35CDAE51 10 +04 057 00008000 00000000 11 = 35CDAE51 11 +04 058 00010000 00000000 00 = 354D8E51 00 +04 059 00010000 00000000 01 = 354D8E51 01 +04 05A 00010000 00000000 10 = 354D8E51 10 +04 05B 00010000 00000000 11 = 354D8E51 11 +04 05C 00020000 00000000 00 = 354DBE51 00 +04 05D 00020000 00000000 01 = 354DBE51 01 +04 05E 00020000 00000000 10 = 354DBE51 10 +04 05F 00020000 00000000 11 = 354DBE51 11 +04 060 00040000 00000000 00 = 354CAE51 00 +04 061 00040000 00000000 01 = 354CAE51 01 +04 062 00040000 00000000 10 = 354CAE51 10 +04 063 00040000 00000000 11 = 354CAE51 11 +04 064 00080000 00000000 00 = 354DAE55 00 +04 065 00080000 00000000 01 = 354DAE55 01 +04 066 00080000 00000000 10 = 354DAE55 10 +04 067 00080000 00000000 11 = 354DAE55 11 +04 068 00100000 00000000 00 = 354D2E51 00 +04 069 00100000 00000000 01 = 354D2E51 01 +04 06A 00100000 00000000 10 = 354D2E51 10 +04 06B 00100000 00000000 11 = 354D2E51 11 +04 06C 00200000 00000000 00 = 354DAE53 00 +04 06D 00200000 00000000 01 = 354DAE53 01 +04 06E 00200000 00000000 10 = 354DAE53 10 +04 06F 00200000 00000000 11 = 354DAE53 11 +04 070 00400000 00000000 00 = 354DAC51 00 +04 071 00400000 00000000 01 = 354DAC51 01 +04 072 00400000 00000000 10 = 354DAC51 10 +04 073 00400000 00000000 11 = 354DAC51 11 +04 074 00800000 00000000 00 = B54DAE51 00 +04 075 00800000 00000000 01 = B54DAE51 01 +04 076 00800000 00000000 10 = B54DAE51 10 +04 077 00800000 00000000 11 = B54DAE51 11 +04 078 01000000 00000000 00 = 354DAE50 00 +04 079 01000000 00000000 01 = 354DAE50 01 +04 07A 01000000 00000000 10 = 354DAE50 10 +04 07B 01000000 00000000 11 = 354DAE50 11 +04 07C 02000000 00000000 00 = 154DAE51 00 +04 07D 02000000 00000000 01 = 154DAE51 01 +04 07E 02000000 00000000 10 = 154DAE51 10 +04 07F 02000000 00000000 11 = 154DAE51 11 +04 080 04000000 00000000 00 = 354FAE51 00 +04 081 04000000 00000000 01 = 354FAE51 01 +04 082 04000000 00000000 10 = 354FAE51 10 +04 083 04000000 00000000 11 = 354FAE51 11 +04 084 08000000 00000000 00 = 354DAA51 00 +04 085 08000000 00000000 01 = 354DAA51 01 +04 086 08000000 00000000 10 = 354DAA51 10 +04 087 08000000 00000000 11 = 354DAA51 11 +04 088 10000000 00000000 00 = 354DEE51 00 +04 089 10000000 00000000 01 = 354DEE51 01 +04 08A 10000000 00000000 10 = 354DEE51 10 +04 08B 10000000 00000000 11 = 354DEE51 11 +04 08C 20000000 00000000 00 = 354DAE41 00 +04 08D 20000000 00000000 01 = 354DAE41 01 +04 08E 20000000 00000000 10 = 354DAE41 10 +04 08F 20000000 00000000 11 = 354DAE41 11 +04 090 40000000 00000000 00 = 354DAE11 00 +04 091 40000000 00000000 01 = 354DAE11 01 +04 092 40000000 00000000 10 = 354DAE11 10 +04 093 40000000 00000000 11 = 354DAE11 11 +04 094 80000000 00000000 00 = 350DAE51 00 +04 095 80000000 00000000 01 = 350DAE51 01 +04 096 80000000 00000000 10 = 350DAE51 10 +04 097 80000000 00000000 11 = 350DAE51 11 +seussr ---D---- ---S---- CZ = ---Q---- CZ +05 000 00000000 00000000 00 = EB55032D 00 +05 001 00000000 00000000 01 = EB55032D 01 +05 002 00000000 00000000 10 = EB55032D 10 +05 003 00000000 00000000 11 = EB55032D 11 +05 004 00000001 00000000 00 = EA55032D 00 +05 005 00000001 00000000 01 = EA55032D 01 +05 006 00000001 00000000 10 = EA55032D 10 +05 007 00000001 00000000 11 = EA55032D 11 +05 008 00000002 00000000 00 = EB75032D 00 +05 009 00000002 00000000 01 = EB75032D 01 +05 00A 00000002 00000000 10 = EB75032D 10 +05 00B 00000002 00000000 11 = EB75032D 11 +05 00C 7FFFFFFF 00000000 00 = 142AFCD2 00 +05 00D 7FFFFFFF 00000000 01 = 142AFCD2 01 +05 00E 7FFFFFFF 00000000 10 = 142AFCD2 10 +05 00F 7FFFFFFF 00000000 11 = 142AFCD2 11 +05 010 80000000 00000000 00 = EBD5032D 00 +05 011 80000000 00000000 01 = EBD5032D 01 +05 012 80000000 00000000 10 = EBD5032D 10 +05 013 80000000 00000000 11 = EBD5032D 11 +05 014 80000001 00000000 00 = EAD5032D 00 +05 015 80000001 00000000 01 = EAD5032D 01 +05 016 80000001 00000000 10 = EAD5032D 10 +05 017 80000001 00000000 11 = EAD5032D 11 +05 018 FFFFFFFE 00000000 00 = 15AAFCD2 00 +05 019 FFFFFFFE 00000000 01 = 15AAFCD2 01 +05 01A FFFFFFFE 00000000 10 = 15AAFCD2 10 +05 01B FFFFFFFE 00000000 11 = 15AAFCD2 11 +05 01C FFFFFFFF 00000000 00 = 14AAFCD2 00 +05 01D FFFFFFFF 00000000 01 = 14AAFCD2 01 +05 01E FFFFFFFF 00000000 10 = 14AAFCD2 10 +05 01F FFFFFFFF 00000000 11 = 14AAFCD2 11 +05 020 00000004 00000000 00 = EB5D032D 00 +05 021 00000004 00000000 01 = EB5D032D 01 +05 022 00000004 00000000 10 = EB5D032D 10 +05 023 00000004 00000000 11 = EB5D032D 11 +05 024 00000008 00000000 00 = EB55132D 00 +05 025 00000008 00000000 01 = EB55132D 01 +05 026 00000008 00000000 10 = EB55132D 10 +05 027 00000008 00000000 11 = EB55132D 11 +05 028 00000010 00000000 00 = CB55032D 00 +05 029 00000010 00000000 01 = CB55032D 01 +05 02A 00000010 00000000 10 = CB55032D 10 +05 02B 00000010 00000000 11 = CB55032D 11 +05 02C 00000020 00000000 00 = EB55032F 00 +05 02D 00000020 00000000 01 = EB55032F 01 +05 02E 00000020 00000000 10 = EB55032F 10 +05 02F 00000020 00000000 11 = EB55032F 11 +05 030 00000040 00000000 00 = AB55032D 00 +05 031 00000040 00000000 01 = AB55032D 01 +05 032 00000040 00000000 10 = AB55032D 10 +05 033 00000040 00000000 11 = AB55032D 11 +05 034 00000080 00000000 00 = EB55432D 00 +05 035 00000080 00000000 01 = EB55432D 01 +05 036 00000080 00000000 10 = EB55432D 10 +05 037 00000080 00000000 11 = EB55432D 11 +05 038 00000100 00000000 00 = EB55232D 00 +05 039 00000100 00000000 01 = EB55232D 01 +05 03A 00000100 00000000 10 = EB55232D 10 +05 03B 00000100 00000000 11 = EB55232D 11 +05 03C 00000200 00000000 00 = EB15032D 00 +05 03D 00000200 00000000 01 = EB15032D 01 +05 03E 00000200 00000000 10 = EB15032D 10 +05 03F 00000200 00000000 11 = EB15032D 11 +05 040 00000400 00000000 00 = E355032D 00 +05 041 00000400 00000000 01 = E355032D 01 +05 042 00000400 00000000 10 = E355032D 10 +05 043 00000400 00000000 11 = E355032D 11 +05 044 00000800 00000000 00 = EB55032C 00 +05 045 00000800 00000000 01 = EB55032C 01 +05 046 00000800 00000000 10 = EB55032C 10 +05 047 00000800 00000000 11 = EB55032C 11 +05 048 00001000 00000000 00 = EB57032D 00 +05 049 00001000 00000000 01 = EB57032D 01 +05 04A 00001000 00000000 10 = EB57032D 10 +05 04B 00001000 00000000 11 = EB57032D 11 +05 04C 00002000 00000000 00 = EB54032D 00 +05 04D 00002000 00000000 01 = EB54032D 01 +05 04E 00002000 00000000 10 = EB54032D 10 +05 04F 00002000 00000000 11 = EB54032D 11 +05 050 00004000 00000000 00 = FB55032D 00 +05 051 00004000 00000000 01 = FB55032D 01 +05 052 00004000 00000000 10 = FB55032D 10 +05 053 00004000 00000000 11 = FB55032D 11 +05 054 00008000 00000000 00 = EB45032D 00 +05 055 00008000 00000000 01 = EB45032D 01 +05 056 00008000 00000000 10 = EB45032D 10 +05 057 00008000 00000000 11 = EB45032D 11 +05 058 00010000 00000000 00 = EB51032D 00 +05 059 00010000 00000000 01 = EB51032D 01 +05 05A 00010000 00000000 10 = EB51032D 10 +05 05B 00010000 00000000 11 = EB51032D 11 +05 05C 00020000 00000000 00 = EF55032D 00 +05 05D 00020000 00000000 01 = EF55032D 01 +05 05E 00020000 00000000 10 = EF55032D 10 +05 05F 00020000 00000000 11 = EF55032D 11 +05 060 00040000 00000000 00 = EB550329 00 +05 061 00040000 00000000 01 = EB550329 01 +05 062 00040000 00000000 10 = EB550329 10 +05 063 00040000 00000000 11 = EB550329 11 +05 064 00080000 00000000 00 = EB55030D 00 +05 065 00080000 00000000 01 = EB55030D 01 +05 066 00080000 00000000 10 = EB55030D 10 +05 067 00080000 00000000 11 = EB55030D 11 +05 068 00100000 00000000 00 = EB55036D 00 +05 069 00100000 00000000 01 = EB55036D 01 +05 06A 00100000 00000000 10 = EB55036D 10 +05 06B 00100000 00000000 11 = EB55036D 11 +05 06C 00200000 00000000 00 = EB55072D 00 +05 06D 00200000 00000000 01 = EB55072D 01 +05 06E 00200000 00000000 10 = EB55072D 10 +05 06F 00200000 00000000 11 = EB55072D 11 +05 070 00400000 00000000 00 = 6B55032D 00 +05 071 00400000 00000000 01 = 6B55032D 01 +05 072 00400000 00000000 10 = 6B55032D 10 +05 073 00400000 00000000 11 = 6B55032D 11 +05 074 00800000 00000000 00 = EB55832D 00 +05 075 00800000 00000000 01 = EB55832D 01 +05 076 00800000 00000000 10 = EB55832D 10 +05 077 00800000 00000000 11 = EB55832D 11 +05 078 01000000 00000000 00 = EB550325 00 +05 079 01000000 00000000 01 = EB550325 01 +05 07A 01000000 00000000 10 = EB550325 10 +05 07B 01000000 00000000 11 = EB550325 11 +05 07C 02000000 00000000 00 = EB550B2D 00 +05 07D 02000000 00000000 01 = EB550B2D 01 +05 07E 02000000 00000000 10 = EB550B2D 10 +05 07F 02000000 00000000 11 = EB550B2D 11 +05 080 04000000 00000000 00 = EB55012D 00 +05 081 04000000 00000000 01 = EB55012D 01 +05 082 04000000 00000000 10 = EB55012D 10 +05 083 04000000 00000000 11 = EB55012D 11 +05 084 08000000 00000000 00 = EB55033D 00 +05 085 08000000 00000000 01 = EB55033D 01 +05 086 08000000 00000000 10 = EB55033D 10 +05 087 08000000 00000000 11 = EB55033D 11 +05 088 10000000 00000000 00 = EB55022D 00 +05 089 10000000 00000000 01 = EB55022D 01 +05 08A 10000000 00000000 10 = EB55022D 10 +05 08B 10000000 00000000 11 = EB55022D 11 +05 08C 20000000 00000000 00 = E955032D 00 +05 08D 20000000 00000000 01 = E955032D 01 +05 08E 20000000 00000000 10 = E955032D 10 +05 08F 20000000 00000000 11 = E955032D 11 +05 090 40000000 00000000 00 = EB5503AD 00 +05 091 40000000 00000000 01 = EB5503AD 01 +05 092 40000000 00000000 10 = EB5503AD 10 +05 093 40000000 00000000 11 = EB5503AD 11 +05 094 80000000 00000000 00 = EBD5032D 00 +05 095 80000000 00000000 01 = EBD5032D 01 +05 096 80000000 00000000 10 = EBD5032D 10 +05 097 80000000 00000000 11 = EBD5032D 11 +rgbsqz ---D---- ---S---- CZ = ---Q---- CZ +06 000 00000000 00000000 00 = 00000000 00 +06 001 00000000 00000000 01 = 00000000 01 +06 002 00000000 00000000 10 = 00000000 10 +06 003 00000000 00000000 11 = 00000000 11 +06 004 00000001 00000000 00 = 00000000 00 +06 005 00000001 00000000 01 = 00000000 01 +06 006 00000001 00000000 10 = 00000000 10 +06 007 00000001 00000000 11 = 00000000 11 +06 008 00000002 00000000 00 = 00000000 00 +06 009 00000002 00000000 01 = 00000000 01 +06 00A 00000002 00000000 10 = 00000000 10 +06 00B 00000002 00000000 11 = 00000000 11 +06 00C 7FFFFFFF 00000000 00 = 00007FFF 00 +06 00D 7FFFFFFF 00000000 01 = 00007FFF 01 +06 00E 7FFFFFFF 00000000 10 = 00007FFF 10 +06 00F 7FFFFFFF 00000000 11 = 00007FFF 11 +06 010 80000000 00000000 00 = 00008000 00 +06 011 80000000 00000000 01 = 00008000 01 +06 012 80000000 00000000 10 = 00008000 10 +06 013 80000000 00000000 11 = 00008000 11 +06 014 80000001 00000000 00 = 00008000 00 +06 015 80000001 00000000 01 = 00008000 01 +06 016 80000001 00000000 10 = 00008000 10 +06 017 80000001 00000000 11 = 00008000 11 +06 018 FFFFFFFE 00000000 00 = 0000FFFF 00 +06 019 FFFFFFFE 00000000 01 = 0000FFFF 01 +06 01A FFFFFFFE 00000000 10 = 0000FFFF 10 +06 01B FFFFFFFE 00000000 11 = 0000FFFF 11 +06 01C FFFFFFFF 00000000 00 = 0000FFFF 00 +06 01D FFFFFFFF 00000000 01 = 0000FFFF 01 +06 01E FFFFFFFF 00000000 10 = 0000FFFF 10 +06 01F FFFFFFFF 00000000 11 = 0000FFFF 11 +06 020 00000004 00000000 00 = 00000000 00 +06 021 00000004 00000000 01 = 00000000 01 +06 022 00000004 00000000 10 = 00000000 10 +06 023 00000004 00000000 11 = 00000000 11 +06 024 00000008 00000000 00 = 00000000 00 +06 025 00000008 00000000 01 = 00000000 01 +06 026 00000008 00000000 10 = 00000000 10 +06 027 00000008 00000000 11 = 00000000 11 +06 028 00000010 00000000 00 = 00000000 00 +06 029 00000010 00000000 01 = 00000000 01 +06 02A 00000010 00000000 10 = 00000000 10 +06 02B 00000010 00000000 11 = 00000000 11 +06 02C 00000020 00000000 00 = 00000000 00 +06 02D 00000020 00000000 01 = 00000000 01 +06 02E 00000020 00000000 10 = 00000000 10 +06 02F 00000020 00000000 11 = 00000000 11 +06 030 00000040 00000000 00 = 00000000 00 +06 031 00000040 00000000 01 = 00000000 01 +06 032 00000040 00000000 10 = 00000000 10 +06 033 00000040 00000000 11 = 00000000 11 +06 034 00000080 00000000 00 = 00000000 00 +06 035 00000080 00000000 01 = 00000000 01 +06 036 00000080 00000000 10 = 00000000 10 +06 037 00000080 00000000 11 = 00000000 11 +06 038 00000100 00000000 00 = 00000000 00 +06 039 00000100 00000000 01 = 00000000 01 +06 03A 00000100 00000000 10 = 00000000 10 +06 03B 00000100 00000000 11 = 00000000 11 +06 03C 00000200 00000000 00 = 00000000 00 +06 03D 00000200 00000000 01 = 00000000 01 +06 03E 00000200 00000000 10 = 00000000 10 +06 03F 00000200 00000000 11 = 00000000 11 +06 040 00000400 00000000 00 = 00000000 00 +06 041 00000400 00000000 01 = 00000000 01 +06 042 00000400 00000000 10 = 00000000 10 +06 043 00000400 00000000 11 = 00000000 11 +06 044 00000800 00000000 00 = 00000001 00 +06 045 00000800 00000000 01 = 00000001 01 +06 046 00000800 00000000 10 = 00000001 10 +06 047 00000800 00000000 11 = 00000001 11 +06 048 00001000 00000000 00 = 00000002 00 +06 049 00001000 00000000 01 = 00000002 01 +06 04A 00001000 00000000 10 = 00000002 10 +06 04B 00001000 00000000 11 = 00000002 11 +06 04C 00002000 00000000 00 = 00000004 00 +06 04D 00002000 00000000 01 = 00000004 01 +06 04E 00002000 00000000 10 = 00000004 10 +06 04F 00002000 00000000 11 = 00000004 11 +06 050 00004000 00000000 00 = 00000008 00 +06 051 00004000 00000000 01 = 00000008 01 +06 052 00004000 00000000 10 = 00000008 10 +06 053 00004000 00000000 11 = 00000008 11 +06 054 00008000 00000000 00 = 00000010 00 +06 055 00008000 00000000 01 = 00000010 01 +06 056 00008000 00000000 10 = 00000010 10 +06 057 00008000 00000000 11 = 00000010 11 +06 058 00010000 00000000 00 = 00000000 00 +06 059 00010000 00000000 01 = 00000000 01 +06 05A 00010000 00000000 10 = 00000000 10 +06 05B 00010000 00000000 11 = 00000000 11 +06 05C 00020000 00000000 00 = 00000000 00 +06 05D 00020000 00000000 01 = 00000000 01 +06 05E 00020000 00000000 10 = 00000000 10 +06 05F 00020000 00000000 11 = 00000000 11 +06 060 00040000 00000000 00 = 00000020 00 +06 061 00040000 00000000 01 = 00000020 01 +06 062 00040000 00000000 10 = 00000020 10 +06 063 00040000 00000000 11 = 00000020 11 +06 064 00080000 00000000 00 = 00000040 00 +06 065 00080000 00000000 01 = 00000040 01 +06 066 00080000 00000000 10 = 00000040 10 +06 067 00080000 00000000 11 = 00000040 11 +06 068 00100000 00000000 00 = 00000080 00 +06 069 00100000 00000000 01 = 00000080 01 +06 06A 00100000 00000000 10 = 00000080 10 +06 06B 00100000 00000000 11 = 00000080 11 +06 06C 00200000 00000000 00 = 00000100 00 +06 06D 00200000 00000000 01 = 00000100 01 +06 06E 00200000 00000000 10 = 00000100 10 +06 06F 00200000 00000000 11 = 00000100 11 +06 070 00400000 00000000 00 = 00000200 00 +06 071 00400000 00000000 01 = 00000200 01 +06 072 00400000 00000000 10 = 00000200 10 +06 073 00400000 00000000 11 = 00000200 11 +06 074 00800000 00000000 00 = 00000400 00 +06 075 00800000 00000000 01 = 00000400 01 +06 076 00800000 00000000 10 = 00000400 10 +06 077 00800000 00000000 11 = 00000400 11 +06 078 01000000 00000000 00 = 00000000 00 +06 079 01000000 00000000 01 = 00000000 01 +06 07A 01000000 00000000 10 = 00000000 10 +06 07B 01000000 00000000 11 = 00000000 11 +06 07C 02000000 00000000 00 = 00000000 00 +06 07D 02000000 00000000 01 = 00000000 01 +06 07E 02000000 00000000 10 = 00000000 10 +06 07F 02000000 00000000 11 = 00000000 11 +06 080 04000000 00000000 00 = 00000000 00 +06 081 04000000 00000000 01 = 00000000 01 +06 082 04000000 00000000 10 = 00000000 10 +06 083 04000000 00000000 11 = 00000000 11 +06 084 08000000 00000000 00 = 00000800 00 +06 085 08000000 00000000 01 = 00000800 01 +06 086 08000000 00000000 10 = 00000800 10 +06 087 08000000 00000000 11 = 00000800 11 +06 088 10000000 00000000 00 = 00001000 00 +06 089 10000000 00000000 01 = 00001000 01 +06 08A 10000000 00000000 10 = 00001000 10 +06 08B 10000000 00000000 11 = 00001000 11 +06 08C 20000000 00000000 00 = 00002000 00 +06 08D 20000000 00000000 01 = 00002000 01 +06 08E 20000000 00000000 10 = 00002000 10 +06 08F 20000000 00000000 11 = 00002000 11 +06 090 40000000 00000000 00 = 00004000 00 +06 091 40000000 00000000 01 = 00004000 01 +06 092 40000000 00000000 10 = 00004000 10 +06 093 40000000 00000000 11 = 00004000 11 +06 094 80000000 00000000 00 = 00008000 00 +06 095 80000000 00000000 01 = 00008000 01 +06 096 80000000 00000000 10 = 00008000 10 +06 097 80000000 00000000 11 = 00008000 11 +rgbexp ---D---- ---S---- CZ = ---Q---- CZ +07 000 00000000 00000000 00 = 00000000 00 +07 001 00000000 00000000 01 = 00000000 01 +07 002 00000000 00000000 10 = 00000000 10 +07 003 00000000 00000000 11 = 00000000 11 +07 004 00000001 00000000 00 = 00000800 00 +07 005 00000001 00000000 01 = 00000800 01 +07 006 00000001 00000000 10 = 00000800 10 +07 007 00000001 00000000 11 = 00000800 11 +07 008 00000002 00000000 00 = 00001000 00 +07 009 00000002 00000000 01 = 00001000 01 +07 00A 00000002 00000000 10 = 00001000 10 +07 00B 00000002 00000000 11 = 00001000 11 +07 00C 7FFFFFFF 00000000 00 = FFFFFF00 00 +07 00D 7FFFFFFF 00000000 01 = FFFFFF00 01 +07 00E 7FFFFFFF 00000000 10 = FFFFFF00 10 +07 00F 7FFFFFFF 00000000 11 = FFFFFF00 11 +07 010 80000000 00000000 00 = 00000000 00 +07 011 80000000 00000000 01 = 00000000 01 +07 012 80000000 00000000 10 = 00000000 10 +07 013 80000000 00000000 11 = 00000000 11 +07 014 80000001 00000000 00 = 00000800 00 +07 015 80000001 00000000 01 = 00000800 01 +07 016 80000001 00000000 10 = 00000800 10 +07 017 80000001 00000000 11 = 00000800 11 +07 018 FFFFFFFE 00000000 00 = FFFFF700 00 +07 019 FFFFFFFE 00000000 01 = FFFFF700 01 +07 01A FFFFFFFE 00000000 10 = FFFFF700 10 +07 01B FFFFFFFE 00000000 11 = FFFFF700 11 +07 01C FFFFFFFF 00000000 00 = FFFFFF00 00 +07 01D FFFFFFFF 00000000 01 = FFFFFF00 01 +07 01E FFFFFFFF 00000000 10 = FFFFFF00 10 +07 01F FFFFFFFF 00000000 11 = FFFFFF00 11 +07 020 00000004 00000000 00 = 00002100 00 +07 021 00000004 00000000 01 = 00002100 01 +07 022 00000004 00000000 10 = 00002100 10 +07 023 00000004 00000000 11 = 00002100 11 +07 024 00000008 00000000 00 = 00004200 00 +07 025 00000008 00000000 01 = 00004200 01 +07 026 00000008 00000000 10 = 00004200 10 +07 027 00000008 00000000 11 = 00004200 11 +07 028 00000010 00000000 00 = 00008400 00 +07 029 00000010 00000000 01 = 00008400 01 +07 02A 00000010 00000000 10 = 00008400 10 +07 02B 00000010 00000000 11 = 00008400 11 +07 02C 00000020 00000000 00 = 00040000 00 +07 02D 00000020 00000000 01 = 00040000 01 +07 02E 00000020 00000000 10 = 00040000 10 +07 02F 00000020 00000000 11 = 00040000 11 +07 030 00000040 00000000 00 = 00080000 00 +07 031 00000040 00000000 01 = 00080000 01 +07 032 00000040 00000000 10 = 00080000 10 +07 033 00000040 00000000 11 = 00080000 11 +07 034 00000080 00000000 00 = 00100000 00 +07 035 00000080 00000000 01 = 00100000 01 +07 036 00000080 00000000 10 = 00100000 10 +07 037 00000080 00000000 11 = 00100000 11 +07 038 00000100 00000000 00 = 00200000 00 +07 039 00000100 00000000 01 = 00200000 01 +07 03A 00000100 00000000 10 = 00200000 10 +07 03B 00000100 00000000 11 = 00200000 11 +07 03C 00000200 00000000 00 = 00410000 00 +07 03D 00000200 00000000 01 = 00410000 01 +07 03E 00000200 00000000 10 = 00410000 10 +07 03F 00000200 00000000 11 = 00410000 11 +07 040 00000400 00000000 00 = 00820000 00 +07 041 00000400 00000000 01 = 00820000 01 +07 042 00000400 00000000 10 = 00820000 10 +07 043 00000400 00000000 11 = 00820000 11 +07 044 00000800 00000000 00 = 08000000 00 +07 045 00000800 00000000 01 = 08000000 01 +07 046 00000800 00000000 10 = 08000000 10 +07 047 00000800 00000000 11 = 08000000 11 +07 048 00001000 00000000 00 = 10000000 00 +07 049 00001000 00000000 01 = 10000000 01 +07 04A 00001000 00000000 10 = 10000000 10 +07 04B 00001000 00000000 11 = 10000000 11 +07 04C 00002000 00000000 00 = 21000000 00 +07 04D 00002000 00000000 01 = 21000000 01 +07 04E 00002000 00000000 10 = 21000000 10 +07 04F 00002000 00000000 11 = 21000000 11 +07 050 00004000 00000000 00 = 42000000 00 +07 051 00004000 00000000 01 = 42000000 01 +07 052 00004000 00000000 10 = 42000000 10 +07 053 00004000 00000000 11 = 42000000 11 +07 054 00008000 00000000 00 = 84000000 00 +07 055 00008000 00000000 01 = 84000000 01 +07 056 00008000 00000000 10 = 84000000 10 +07 057 00008000 00000000 11 = 84000000 11 +07 058 00010000 00000000 00 = 00000000 00 +07 059 00010000 00000000 01 = 00000000 01 +07 05A 00010000 00000000 10 = 00000000 10 +07 05B 00010000 00000000 11 = 00000000 11 +07 05C 00020000 00000000 00 = 00000000 00 +07 05D 00020000 00000000 01 = 00000000 01 +07 05E 00020000 00000000 10 = 00000000 10 +07 05F 00020000 00000000 11 = 00000000 11 +07 060 00040000 00000000 00 = 00000000 00 +07 061 00040000 00000000 01 = 00000000 01 +07 062 00040000 00000000 10 = 00000000 10 +07 063 00040000 00000000 11 = 00000000 11 +07 064 00080000 00000000 00 = 00000000 00 +07 065 00080000 00000000 01 = 00000000 01 +07 066 00080000 00000000 10 = 00000000 10 +07 067 00080000 00000000 11 = 00000000 11 +07 068 00100000 00000000 00 = 00000000 00 +07 069 00100000 00000000 01 = 00000000 01 +07 06A 00100000 00000000 10 = 00000000 10 +07 06B 00100000 00000000 11 = 00000000 11 +07 06C 00200000 00000000 00 = 00000000 00 +07 06D 00200000 00000000 01 = 00000000 01 +07 06E 00200000 00000000 10 = 00000000 10 +07 06F 00200000 00000000 11 = 00000000 11 +07 070 00400000 00000000 00 = 00000000 00 +07 071 00400000 00000000 01 = 00000000 01 +07 072 00400000 00000000 10 = 00000000 10 +07 073 00400000 00000000 11 = 00000000 11 +07 074 00800000 00000000 00 = 00000000 00 +07 075 00800000 00000000 01 = 00000000 01 +07 076 00800000 00000000 10 = 00000000 10 +07 077 00800000 00000000 11 = 00000000 11 +07 078 01000000 00000000 00 = 00000000 00 +07 079 01000000 00000000 01 = 00000000 01 +07 07A 01000000 00000000 10 = 00000000 10 +07 07B 01000000 00000000 11 = 00000000 11 +07 07C 02000000 00000000 00 = 00000000 00 +07 07D 02000000 00000000 01 = 00000000 01 +07 07E 02000000 00000000 10 = 00000000 10 +07 07F 02000000 00000000 11 = 00000000 11 +07 080 04000000 00000000 00 = 00000000 00 +07 081 04000000 00000000 01 = 00000000 01 +07 082 04000000 00000000 10 = 00000000 10 +07 083 04000000 00000000 11 = 00000000 11 +07 084 08000000 00000000 00 = 00000000 00 +07 085 08000000 00000000 01 = 00000000 01 +07 086 08000000 00000000 10 = 00000000 10 +07 087 08000000 00000000 11 = 00000000 11 +07 088 10000000 00000000 00 = 00000000 00 +07 089 10000000 00000000 01 = 00000000 01 +07 08A 10000000 00000000 10 = 00000000 10 +07 08B 10000000 00000000 11 = 00000000 11 +07 08C 20000000 00000000 00 = 00000000 00 +07 08D 20000000 00000000 01 = 00000000 01 +07 08E 20000000 00000000 10 = 00000000 10 +07 08F 20000000 00000000 11 = 00000000 11 +07 090 40000000 00000000 00 = 00000000 00 +07 091 40000000 00000000 01 = 00000000 01 +07 092 40000000 00000000 10 = 00000000 10 +07 093 40000000 00000000 11 = 00000000 11 +07 094 80000000 00000000 00 = 00000000 00 +07 095 80000000 00000000 01 = 00000000 01 +07 096 80000000 00000000 10 = 00000000 10 +07 097 80000000 00000000 11 = 00000000 11 +rev ---D---- ---S---- CZ = ---Q---- CZ +08 000 00000000 00000000 00 = 00000000 00 +08 001 00000000 00000000 01 = 00000000 01 +08 002 00000000 00000000 10 = 00000000 10 +08 003 00000000 00000000 11 = 00000000 11 +08 004 00000001 00000000 00 = 80000000 00 +08 005 00000001 00000000 01 = 80000000 01 +08 006 00000001 00000000 10 = 80000000 10 +08 007 00000001 00000000 11 = 80000000 11 +08 008 00000002 00000000 00 = 40000000 00 +08 009 00000002 00000000 01 = 40000000 01 +08 00A 00000002 00000000 10 = 40000000 10 +08 00B 00000002 00000000 11 = 40000000 11 +08 00C 7FFFFFFF 00000000 00 = FFFFFFFE 00 +08 00D 7FFFFFFF 00000000 01 = FFFFFFFE 01 +08 00E 7FFFFFFF 00000000 10 = FFFFFFFE 10 +08 00F 7FFFFFFF 00000000 11 = FFFFFFFE 11 +08 010 80000000 00000000 00 = 00000001 00 +08 011 80000000 00000000 01 = 00000001 01 +08 012 80000000 00000000 10 = 00000001 10 +08 013 80000000 00000000 11 = 00000001 11 +08 014 80000001 00000000 00 = 80000001 00 +08 015 80000001 00000000 01 = 80000001 01 +08 016 80000001 00000000 10 = 80000001 10 +08 017 80000001 00000000 11 = 80000001 11 +08 018 FFFFFFFE 00000000 00 = 7FFFFFFF 00 +08 019 FFFFFFFE 00000000 01 = 7FFFFFFF 01 +08 01A FFFFFFFE 00000000 10 = 7FFFFFFF 10 +08 01B FFFFFFFE 00000000 11 = 7FFFFFFF 11 +08 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 +08 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +08 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +08 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +08 020 00000004 00000000 00 = 20000000 00 +08 021 00000004 00000000 01 = 20000000 01 +08 022 00000004 00000000 10 = 20000000 10 +08 023 00000004 00000000 11 = 20000000 11 +08 024 00000008 00000000 00 = 10000000 00 +08 025 00000008 00000000 01 = 10000000 01 +08 026 00000008 00000000 10 = 10000000 10 +08 027 00000008 00000000 11 = 10000000 11 +08 028 00000010 00000000 00 = 08000000 00 +08 029 00000010 00000000 01 = 08000000 01 +08 02A 00000010 00000000 10 = 08000000 10 +08 02B 00000010 00000000 11 = 08000000 11 +08 02C 00000020 00000000 00 = 04000000 00 +08 02D 00000020 00000000 01 = 04000000 01 +08 02E 00000020 00000000 10 = 04000000 10 +08 02F 00000020 00000000 11 = 04000000 11 +08 030 00000040 00000000 00 = 02000000 00 +08 031 00000040 00000000 01 = 02000000 01 +08 032 00000040 00000000 10 = 02000000 10 +08 033 00000040 00000000 11 = 02000000 11 +08 034 00000080 00000000 00 = 01000000 00 +08 035 00000080 00000000 01 = 01000000 01 +08 036 00000080 00000000 10 = 01000000 10 +08 037 00000080 00000000 11 = 01000000 11 +08 038 00000100 00000000 00 = 00800000 00 +08 039 00000100 00000000 01 = 00800000 01 +08 03A 00000100 00000000 10 = 00800000 10 +08 03B 00000100 00000000 11 = 00800000 11 +08 03C 00000200 00000000 00 = 00400000 00 +08 03D 00000200 00000000 01 = 00400000 01 +08 03E 00000200 00000000 10 = 00400000 10 +08 03F 00000200 00000000 11 = 00400000 11 +08 040 00000400 00000000 00 = 00200000 00 +08 041 00000400 00000000 01 = 00200000 01 +08 042 00000400 00000000 10 = 00200000 10 +08 043 00000400 00000000 11 = 00200000 11 +08 044 00000800 00000000 00 = 00100000 00 +08 045 00000800 00000000 01 = 00100000 01 +08 046 00000800 00000000 10 = 00100000 10 +08 047 00000800 00000000 11 = 00100000 11 +08 048 00001000 00000000 00 = 00080000 00 +08 049 00001000 00000000 01 = 00080000 01 +08 04A 00001000 00000000 10 = 00080000 10 +08 04B 00001000 00000000 11 = 00080000 11 +08 04C 00002000 00000000 00 = 00040000 00 +08 04D 00002000 00000000 01 = 00040000 01 +08 04E 00002000 00000000 10 = 00040000 10 +08 04F 00002000 00000000 11 = 00040000 11 +08 050 00004000 00000000 00 = 00020000 00 +08 051 00004000 00000000 01 = 00020000 01 +08 052 00004000 00000000 10 = 00020000 10 +08 053 00004000 00000000 11 = 00020000 11 +08 054 00008000 00000000 00 = 00010000 00 +08 055 00008000 00000000 01 = 00010000 01 +08 056 00008000 00000000 10 = 00010000 10 +08 057 00008000 00000000 11 = 00010000 11 +08 058 00010000 00000000 00 = 00008000 00 +08 059 00010000 00000000 01 = 00008000 01 +08 05A 00010000 00000000 10 = 00008000 10 +08 05B 00010000 00000000 11 = 00008000 11 +08 05C 00020000 00000000 00 = 00004000 00 +08 05D 00020000 00000000 01 = 00004000 01 +08 05E 00020000 00000000 10 = 00004000 10 +08 05F 00020000 00000000 11 = 00004000 11 +08 060 00040000 00000000 00 = 00002000 00 +08 061 00040000 00000000 01 = 00002000 01 +08 062 00040000 00000000 10 = 00002000 10 +08 063 00040000 00000000 11 = 00002000 11 +08 064 00080000 00000000 00 = 00001000 00 +08 065 00080000 00000000 01 = 00001000 01 +08 066 00080000 00000000 10 = 00001000 10 +08 067 00080000 00000000 11 = 00001000 11 +08 068 00100000 00000000 00 = 00000800 00 +08 069 00100000 00000000 01 = 00000800 01 +08 06A 00100000 00000000 10 = 00000800 10 +08 06B 00100000 00000000 11 = 00000800 11 +08 06C 00200000 00000000 00 = 00000400 00 +08 06D 00200000 00000000 01 = 00000400 01 +08 06E 00200000 00000000 10 = 00000400 10 +08 06F 00200000 00000000 11 = 00000400 11 +08 070 00400000 00000000 00 = 00000200 00 +08 071 00400000 00000000 01 = 00000200 01 +08 072 00400000 00000000 10 = 00000200 10 +08 073 00400000 00000000 11 = 00000200 11 +08 074 00800000 00000000 00 = 00000100 00 +08 075 00800000 00000000 01 = 00000100 01 +08 076 00800000 00000000 10 = 00000100 10 +08 077 00800000 00000000 11 = 00000100 11 +08 078 01000000 00000000 00 = 00000080 00 +08 079 01000000 00000000 01 = 00000080 01 +08 07A 01000000 00000000 10 = 00000080 10 +08 07B 01000000 00000000 11 = 00000080 11 +08 07C 02000000 00000000 00 = 00000040 00 +08 07D 02000000 00000000 01 = 00000040 01 +08 07E 02000000 00000000 10 = 00000040 10 +08 07F 02000000 00000000 11 = 00000040 11 +08 080 04000000 00000000 00 = 00000020 00 +08 081 04000000 00000000 01 = 00000020 01 +08 082 04000000 00000000 10 = 00000020 10 +08 083 04000000 00000000 11 = 00000020 11 +08 084 08000000 00000000 00 = 00000010 00 +08 085 08000000 00000000 01 = 00000010 01 +08 086 08000000 00000000 10 = 00000010 10 +08 087 08000000 00000000 11 = 00000010 11 +08 088 10000000 00000000 00 = 00000008 00 +08 089 10000000 00000000 01 = 00000008 01 +08 08A 10000000 00000000 10 = 00000008 10 +08 08B 10000000 00000000 11 = 00000008 11 +08 08C 20000000 00000000 00 = 00000004 00 +08 08D 20000000 00000000 01 = 00000004 01 +08 08E 20000000 00000000 10 = 00000004 10 +08 08F 20000000 00000000 11 = 00000004 11 +08 090 40000000 00000000 00 = 00000002 00 +08 091 40000000 00000000 01 = 00000002 01 +08 092 40000000 00000000 10 = 00000002 10 +08 093 40000000 00000000 11 = 00000002 11 +08 094 80000000 00000000 00 = 00000001 00 +08 095 80000000 00000000 01 = 00000001 01 +08 096 80000000 00000000 10 = 00000001 10 +08 097 80000000 00000000 11 = 00000001 11 +rczr ---D---- ---S---- CZ = ---Q---- CZ +09 000 00000000 00000000 00 = 00000000 00 +09 001 00000000 00000000 01 = 40000000 00 +09 002 00000000 00000000 10 = 80000000 00 +09 003 00000000 00000000 11 = C0000000 00 +09 004 00000001 00000000 00 = 00000000 01 +09 005 00000001 00000000 01 = 40000000 01 +09 006 00000001 00000000 10 = 80000000 01 +09 007 00000001 00000000 11 = C0000000 01 +09 008 00000002 00000000 00 = 00000000 10 +09 009 00000002 00000000 01 = 40000000 10 +09 00A 00000002 00000000 10 = 80000000 10 +09 00B 00000002 00000000 11 = C0000000 10 +09 00C 7FFFFFFF 00000000 00 = 1FFFFFFF 11 +09 00D 7FFFFFFF 00000000 01 = 5FFFFFFF 11 +09 00E 7FFFFFFF 00000000 10 = 9FFFFFFF 11 +09 00F 7FFFFFFF 00000000 11 = DFFFFFFF 11 +09 010 80000000 00000000 00 = 20000000 00 +09 011 80000000 00000000 01 = 60000000 00 +09 012 80000000 00000000 10 = A0000000 00 +09 013 80000000 00000000 11 = E0000000 00 +09 014 80000001 00000000 00 = 20000000 01 +09 015 80000001 00000000 01 = 60000000 01 +09 016 80000001 00000000 10 = A0000000 01 +09 017 80000001 00000000 11 = E0000000 01 +09 018 FFFFFFFE 00000000 00 = 3FFFFFFF 10 +09 019 FFFFFFFE 00000000 01 = 7FFFFFFF 10 +09 01A FFFFFFFE 00000000 10 = BFFFFFFF 10 +09 01B FFFFFFFE 00000000 11 = FFFFFFFF 10 +09 01C FFFFFFFF 00000000 00 = 3FFFFFFF 11 +09 01D FFFFFFFF 00000000 01 = 7FFFFFFF 11 +09 01E FFFFFFFF 00000000 10 = BFFFFFFF 11 +09 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +09 020 00000004 00000000 00 = 00000001 00 +09 021 00000004 00000000 01 = 40000001 00 +09 022 00000004 00000000 10 = 80000001 00 +09 023 00000004 00000000 11 = C0000001 00 +09 024 00000008 00000000 00 = 00000002 00 +09 025 00000008 00000000 01 = 40000002 00 +09 026 00000008 00000000 10 = 80000002 00 +09 027 00000008 00000000 11 = C0000002 00 +09 028 00000010 00000000 00 = 00000004 00 +09 029 00000010 00000000 01 = 40000004 00 +09 02A 00000010 00000000 10 = 80000004 00 +09 02B 00000010 00000000 11 = C0000004 00 +09 02C 00000020 00000000 00 = 00000008 00 +09 02D 00000020 00000000 01 = 40000008 00 +09 02E 00000020 00000000 10 = 80000008 00 +09 02F 00000020 00000000 11 = C0000008 00 +09 030 00000040 00000000 00 = 00000010 00 +09 031 00000040 00000000 01 = 40000010 00 +09 032 00000040 00000000 10 = 80000010 00 +09 033 00000040 00000000 11 = C0000010 00 +09 034 00000080 00000000 00 = 00000020 00 +09 035 00000080 00000000 01 = 40000020 00 +09 036 00000080 00000000 10 = 80000020 00 +09 037 00000080 00000000 11 = C0000020 00 +09 038 00000100 00000000 00 = 00000040 00 +09 039 00000100 00000000 01 = 40000040 00 +09 03A 00000100 00000000 10 = 80000040 00 +09 03B 00000100 00000000 11 = C0000040 00 +09 03C 00000200 00000000 00 = 00000080 00 +09 03D 00000200 00000000 01 = 40000080 00 +09 03E 00000200 00000000 10 = 80000080 00 +09 03F 00000200 00000000 11 = C0000080 00 +09 040 00000400 00000000 00 = 00000100 00 +09 041 00000400 00000000 01 = 40000100 00 +09 042 00000400 00000000 10 = 80000100 00 +09 043 00000400 00000000 11 = C0000100 00 +09 044 00000800 00000000 00 = 00000200 00 +09 045 00000800 00000000 01 = 40000200 00 +09 046 00000800 00000000 10 = 80000200 00 +09 047 00000800 00000000 11 = C0000200 00 +09 048 00001000 00000000 00 = 00000400 00 +09 049 00001000 00000000 01 = 40000400 00 +09 04A 00001000 00000000 10 = 80000400 00 +09 04B 00001000 00000000 11 = C0000400 00 +09 04C 00002000 00000000 00 = 00000800 00 +09 04D 00002000 00000000 01 = 40000800 00 +09 04E 00002000 00000000 10 = 80000800 00 +09 04F 00002000 00000000 11 = C0000800 00 +09 050 00004000 00000000 00 = 00001000 00 +09 051 00004000 00000000 01 = 40001000 00 +09 052 00004000 00000000 10 = 80001000 00 +09 053 00004000 00000000 11 = C0001000 00 +09 054 00008000 00000000 00 = 00002000 00 +09 055 00008000 00000000 01 = 40002000 00 +09 056 00008000 00000000 10 = 80002000 00 +09 057 00008000 00000000 11 = C0002000 00 +09 058 00010000 00000000 00 = 00004000 00 +09 059 00010000 00000000 01 = 40004000 00 +09 05A 00010000 00000000 10 = 80004000 00 +09 05B 00010000 00000000 11 = C0004000 00 +09 05C 00020000 00000000 00 = 00008000 00 +09 05D 00020000 00000000 01 = 40008000 00 +09 05E 00020000 00000000 10 = 80008000 00 +09 05F 00020000 00000000 11 = C0008000 00 +09 060 00040000 00000000 00 = 00010000 00 +09 061 00040000 00000000 01 = 40010000 00 +09 062 00040000 00000000 10 = 80010000 00 +09 063 00040000 00000000 11 = C0010000 00 +09 064 00080000 00000000 00 = 00020000 00 +09 065 00080000 00000000 01 = 40020000 00 +09 066 00080000 00000000 10 = 80020000 00 +09 067 00080000 00000000 11 = C0020000 00 +09 068 00100000 00000000 00 = 00040000 00 +09 069 00100000 00000000 01 = 40040000 00 +09 06A 00100000 00000000 10 = 80040000 00 +09 06B 00100000 00000000 11 = C0040000 00 +09 06C 00200000 00000000 00 = 00080000 00 +09 06D 00200000 00000000 01 = 40080000 00 +09 06E 00200000 00000000 10 = 80080000 00 +09 06F 00200000 00000000 11 = C0080000 00 +09 070 00400000 00000000 00 = 00100000 00 +09 071 00400000 00000000 01 = 40100000 00 +09 072 00400000 00000000 10 = 80100000 00 +09 073 00400000 00000000 11 = C0100000 00 +09 074 00800000 00000000 00 = 00200000 00 +09 075 00800000 00000000 01 = 40200000 00 +09 076 00800000 00000000 10 = 80200000 00 +09 077 00800000 00000000 11 = C0200000 00 +09 078 01000000 00000000 00 = 00400000 00 +09 079 01000000 00000000 01 = 40400000 00 +09 07A 01000000 00000000 10 = 80400000 00 +09 07B 01000000 00000000 11 = C0400000 00 +09 07C 02000000 00000000 00 = 00800000 00 +09 07D 02000000 00000000 01 = 40800000 00 +09 07E 02000000 00000000 10 = 80800000 00 +09 07F 02000000 00000000 11 = C0800000 00 +09 080 04000000 00000000 00 = 01000000 00 +09 081 04000000 00000000 01 = 41000000 00 +09 082 04000000 00000000 10 = 81000000 00 +09 083 04000000 00000000 11 = C1000000 00 +09 084 08000000 00000000 00 = 02000000 00 +09 085 08000000 00000000 01 = 42000000 00 +09 086 08000000 00000000 10 = 82000000 00 +09 087 08000000 00000000 11 = C2000000 00 +09 088 10000000 00000000 00 = 04000000 00 +09 089 10000000 00000000 01 = 44000000 00 +09 08A 10000000 00000000 10 = 84000000 00 +09 08B 10000000 00000000 11 = C4000000 00 +09 08C 20000000 00000000 00 = 08000000 00 +09 08D 20000000 00000000 01 = 48000000 00 +09 08E 20000000 00000000 10 = 88000000 00 +09 08F 20000000 00000000 11 = C8000000 00 +09 090 40000000 00000000 00 = 10000000 00 +09 091 40000000 00000000 01 = 50000000 00 +09 092 40000000 00000000 10 = 90000000 00 +09 093 40000000 00000000 11 = D0000000 00 +09 094 80000000 00000000 00 = 20000000 00 +09 095 80000000 00000000 01 = 60000000 00 +09 096 80000000 00000000 10 = A0000000 00 +09 097 80000000 00000000 11 = E0000000 00 +rczl ---D---- ---S---- CZ = ---Q---- CZ +0A 000 00000000 00000000 00 = 00000000 00 +0A 001 00000000 00000000 01 = 00000001 00 +0A 002 00000000 00000000 10 = 00000002 00 +0A 003 00000000 00000000 11 = 00000003 00 +0A 004 00000001 00000000 00 = 00000004 00 +0A 005 00000001 00000000 01 = 00000005 00 +0A 006 00000001 00000000 10 = 00000006 00 +0A 007 00000001 00000000 11 = 00000007 00 +0A 008 00000002 00000000 00 = 00000008 00 +0A 009 00000002 00000000 01 = 00000009 00 +0A 00A 00000002 00000000 10 = 0000000A 00 +0A 00B 00000002 00000000 11 = 0000000B 00 +0A 00C 7FFFFFFF 00000000 00 = FFFFFFFC 01 +0A 00D 7FFFFFFF 00000000 01 = FFFFFFFD 01 +0A 00E 7FFFFFFF 00000000 10 = FFFFFFFE 01 +0A 00F 7FFFFFFF 00000000 11 = FFFFFFFF 01 +0A 010 80000000 00000000 00 = 00000000 10 +0A 011 80000000 00000000 01 = 00000001 10 +0A 012 80000000 00000000 10 = 00000002 10 +0A 013 80000000 00000000 11 = 00000003 10 +0A 014 80000001 00000000 00 = 00000004 10 +0A 015 80000001 00000000 01 = 00000005 10 +0A 016 80000001 00000000 10 = 00000006 10 +0A 017 80000001 00000000 11 = 00000007 10 +0A 018 FFFFFFFE 00000000 00 = FFFFFFF8 11 +0A 019 FFFFFFFE 00000000 01 = FFFFFFF9 11 +0A 01A FFFFFFFE 00000000 10 = FFFFFFFA 11 +0A 01B FFFFFFFE 00000000 11 = FFFFFFFB 11 +0A 01C FFFFFFFF 00000000 00 = FFFFFFFC 11 +0A 01D FFFFFFFF 00000000 01 = FFFFFFFD 11 +0A 01E FFFFFFFF 00000000 10 = FFFFFFFE 11 +0A 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 +0A 020 00000004 00000000 00 = 00000010 00 +0A 021 00000004 00000000 01 = 00000011 00 +0A 022 00000004 00000000 10 = 00000012 00 +0A 023 00000004 00000000 11 = 00000013 00 +0A 024 00000008 00000000 00 = 00000020 00 +0A 025 00000008 00000000 01 = 00000021 00 +0A 026 00000008 00000000 10 = 00000022 00 +0A 027 00000008 00000000 11 = 00000023 00 +0A 028 00000010 00000000 00 = 00000040 00 +0A 029 00000010 00000000 01 = 00000041 00 +0A 02A 00000010 00000000 10 = 00000042 00 +0A 02B 00000010 00000000 11 = 00000043 00 +0A 02C 00000020 00000000 00 = 00000080 00 +0A 02D 00000020 00000000 01 = 00000081 00 +0A 02E 00000020 00000000 10 = 00000082 00 +0A 02F 00000020 00000000 11 = 00000083 00 +0A 030 00000040 00000000 00 = 00000100 00 +0A 031 00000040 00000000 01 = 00000101 00 +0A 032 00000040 00000000 10 = 00000102 00 +0A 033 00000040 00000000 11 = 00000103 00 +0A 034 00000080 00000000 00 = 00000200 00 +0A 035 00000080 00000000 01 = 00000201 00 +0A 036 00000080 00000000 10 = 00000202 00 +0A 037 00000080 00000000 11 = 00000203 00 +0A 038 00000100 00000000 00 = 00000400 00 +0A 039 00000100 00000000 01 = 00000401 00 +0A 03A 00000100 00000000 10 = 00000402 00 +0A 03B 00000100 00000000 11 = 00000403 00 +0A 03C 00000200 00000000 00 = 00000800 00 +0A 03D 00000200 00000000 01 = 00000801 00 +0A 03E 00000200 00000000 10 = 00000802 00 +0A 03F 00000200 00000000 11 = 00000803 00 +0A 040 00000400 00000000 00 = 00001000 00 +0A 041 00000400 00000000 01 = 00001001 00 +0A 042 00000400 00000000 10 = 00001002 00 +0A 043 00000400 00000000 11 = 00001003 00 +0A 044 00000800 00000000 00 = 00002000 00 +0A 045 00000800 00000000 01 = 00002001 00 +0A 046 00000800 00000000 10 = 00002002 00 +0A 047 00000800 00000000 11 = 00002003 00 +0A 048 00001000 00000000 00 = 00004000 00 +0A 049 00001000 00000000 01 = 00004001 00 +0A 04A 00001000 00000000 10 = 00004002 00 +0A 04B 00001000 00000000 11 = 00004003 00 +0A 04C 00002000 00000000 00 = 00008000 00 +0A 04D 00002000 00000000 01 = 00008001 00 +0A 04E 00002000 00000000 10 = 00008002 00 +0A 04F 00002000 00000000 11 = 00008003 00 +0A 050 00004000 00000000 00 = 00010000 00 +0A 051 00004000 00000000 01 = 00010001 00 +0A 052 00004000 00000000 10 = 00010002 00 +0A 053 00004000 00000000 11 = 00010003 00 +0A 054 00008000 00000000 00 = 00020000 00 +0A 055 00008000 00000000 01 = 00020001 00 +0A 056 00008000 00000000 10 = 00020002 00 +0A 057 00008000 00000000 11 = 00020003 00 +0A 058 00010000 00000000 00 = 00040000 00 +0A 059 00010000 00000000 01 = 00040001 00 +0A 05A 00010000 00000000 10 = 00040002 00 +0A 05B 00010000 00000000 11 = 00040003 00 +0A 05C 00020000 00000000 00 = 00080000 00 +0A 05D 00020000 00000000 01 = 00080001 00 +0A 05E 00020000 00000000 10 = 00080002 00 +0A 05F 00020000 00000000 11 = 00080003 00 +0A 060 00040000 00000000 00 = 00100000 00 +0A 061 00040000 00000000 01 = 00100001 00 +0A 062 00040000 00000000 10 = 00100002 00 +0A 063 00040000 00000000 11 = 00100003 00 +0A 064 00080000 00000000 00 = 00200000 00 +0A 065 00080000 00000000 01 = 00200001 00 +0A 066 00080000 00000000 10 = 00200002 00 +0A 067 00080000 00000000 11 = 00200003 00 +0A 068 00100000 00000000 00 = 00400000 00 +0A 069 00100000 00000000 01 = 00400001 00 +0A 06A 00100000 00000000 10 = 00400002 00 +0A 06B 00100000 00000000 11 = 00400003 00 +0A 06C 00200000 00000000 00 = 00800000 00 +0A 06D 00200000 00000000 01 = 00800001 00 +0A 06E 00200000 00000000 10 = 00800002 00 +0A 06F 00200000 00000000 11 = 00800003 00 +0A 070 00400000 00000000 00 = 01000000 00 +0A 071 00400000 00000000 01 = 01000001 00 +0A 072 00400000 00000000 10 = 01000002 00 +0A 073 00400000 00000000 11 = 01000003 00 +0A 074 00800000 00000000 00 = 02000000 00 +0A 075 00800000 00000000 01 = 02000001 00 +0A 076 00800000 00000000 10 = 02000002 00 +0A 077 00800000 00000000 11 = 02000003 00 +0A 078 01000000 00000000 00 = 04000000 00 +0A 079 01000000 00000000 01 = 04000001 00 +0A 07A 01000000 00000000 10 = 04000002 00 +0A 07B 01000000 00000000 11 = 04000003 00 +0A 07C 02000000 00000000 00 = 08000000 00 +0A 07D 02000000 00000000 01 = 08000001 00 +0A 07E 02000000 00000000 10 = 08000002 00 +0A 07F 02000000 00000000 11 = 08000003 00 +0A 080 04000000 00000000 00 = 10000000 00 +0A 081 04000000 00000000 01 = 10000001 00 +0A 082 04000000 00000000 10 = 10000002 00 +0A 083 04000000 00000000 11 = 10000003 00 +0A 084 08000000 00000000 00 = 20000000 00 +0A 085 08000000 00000000 01 = 20000001 00 +0A 086 08000000 00000000 10 = 20000002 00 +0A 087 08000000 00000000 11 = 20000003 00 +0A 088 10000000 00000000 00 = 40000000 00 +0A 089 10000000 00000000 01 = 40000001 00 +0A 08A 10000000 00000000 10 = 40000002 00 +0A 08B 10000000 00000000 11 = 40000003 00 +0A 08C 20000000 00000000 00 = 80000000 00 +0A 08D 20000000 00000000 01 = 80000001 00 +0A 08E 20000000 00000000 10 = 80000002 00 +0A 08F 20000000 00000000 11 = 80000003 00 +0A 090 40000000 00000000 00 = 00000000 01 +0A 091 40000000 00000000 01 = 00000001 01 +0A 092 40000000 00000000 10 = 00000002 01 +0A 093 40000000 00000000 11 = 00000003 01 +0A 094 80000000 00000000 00 = 00000000 10 +0A 095 80000000 00000000 01 = 00000001 10 +0A 096 80000000 00000000 10 = 00000002 10 +0A 097 80000000 00000000 11 = 00000003 10 +wrc ---D---- ---S---- CZ = ---Q---- CZ +0B 000 00000000 00000000 00 = 00000000 00 +0B 001 00000000 00000000 01 = 00000000 01 +0B 002 00000000 00000000 10 = 00000001 10 +0B 003 00000000 00000000 11 = 00000001 11 +0B 004 00000001 00000000 00 = 00000000 00 +0B 005 00000001 00000000 01 = 00000000 01 +0B 006 00000001 00000000 10 = 00000001 10 +0B 007 00000001 00000000 11 = 00000001 11 +0B 008 00000002 00000000 00 = 00000000 00 +0B 009 00000002 00000000 01 = 00000000 01 +0B 00A 00000002 00000000 10 = 00000001 10 +0B 00B 00000002 00000000 11 = 00000001 11 +0B 00C 7FFFFFFF 00000000 00 = 00000000 00 +0B 00D 7FFFFFFF 00000000 01 = 00000000 01 +0B 00E 7FFFFFFF 00000000 10 = 00000001 10 +0B 00F 7FFFFFFF 00000000 11 = 00000001 11 +0B 010 80000000 00000000 00 = 00000000 00 +0B 011 80000000 00000000 01 = 00000000 01 +0B 012 80000000 00000000 10 = 00000001 10 +0B 013 80000000 00000000 11 = 00000001 11 +0B 014 80000001 00000000 00 = 00000000 00 +0B 015 80000001 00000000 01 = 00000000 01 +0B 016 80000001 00000000 10 = 00000001 10 +0B 017 80000001 00000000 11 = 00000001 11 +0B 018 FFFFFFFE 00000000 00 = 00000000 00 +0B 019 FFFFFFFE 00000000 01 = 00000000 01 +0B 01A FFFFFFFE 00000000 10 = 00000001 10 +0B 01B FFFFFFFE 00000000 11 = 00000001 11 +0B 01C FFFFFFFF 00000000 00 = 00000000 00 +0B 01D FFFFFFFF 00000000 01 = 00000000 01 +0B 01E FFFFFFFF 00000000 10 = 00000001 10 +0B 01F FFFFFFFF 00000000 11 = 00000001 11 +0B 020 00000004 00000000 00 = 00000000 00 +0B 021 00000004 00000000 01 = 00000000 01 +0B 022 00000004 00000000 10 = 00000001 10 +0B 023 00000004 00000000 11 = 00000001 11 +0B 024 00000008 00000000 00 = 00000000 00 +0B 025 00000008 00000000 01 = 00000000 01 +0B 026 00000008 00000000 10 = 00000001 10 +0B 027 00000008 00000000 11 = 00000001 11 +0B 028 00000010 00000000 00 = 00000000 00 +0B 029 00000010 00000000 01 = 00000000 01 +0B 02A 00000010 00000000 10 = 00000001 10 +0B 02B 00000010 00000000 11 = 00000001 11 +0B 02C 00000020 00000000 00 = 00000000 00 +0B 02D 00000020 00000000 01 = 00000000 01 +0B 02E 00000020 00000000 10 = 00000001 10 +0B 02F 00000020 00000000 11 = 00000001 11 +0B 030 00000040 00000000 00 = 00000000 00 +0B 031 00000040 00000000 01 = 00000000 01 +0B 032 00000040 00000000 10 = 00000001 10 +0B 033 00000040 00000000 11 = 00000001 11 +0B 034 00000080 00000000 00 = 00000000 00 +0B 035 00000080 00000000 01 = 00000000 01 +0B 036 00000080 00000000 10 = 00000001 10 +0B 037 00000080 00000000 11 = 00000001 11 +0B 038 00000100 00000000 00 = 00000000 00 +0B 039 00000100 00000000 01 = 00000000 01 +0B 03A 00000100 00000000 10 = 00000001 10 +0B 03B 00000100 00000000 11 = 00000001 11 +0B 03C 00000200 00000000 00 = 00000000 00 +0B 03D 00000200 00000000 01 = 00000000 01 +0B 03E 00000200 00000000 10 = 00000001 10 +0B 03F 00000200 00000000 11 = 00000001 11 +0B 040 00000400 00000000 00 = 00000000 00 +0B 041 00000400 00000000 01 = 00000000 01 +0B 042 00000400 00000000 10 = 00000001 10 +0B 043 00000400 00000000 11 = 00000001 11 +0B 044 00000800 00000000 00 = 00000000 00 +0B 045 00000800 00000000 01 = 00000000 01 +0B 046 00000800 00000000 10 = 00000001 10 +0B 047 00000800 00000000 11 = 00000001 11 +0B 048 00001000 00000000 00 = 00000000 00 +0B 049 00001000 00000000 01 = 00000000 01 +0B 04A 00001000 00000000 10 = 00000001 10 +0B 04B 00001000 00000000 11 = 00000001 11 +0B 04C 00002000 00000000 00 = 00000000 00 +0B 04D 00002000 00000000 01 = 00000000 01 +0B 04E 00002000 00000000 10 = 00000001 10 +0B 04F 00002000 00000000 11 = 00000001 11 +0B 050 00004000 00000000 00 = 00000000 00 +0B 051 00004000 00000000 01 = 00000000 01 +0B 052 00004000 00000000 10 = 00000001 10 +0B 053 00004000 00000000 11 = 00000001 11 +0B 054 00008000 00000000 00 = 00000000 00 +0B 055 00008000 00000000 01 = 00000000 01 +0B 056 00008000 00000000 10 = 00000001 10 +0B 057 00008000 00000000 11 = 00000001 11 +0B 058 00010000 00000000 00 = 00000000 00 +0B 059 00010000 00000000 01 = 00000000 01 +0B 05A 00010000 00000000 10 = 00000001 10 +0B 05B 00010000 00000000 11 = 00000001 11 +0B 05C 00020000 00000000 00 = 00000000 00 +0B 05D 00020000 00000000 01 = 00000000 01 +0B 05E 00020000 00000000 10 = 00000001 10 +0B 05F 00020000 00000000 11 = 00000001 11 +0B 060 00040000 00000000 00 = 00000000 00 +0B 061 00040000 00000000 01 = 00000000 01 +0B 062 00040000 00000000 10 = 00000001 10 +0B 063 00040000 00000000 11 = 00000001 11 +0B 064 00080000 00000000 00 = 00000000 00 +0B 065 00080000 00000000 01 = 00000000 01 +0B 066 00080000 00000000 10 = 00000001 10 +0B 067 00080000 00000000 11 = 00000001 11 +0B 068 00100000 00000000 00 = 00000000 00 +0B 069 00100000 00000000 01 = 00000000 01 +0B 06A 00100000 00000000 10 = 00000001 10 +0B 06B 00100000 00000000 11 = 00000001 11 +0B 06C 00200000 00000000 00 = 00000000 00 +0B 06D 00200000 00000000 01 = 00000000 01 +0B 06E 00200000 00000000 10 = 00000001 10 +0B 06F 00200000 00000000 11 = 00000001 11 +0B 070 00400000 00000000 00 = 00000000 00 +0B 071 00400000 00000000 01 = 00000000 01 +0B 072 00400000 00000000 10 = 00000001 10 +0B 073 00400000 00000000 11 = 00000001 11 +0B 074 00800000 00000000 00 = 00000000 00 +0B 075 00800000 00000000 01 = 00000000 01 +0B 076 00800000 00000000 10 = 00000001 10 +0B 077 00800000 00000000 11 = 00000001 11 +0B 078 01000000 00000000 00 = 00000000 00 +0B 079 01000000 00000000 01 = 00000000 01 +0B 07A 01000000 00000000 10 = 00000001 10 +0B 07B 01000000 00000000 11 = 00000001 11 +0B 07C 02000000 00000000 00 = 00000000 00 +0B 07D 02000000 00000000 01 = 00000000 01 +0B 07E 02000000 00000000 10 = 00000001 10 +0B 07F 02000000 00000000 11 = 00000001 11 +0B 080 04000000 00000000 00 = 00000000 00 +0B 081 04000000 00000000 01 = 00000000 01 +0B 082 04000000 00000000 10 = 00000001 10 +0B 083 04000000 00000000 11 = 00000001 11 +0B 084 08000000 00000000 00 = 00000000 00 +0B 085 08000000 00000000 01 = 00000000 01 +0B 086 08000000 00000000 10 = 00000001 10 +0B 087 08000000 00000000 11 = 00000001 11 +0B 088 10000000 00000000 00 = 00000000 00 +0B 089 10000000 00000000 01 = 00000000 01 +0B 08A 10000000 00000000 10 = 00000001 10 +0B 08B 10000000 00000000 11 = 00000001 11 +0B 08C 20000000 00000000 00 = 00000000 00 +0B 08D 20000000 00000000 01 = 00000000 01 +0B 08E 20000000 00000000 10 = 00000001 10 +0B 08F 20000000 00000000 11 = 00000001 11 +0B 090 40000000 00000000 00 = 00000000 00 +0B 091 40000000 00000000 01 = 00000000 01 +0B 092 40000000 00000000 10 = 00000001 10 +0B 093 40000000 00000000 11 = 00000001 11 +0B 094 80000000 00000000 00 = 00000000 00 +0B 095 80000000 00000000 01 = 00000000 01 +0B 096 80000000 00000000 10 = 00000001 10 +0B 097 80000000 00000000 11 = 00000001 11 +wrnc ---D---- ---S---- CZ = ---Q---- CZ +0C 000 00000000 00000000 00 = 00000001 00 +0C 001 00000000 00000000 01 = 00000001 01 +0C 002 00000000 00000000 10 = 00000000 10 +0C 003 00000000 00000000 11 = 00000000 11 +0C 004 00000001 00000000 00 = 00000001 00 +0C 005 00000001 00000000 01 = 00000001 01 +0C 006 00000001 00000000 10 = 00000000 10 +0C 007 00000001 00000000 11 = 00000000 11 +0C 008 00000002 00000000 00 = 00000001 00 +0C 009 00000002 00000000 01 = 00000001 01 +0C 00A 00000002 00000000 10 = 00000000 10 +0C 00B 00000002 00000000 11 = 00000000 11 +0C 00C 7FFFFFFF 00000000 00 = 00000001 00 +0C 00D 7FFFFFFF 00000000 01 = 00000001 01 +0C 00E 7FFFFFFF 00000000 10 = 00000000 10 +0C 00F 7FFFFFFF 00000000 11 = 00000000 11 +0C 010 80000000 00000000 00 = 00000001 00 +0C 011 80000000 00000000 01 = 00000001 01 +0C 012 80000000 00000000 10 = 00000000 10 +0C 013 80000000 00000000 11 = 00000000 11 +0C 014 80000001 00000000 00 = 00000001 00 +0C 015 80000001 00000000 01 = 00000001 01 +0C 016 80000001 00000000 10 = 00000000 10 +0C 017 80000001 00000000 11 = 00000000 11 +0C 018 FFFFFFFE 00000000 00 = 00000001 00 +0C 019 FFFFFFFE 00000000 01 = 00000001 01 +0C 01A FFFFFFFE 00000000 10 = 00000000 10 +0C 01B FFFFFFFE 00000000 11 = 00000000 11 +0C 01C FFFFFFFF 00000000 00 = 00000001 00 +0C 01D FFFFFFFF 00000000 01 = 00000001 01 +0C 01E FFFFFFFF 00000000 10 = 00000000 10 +0C 01F FFFFFFFF 00000000 11 = 00000000 11 +0C 020 00000004 00000000 00 = 00000001 00 +0C 021 00000004 00000000 01 = 00000001 01 +0C 022 00000004 00000000 10 = 00000000 10 +0C 023 00000004 00000000 11 = 00000000 11 +0C 024 00000008 00000000 00 = 00000001 00 +0C 025 00000008 00000000 01 = 00000001 01 +0C 026 00000008 00000000 10 = 00000000 10 +0C 027 00000008 00000000 11 = 00000000 11 +0C 028 00000010 00000000 00 = 00000001 00 +0C 029 00000010 00000000 01 = 00000001 01 +0C 02A 00000010 00000000 10 = 00000000 10 +0C 02B 00000010 00000000 11 = 00000000 11 +0C 02C 00000020 00000000 00 = 00000001 00 +0C 02D 00000020 00000000 01 = 00000001 01 +0C 02E 00000020 00000000 10 = 00000000 10 +0C 02F 00000020 00000000 11 = 00000000 11 +0C 030 00000040 00000000 00 = 00000001 00 +0C 031 00000040 00000000 01 = 00000001 01 +0C 032 00000040 00000000 10 = 00000000 10 +0C 033 00000040 00000000 11 = 00000000 11 +0C 034 00000080 00000000 00 = 00000001 00 +0C 035 00000080 00000000 01 = 00000001 01 +0C 036 00000080 00000000 10 = 00000000 10 +0C 037 00000080 00000000 11 = 00000000 11 +0C 038 00000100 00000000 00 = 00000001 00 +0C 039 00000100 00000000 01 = 00000001 01 +0C 03A 00000100 00000000 10 = 00000000 10 +0C 03B 00000100 00000000 11 = 00000000 11 +0C 03C 00000200 00000000 00 = 00000001 00 +0C 03D 00000200 00000000 01 = 00000001 01 +0C 03E 00000200 00000000 10 = 00000000 10 +0C 03F 00000200 00000000 11 = 00000000 11 +0C 040 00000400 00000000 00 = 00000001 00 +0C 041 00000400 00000000 01 = 00000001 01 +0C 042 00000400 00000000 10 = 00000000 10 +0C 043 00000400 00000000 11 = 00000000 11 +0C 044 00000800 00000000 00 = 00000001 00 +0C 045 00000800 00000000 01 = 00000001 01 +0C 046 00000800 00000000 10 = 00000000 10 +0C 047 00000800 00000000 11 = 00000000 11 +0C 048 00001000 00000000 00 = 00000001 00 +0C 049 00001000 00000000 01 = 00000001 01 +0C 04A 00001000 00000000 10 = 00000000 10 +0C 04B 00001000 00000000 11 = 00000000 11 +0C 04C 00002000 00000000 00 = 00000001 00 +0C 04D 00002000 00000000 01 = 00000001 01 +0C 04E 00002000 00000000 10 = 00000000 10 +0C 04F 00002000 00000000 11 = 00000000 11 +0C 050 00004000 00000000 00 = 00000001 00 +0C 051 00004000 00000000 01 = 00000001 01 +0C 052 00004000 00000000 10 = 00000000 10 +0C 053 00004000 00000000 11 = 00000000 11 +0C 054 00008000 00000000 00 = 00000001 00 +0C 055 00008000 00000000 01 = 00000001 01 +0C 056 00008000 00000000 10 = 00000000 10 +0C 057 00008000 00000000 11 = 00000000 11 +0C 058 00010000 00000000 00 = 00000001 00 +0C 059 00010000 00000000 01 = 00000001 01 +0C 05A 00010000 00000000 10 = 00000000 10 +0C 05B 00010000 00000000 11 = 00000000 11 +0C 05C 00020000 00000000 00 = 00000001 00 +0C 05D 00020000 00000000 01 = 00000001 01 +0C 05E 00020000 00000000 10 = 00000000 10 +0C 05F 00020000 00000000 11 = 00000000 11 +0C 060 00040000 00000000 00 = 00000001 00 +0C 061 00040000 00000000 01 = 00000001 01 +0C 062 00040000 00000000 10 = 00000000 10 +0C 063 00040000 00000000 11 = 00000000 11 +0C 064 00080000 00000000 00 = 00000001 00 +0C 065 00080000 00000000 01 = 00000001 01 +0C 066 00080000 00000000 10 = 00000000 10 +0C 067 00080000 00000000 11 = 00000000 11 +0C 068 00100000 00000000 00 = 00000001 00 +0C 069 00100000 00000000 01 = 00000001 01 +0C 06A 00100000 00000000 10 = 00000000 10 +0C 06B 00100000 00000000 11 = 00000000 11 +0C 06C 00200000 00000000 00 = 00000001 00 +0C 06D 00200000 00000000 01 = 00000001 01 +0C 06E 00200000 00000000 10 = 00000000 10 +0C 06F 00200000 00000000 11 = 00000000 11 +0C 070 00400000 00000000 00 = 00000001 00 +0C 071 00400000 00000000 01 = 00000001 01 +0C 072 00400000 00000000 10 = 00000000 10 +0C 073 00400000 00000000 11 = 00000000 11 +0C 074 00800000 00000000 00 = 00000001 00 +0C 075 00800000 00000000 01 = 00000001 01 +0C 076 00800000 00000000 10 = 00000000 10 +0C 077 00800000 00000000 11 = 00000000 11 +0C 078 01000000 00000000 00 = 00000001 00 +0C 079 01000000 00000000 01 = 00000001 01 +0C 07A 01000000 00000000 10 = 00000000 10 +0C 07B 01000000 00000000 11 = 00000000 11 +0C 07C 02000000 00000000 00 = 00000001 00 +0C 07D 02000000 00000000 01 = 00000001 01 +0C 07E 02000000 00000000 10 = 00000000 10 +0C 07F 02000000 00000000 11 = 00000000 11 +0C 080 04000000 00000000 00 = 00000001 00 +0C 081 04000000 00000000 01 = 00000001 01 +0C 082 04000000 00000000 10 = 00000000 10 +0C 083 04000000 00000000 11 = 00000000 11 +0C 084 08000000 00000000 00 = 00000001 00 +0C 085 08000000 00000000 01 = 00000001 01 +0C 086 08000000 00000000 10 = 00000000 10 +0C 087 08000000 00000000 11 = 00000000 11 +0C 088 10000000 00000000 00 = 00000001 00 +0C 089 10000000 00000000 01 = 00000001 01 +0C 08A 10000000 00000000 10 = 00000000 10 +0C 08B 10000000 00000000 11 = 00000000 11 +0C 08C 20000000 00000000 00 = 00000001 00 +0C 08D 20000000 00000000 01 = 00000001 01 +0C 08E 20000000 00000000 10 = 00000000 10 +0C 08F 20000000 00000000 11 = 00000000 11 +0C 090 40000000 00000000 00 = 00000001 00 +0C 091 40000000 00000000 01 = 00000001 01 +0C 092 40000000 00000000 10 = 00000000 10 +0C 093 40000000 00000000 11 = 00000000 11 +0C 094 80000000 00000000 00 = 00000001 00 +0C 095 80000000 00000000 01 = 00000001 01 +0C 096 80000000 00000000 10 = 00000000 10 +0C 097 80000000 00000000 11 = 00000000 11 +wrz ---D---- ---S---- CZ = ---Q---- CZ +0D 000 00000000 00000000 00 = 00000000 00 +0D 001 00000000 00000000 01 = 00000001 01 +0D 002 00000000 00000000 10 = 00000000 10 +0D 003 00000000 00000000 11 = 00000001 11 +0D 004 00000001 00000000 00 = 00000000 00 +0D 005 00000001 00000000 01 = 00000001 01 +0D 006 00000001 00000000 10 = 00000000 10 +0D 007 00000001 00000000 11 = 00000001 11 +0D 008 00000002 00000000 00 = 00000000 00 +0D 009 00000002 00000000 01 = 00000001 01 +0D 00A 00000002 00000000 10 = 00000000 10 +0D 00B 00000002 00000000 11 = 00000001 11 +0D 00C 7FFFFFFF 00000000 00 = 00000000 00 +0D 00D 7FFFFFFF 00000000 01 = 00000001 01 +0D 00E 7FFFFFFF 00000000 10 = 00000000 10 +0D 00F 7FFFFFFF 00000000 11 = 00000001 11 +0D 010 80000000 00000000 00 = 00000000 00 +0D 011 80000000 00000000 01 = 00000001 01 +0D 012 80000000 00000000 10 = 00000000 10 +0D 013 80000000 00000000 11 = 00000001 11 +0D 014 80000001 00000000 00 = 00000000 00 +0D 015 80000001 00000000 01 = 00000001 01 +0D 016 80000001 00000000 10 = 00000000 10 +0D 017 80000001 00000000 11 = 00000001 11 +0D 018 FFFFFFFE 00000000 00 = 00000000 00 +0D 019 FFFFFFFE 00000000 01 = 00000001 01 +0D 01A FFFFFFFE 00000000 10 = 00000000 10 +0D 01B FFFFFFFE 00000000 11 = 00000001 11 +0D 01C FFFFFFFF 00000000 00 = 00000000 00 +0D 01D FFFFFFFF 00000000 01 = 00000001 01 +0D 01E FFFFFFFF 00000000 10 = 00000000 10 +0D 01F FFFFFFFF 00000000 11 = 00000001 11 +0D 020 00000004 00000000 00 = 00000000 00 +0D 021 00000004 00000000 01 = 00000001 01 +0D 022 00000004 00000000 10 = 00000000 10 +0D 023 00000004 00000000 11 = 00000001 11 +0D 024 00000008 00000000 00 = 00000000 00 +0D 025 00000008 00000000 01 = 00000001 01 +0D 026 00000008 00000000 10 = 00000000 10 +0D 027 00000008 00000000 11 = 00000001 11 +0D 028 00000010 00000000 00 = 00000000 00 +0D 029 00000010 00000000 01 = 00000001 01 +0D 02A 00000010 00000000 10 = 00000000 10 +0D 02B 00000010 00000000 11 = 00000001 11 +0D 02C 00000020 00000000 00 = 00000000 00 +0D 02D 00000020 00000000 01 = 00000001 01 +0D 02E 00000020 00000000 10 = 00000000 10 +0D 02F 00000020 00000000 11 = 00000001 11 +0D 030 00000040 00000000 00 = 00000000 00 +0D 031 00000040 00000000 01 = 00000001 01 +0D 032 00000040 00000000 10 = 00000000 10 +0D 033 00000040 00000000 11 = 00000001 11 +0D 034 00000080 00000000 00 = 00000000 00 +0D 035 00000080 00000000 01 = 00000001 01 +0D 036 00000080 00000000 10 = 00000000 10 +0D 037 00000080 00000000 11 = 00000001 11 +0D 038 00000100 00000000 00 = 00000000 00 +0D 039 00000100 00000000 01 = 00000001 01 +0D 03A 00000100 00000000 10 = 00000000 10 +0D 03B 00000100 00000000 11 = 00000001 11 +0D 03C 00000200 00000000 00 = 00000000 00 +0D 03D 00000200 00000000 01 = 00000001 01 +0D 03E 00000200 00000000 10 = 00000000 10 +0D 03F 00000200 00000000 11 = 00000001 11 +0D 040 00000400 00000000 00 = 00000000 00 +0D 041 00000400 00000000 01 = 00000001 01 +0D 042 00000400 00000000 10 = 00000000 10 +0D 043 00000400 00000000 11 = 00000001 11 +0D 044 00000800 00000000 00 = 00000000 00 +0D 045 00000800 00000000 01 = 00000001 01 +0D 046 00000800 00000000 10 = 00000000 10 +0D 047 00000800 00000000 11 = 00000001 11 +0D 048 00001000 00000000 00 = 00000000 00 +0D 049 00001000 00000000 01 = 00000001 01 +0D 04A 00001000 00000000 10 = 00000000 10 +0D 04B 00001000 00000000 11 = 00000001 11 +0D 04C 00002000 00000000 00 = 00000000 00 +0D 04D 00002000 00000000 01 = 00000001 01 +0D 04E 00002000 00000000 10 = 00000000 10 +0D 04F 00002000 00000000 11 = 00000001 11 +0D 050 00004000 00000000 00 = 00000000 00 +0D 051 00004000 00000000 01 = 00000001 01 +0D 052 00004000 00000000 10 = 00000000 10 +0D 053 00004000 00000000 11 = 00000001 11 +0D 054 00008000 00000000 00 = 00000000 00 +0D 055 00008000 00000000 01 = 00000001 01 +0D 056 00008000 00000000 10 = 00000000 10 +0D 057 00008000 00000000 11 = 00000001 11 +0D 058 00010000 00000000 00 = 00000000 00 +0D 059 00010000 00000000 01 = 00000001 01 +0D 05A 00010000 00000000 10 = 00000000 10 +0D 05B 00010000 00000000 11 = 00000001 11 +0D 05C 00020000 00000000 00 = 00000000 00 +0D 05D 00020000 00000000 01 = 00000001 01 +0D 05E 00020000 00000000 10 = 00000000 10 +0D 05F 00020000 00000000 11 = 00000001 11 +0D 060 00040000 00000000 00 = 00000000 00 +0D 061 00040000 00000000 01 = 00000001 01 +0D 062 00040000 00000000 10 = 00000000 10 +0D 063 00040000 00000000 11 = 00000001 11 +0D 064 00080000 00000000 00 = 00000000 00 +0D 065 00080000 00000000 01 = 00000001 01 +0D 066 00080000 00000000 10 = 00000000 10 +0D 067 00080000 00000000 11 = 00000001 11 +0D 068 00100000 00000000 00 = 00000000 00 +0D 069 00100000 00000000 01 = 00000001 01 +0D 06A 00100000 00000000 10 = 00000000 10 +0D 06B 00100000 00000000 11 = 00000001 11 +0D 06C 00200000 00000000 00 = 00000000 00 +0D 06D 00200000 00000000 01 = 00000001 01 +0D 06E 00200000 00000000 10 = 00000000 10 +0D 06F 00200000 00000000 11 = 00000001 11 +0D 070 00400000 00000000 00 = 00000000 00 +0D 071 00400000 00000000 01 = 00000001 01 +0D 072 00400000 00000000 10 = 00000000 10 +0D 073 00400000 00000000 11 = 00000001 11 +0D 074 00800000 00000000 00 = 00000000 00 +0D 075 00800000 00000000 01 = 00000001 01 +0D 076 00800000 00000000 10 = 00000000 10 +0D 077 00800000 00000000 11 = 00000001 11 +0D 078 01000000 00000000 00 = 00000000 00 +0D 079 01000000 00000000 01 = 00000001 01 +0D 07A 01000000 00000000 10 = 00000000 10 +0D 07B 01000000 00000000 11 = 00000001 11 +0D 07C 02000000 00000000 00 = 00000000 00 +0D 07D 02000000 00000000 01 = 00000001 01 +0D 07E 02000000 00000000 10 = 00000000 10 +0D 07F 02000000 00000000 11 = 00000001 11 +0D 080 04000000 00000000 00 = 00000000 00 +0D 081 04000000 00000000 01 = 00000001 01 +0D 082 04000000 00000000 10 = 00000000 10 +0D 083 04000000 00000000 11 = 00000001 11 +0D 084 08000000 00000000 00 = 00000000 00 +0D 085 08000000 00000000 01 = 00000001 01 +0D 086 08000000 00000000 10 = 00000000 10 +0D 087 08000000 00000000 11 = 00000001 11 +0D 088 10000000 00000000 00 = 00000000 00 +0D 089 10000000 00000000 01 = 00000001 01 +0D 08A 10000000 00000000 10 = 00000000 10 +0D 08B 10000000 00000000 11 = 00000001 11 +0D 08C 20000000 00000000 00 = 00000000 00 +0D 08D 20000000 00000000 01 = 00000001 01 +0D 08E 20000000 00000000 10 = 00000000 10 +0D 08F 20000000 00000000 11 = 00000001 11 +0D 090 40000000 00000000 00 = 00000000 00 +0D 091 40000000 00000000 01 = 00000001 01 +0D 092 40000000 00000000 10 = 00000000 10 +0D 093 40000000 00000000 11 = 00000001 11 +0D 094 80000000 00000000 00 = 00000000 00 +0D 095 80000000 00000000 01 = 00000001 01 +0D 096 80000000 00000000 10 = 00000000 10 +0D 097 80000000 00000000 11 = 00000001 11 +wrnz ---D---- ---S---- CZ = ---Q---- CZ +0E 000 00000000 00000000 00 = 00000001 00 +0E 001 00000000 00000000 01 = 00000000 01 +0E 002 00000000 00000000 10 = 00000001 10 +0E 003 00000000 00000000 11 = 00000000 11 +0E 004 00000001 00000000 00 = 00000001 00 +0E 005 00000001 00000000 01 = 00000000 01 +0E 006 00000001 00000000 10 = 00000001 10 +0E 007 00000001 00000000 11 = 00000000 11 +0E 008 00000002 00000000 00 = 00000001 00 +0E 009 00000002 00000000 01 = 00000000 01 +0E 00A 00000002 00000000 10 = 00000001 10 +0E 00B 00000002 00000000 11 = 00000000 11 +0E 00C 7FFFFFFF 00000000 00 = 00000001 00 +0E 00D 7FFFFFFF 00000000 01 = 00000000 01 +0E 00E 7FFFFFFF 00000000 10 = 00000001 10 +0E 00F 7FFFFFFF 00000000 11 = 00000000 11 +0E 010 80000000 00000000 00 = 00000001 00 +0E 011 80000000 00000000 01 = 00000000 01 +0E 012 80000000 00000000 10 = 00000001 10 +0E 013 80000000 00000000 11 = 00000000 11 +0E 014 80000001 00000000 00 = 00000001 00 +0E 015 80000001 00000000 01 = 00000000 01 +0E 016 80000001 00000000 10 = 00000001 10 +0E 017 80000001 00000000 11 = 00000000 11 +0E 018 FFFFFFFE 00000000 00 = 00000001 00 +0E 019 FFFFFFFE 00000000 01 = 00000000 01 +0E 01A FFFFFFFE 00000000 10 = 00000001 10 +0E 01B FFFFFFFE 00000000 11 = 00000000 11 +0E 01C FFFFFFFF 00000000 00 = 00000001 00 +0E 01D FFFFFFFF 00000000 01 = 00000000 01 +0E 01E FFFFFFFF 00000000 10 = 00000001 10 +0E 01F FFFFFFFF 00000000 11 = 00000000 11 +0E 020 00000004 00000000 00 = 00000001 00 +0E 021 00000004 00000000 01 = 00000000 01 +0E 022 00000004 00000000 10 = 00000001 10 +0E 023 00000004 00000000 11 = 00000000 11 +0E 024 00000008 00000000 00 = 00000001 00 +0E 025 00000008 00000000 01 = 00000000 01 +0E 026 00000008 00000000 10 = 00000001 10 +0E 027 00000008 00000000 11 = 00000000 11 +0E 028 00000010 00000000 00 = 00000001 00 +0E 029 00000010 00000000 01 = 00000000 01 +0E 02A 00000010 00000000 10 = 00000001 10 +0E 02B 00000010 00000000 11 = 00000000 11 +0E 02C 00000020 00000000 00 = 00000001 00 +0E 02D 00000020 00000000 01 = 00000000 01 +0E 02E 00000020 00000000 10 = 00000001 10 +0E 02F 00000020 00000000 11 = 00000000 11 +0E 030 00000040 00000000 00 = 00000001 00 +0E 031 00000040 00000000 01 = 00000000 01 +0E 032 00000040 00000000 10 = 00000001 10 +0E 033 00000040 00000000 11 = 00000000 11 +0E 034 00000080 00000000 00 = 00000001 00 +0E 035 00000080 00000000 01 = 00000000 01 +0E 036 00000080 00000000 10 = 00000001 10 +0E 037 00000080 00000000 11 = 00000000 11 +0E 038 00000100 00000000 00 = 00000001 00 +0E 039 00000100 00000000 01 = 00000000 01 +0E 03A 00000100 00000000 10 = 00000001 10 +0E 03B 00000100 00000000 11 = 00000000 11 +0E 03C 00000200 00000000 00 = 00000001 00 +0E 03D 00000200 00000000 01 = 00000000 01 +0E 03E 00000200 00000000 10 = 00000001 10 +0E 03F 00000200 00000000 11 = 00000000 11 +0E 040 00000400 00000000 00 = 00000001 00 +0E 041 00000400 00000000 01 = 00000000 01 +0E 042 00000400 00000000 10 = 00000001 10 +0E 043 00000400 00000000 11 = 00000000 11 +0E 044 00000800 00000000 00 = 00000001 00 +0E 045 00000800 00000000 01 = 00000000 01 +0E 046 00000800 00000000 10 = 00000001 10 +0E 047 00000800 00000000 11 = 00000000 11 +0E 048 00001000 00000000 00 = 00000001 00 +0E 049 00001000 00000000 01 = 00000000 01 +0E 04A 00001000 00000000 10 = 00000001 10 +0E 04B 00001000 00000000 11 = 00000000 11 +0E 04C 00002000 00000000 00 = 00000001 00 +0E 04D 00002000 00000000 01 = 00000000 01 +0E 04E 00002000 00000000 10 = 00000001 10 +0E 04F 00002000 00000000 11 = 00000000 11 +0E 050 00004000 00000000 00 = 00000001 00 +0E 051 00004000 00000000 01 = 00000000 01 +0E 052 00004000 00000000 10 = 00000001 10 +0E 053 00004000 00000000 11 = 00000000 11 +0E 054 00008000 00000000 00 = 00000001 00 +0E 055 00008000 00000000 01 = 00000000 01 +0E 056 00008000 00000000 10 = 00000001 10 +0E 057 00008000 00000000 11 = 00000000 11 +0E 058 00010000 00000000 00 = 00000001 00 +0E 059 00010000 00000000 01 = 00000000 01 +0E 05A 00010000 00000000 10 = 00000001 10 +0E 05B 00010000 00000000 11 = 00000000 11 +0E 05C 00020000 00000000 00 = 00000001 00 +0E 05D 00020000 00000000 01 = 00000000 01 +0E 05E 00020000 00000000 10 = 00000001 10 +0E 05F 00020000 00000000 11 = 00000000 11 +0E 060 00040000 00000000 00 = 00000001 00 +0E 061 00040000 00000000 01 = 00000000 01 +0E 062 00040000 00000000 10 = 00000001 10 +0E 063 00040000 00000000 11 = 00000000 11 +0E 064 00080000 00000000 00 = 00000001 00 +0E 065 00080000 00000000 01 = 00000000 01 +0E 066 00080000 00000000 10 = 00000001 10 +0E 067 00080000 00000000 11 = 00000000 11 +0E 068 00100000 00000000 00 = 00000001 00 +0E 069 00100000 00000000 01 = 00000000 01 +0E 06A 00100000 00000000 10 = 00000001 10 +0E 06B 00100000 00000000 11 = 00000000 11 +0E 06C 00200000 00000000 00 = 00000001 00 +0E 06D 00200000 00000000 01 = 00000000 01 +0E 06E 00200000 00000000 10 = 00000001 10 +0E 06F 00200000 00000000 11 = 00000000 11 +0E 070 00400000 00000000 00 = 00000001 00 +0E 071 00400000 00000000 01 = 00000000 01 +0E 072 00400000 00000000 10 = 00000001 10 +0E 073 00400000 00000000 11 = 00000000 11 +0E 074 00800000 00000000 00 = 00000001 00 +0E 075 00800000 00000000 01 = 00000000 01 +0E 076 00800000 00000000 10 = 00000001 10 +0E 077 00800000 00000000 11 = 00000000 11 +0E 078 01000000 00000000 00 = 00000001 00 +0E 079 01000000 00000000 01 = 00000000 01 +0E 07A 01000000 00000000 10 = 00000001 10 +0E 07B 01000000 00000000 11 = 00000000 11 +0E 07C 02000000 00000000 00 = 00000001 00 +0E 07D 02000000 00000000 01 = 00000000 01 +0E 07E 02000000 00000000 10 = 00000001 10 +0E 07F 02000000 00000000 11 = 00000000 11 +0E 080 04000000 00000000 00 = 00000001 00 +0E 081 04000000 00000000 01 = 00000000 01 +0E 082 04000000 00000000 10 = 00000001 10 +0E 083 04000000 00000000 11 = 00000000 11 +0E 084 08000000 00000000 00 = 00000001 00 +0E 085 08000000 00000000 01 = 00000000 01 +0E 086 08000000 00000000 10 = 00000001 10 +0E 087 08000000 00000000 11 = 00000000 11 +0E 088 10000000 00000000 00 = 00000001 00 +0E 089 10000000 00000000 01 = 00000000 01 +0E 08A 10000000 00000000 10 = 00000001 10 +0E 08B 10000000 00000000 11 = 00000000 11 +0E 08C 20000000 00000000 00 = 00000001 00 +0E 08D 20000000 00000000 01 = 00000000 01 +0E 08E 20000000 00000000 10 = 00000001 10 +0E 08F 20000000 00000000 11 = 00000000 11 +0E 090 40000000 00000000 00 = 00000001 00 +0E 091 40000000 00000000 01 = 00000000 01 +0E 092 40000000 00000000 10 = 00000001 10 +0E 093 40000000 00000000 11 = 00000000 11 +0E 094 80000000 00000000 00 = 00000001 00 +0E 095 80000000 00000000 01 = 00000000 01 +0E 096 80000000 00000000 10 = 00000001 10 +0E 097 80000000 00000000 11 = 00000000 11 +modcz ---D---- ---S---- CZ = ---Q---- CZ +0F 000 00000000 00000000 00 = 00000000 00 +0F 001 00000000 00000000 01 = 00000000 00 +0F 002 00000000 00000000 10 = 00000000 00 +0F 003 00000000 00000000 11 = 00000000 00 +0F 004 00000001 00000000 00 = 00000001 01 +0F 005 00000001 00000000 01 = 00000001 00 +0F 006 00000001 00000000 10 = 00000001 00 +0F 007 00000001 00000000 11 = 00000001 00 +0F 008 00000002 00000000 00 = 00000002 00 +0F 009 00000002 00000000 01 = 00000002 01 +0F 00A 00000002 00000000 10 = 00000002 00 +0F 00B 00000002 00000000 11 = 00000002 00 +0F 00C 00000003 00000000 00 = 00000003 01 +0F 00D 00000003 00000000 01 = 00000003 01 +0F 00E 00000003 00000000 10 = 00000003 00 +0F 00F 00000003 00000000 11 = 00000003 00 +0F 010 00000004 00000000 00 = 00000004 00 +0F 011 00000004 00000000 01 = 00000004 00 +0F 012 00000004 00000000 10 = 00000004 01 +0F 013 00000004 00000000 11 = 00000004 00 +0F 014 00000005 00000000 00 = 00000005 01 +0F 015 00000005 00000000 01 = 00000005 00 +0F 016 00000005 00000000 10 = 00000005 01 +0F 017 00000005 00000000 11 = 00000005 00 +0F 018 00000006 00000000 00 = 00000006 00 +0F 019 00000006 00000000 01 = 00000006 01 +0F 01A 00000006 00000000 10 = 00000006 01 +0F 01B 00000006 00000000 11 = 00000006 00 +0F 01C 00000007 00000000 00 = 00000007 01 +0F 01D 00000007 00000000 01 = 00000007 01 +0F 01E 00000007 00000000 10 = 00000007 01 +0F 01F 00000007 00000000 11 = 00000007 00 +0F 020 00000008 00000000 00 = 00000008 00 +0F 021 00000008 00000000 01 = 00000008 00 +0F 022 00000008 00000000 10 = 00000008 00 +0F 023 00000008 00000000 11 = 00000008 01 +0F 024 00000009 00000000 00 = 00000009 01 +0F 025 00000009 00000000 01 = 00000009 00 +0F 026 00000009 00000000 10 = 00000009 00 +0F 027 00000009 00000000 11 = 00000009 01 +0F 028 0000000A 00000000 00 = 0000000A 00 +0F 029 0000000A 00000000 01 = 0000000A 01 +0F 02A 0000000A 00000000 10 = 0000000A 00 +0F 02B 0000000A 00000000 11 = 0000000A 01 +0F 02C 0000000B 00000000 00 = 0000000B 01 +0F 02D 0000000B 00000000 01 = 0000000B 01 +0F 02E 0000000B 00000000 10 = 0000000B 00 +0F 02F 0000000B 00000000 11 = 0000000B 01 +0F 030 0000000C 00000000 00 = 0000000C 00 +0F 031 0000000C 00000000 01 = 0000000C 00 +0F 032 0000000C 00000000 10 = 0000000C 01 +0F 033 0000000C 00000000 11 = 0000000C 01 +0F 034 0000000D 00000000 00 = 0000000D 01 +0F 035 0000000D 00000000 01 = 0000000D 00 +0F 036 0000000D 00000000 10 = 0000000D 01 +0F 037 0000000D 00000000 11 = 0000000D 01 +0F 038 0000000E 00000000 00 = 0000000E 00 +0F 039 0000000E 00000000 01 = 0000000E 01 +0F 03A 0000000E 00000000 10 = 0000000E 01 +0F 03B 0000000E 00000000 11 = 0000000E 01 +0F 03C 0000000F 00000000 00 = 0000000F 01 +0F 03D 0000000F 00000000 01 = 0000000F 01 +0F 03E 0000000F 00000000 10 = 0000000F 01 +0F 03F 0000000F 00000000 11 = 0000000F 01 +0F 040 00000010 00000000 00 = 00000010 10 +0F 041 00000010 00000000 01 = 00000010 00 +0F 042 00000010 00000000 10 = 00000010 00 +0F 043 00000010 00000000 11 = 00000010 00 +0F 044 00000011 00000000 00 = 00000011 11 +0F 045 00000011 00000000 01 = 00000011 00 +0F 046 00000011 00000000 10 = 00000011 00 +0F 047 00000011 00000000 11 = 00000011 00 +0F 048 00000012 00000000 00 = 00000012 10 +0F 049 00000012 00000000 01 = 00000012 01 +0F 04A 00000012 00000000 10 = 00000012 00 +0F 04B 00000012 00000000 11 = 00000012 00 +0F 04C 00000013 00000000 00 = 00000013 11 +0F 04D 00000013 00000000 01 = 00000013 01 +0F 04E 00000013 00000000 10 = 00000013 00 +0F 04F 00000013 00000000 11 = 00000013 00 +0F 050 00000014 00000000 00 = 00000014 10 +0F 051 00000014 00000000 01 = 00000014 00 +0F 052 00000014 00000000 10 = 00000014 01 +0F 053 00000014 00000000 11 = 00000014 00 +0F 054 00000015 00000000 00 = 00000015 11 +0F 055 00000015 00000000 01 = 00000015 00 +0F 056 00000015 00000000 10 = 00000015 01 +0F 057 00000015 00000000 11 = 00000015 00 +0F 058 00000016 00000000 00 = 00000016 10 +0F 059 00000016 00000000 01 = 00000016 01 +0F 05A 00000016 00000000 10 = 00000016 01 +0F 05B 00000016 00000000 11 = 00000016 00 +0F 05C 00000017 00000000 00 = 00000017 11 +0F 05D 00000017 00000000 01 = 00000017 01 +0F 05E 00000017 00000000 10 = 00000017 01 +0F 05F 00000017 00000000 11 = 00000017 00 +0F 060 00000018 00000000 00 = 00000018 10 +0F 061 00000018 00000000 01 = 00000018 00 +0F 062 00000018 00000000 10 = 00000018 00 +0F 063 00000018 00000000 11 = 00000018 01 +0F 064 00000019 00000000 00 = 00000019 11 +0F 065 00000019 00000000 01 = 00000019 00 +0F 066 00000019 00000000 10 = 00000019 00 +0F 067 00000019 00000000 11 = 00000019 01 +0F 068 0000001A 00000000 00 = 0000001A 10 +0F 069 0000001A 00000000 01 = 0000001A 01 +0F 06A 0000001A 00000000 10 = 0000001A 00 +0F 06B 0000001A 00000000 11 = 0000001A 01 +0F 06C 0000001B 00000000 00 = 0000001B 11 +0F 06D 0000001B 00000000 01 = 0000001B 01 +0F 06E 0000001B 00000000 10 = 0000001B 00 +0F 06F 0000001B 00000000 11 = 0000001B 01 +0F 070 0000001C 00000000 00 = 0000001C 10 +0F 071 0000001C 00000000 01 = 0000001C 00 +0F 072 0000001C 00000000 10 = 0000001C 01 +0F 073 0000001C 00000000 11 = 0000001C 01 +0F 074 0000001D 00000000 00 = 0000001D 11 +0F 075 0000001D 00000000 01 = 0000001D 00 +0F 076 0000001D 00000000 10 = 0000001D 01 +0F 077 0000001D 00000000 11 = 0000001D 01 +0F 078 0000001E 00000000 00 = 0000001E 10 +0F 079 0000001E 00000000 01 = 0000001E 01 +0F 07A 0000001E 00000000 10 = 0000001E 01 +0F 07B 0000001E 00000000 11 = 0000001E 01 +0F 07C 0000001F 00000000 00 = 0000001F 11 +0F 07D 0000001F 00000000 01 = 0000001F 01 +0F 07E 0000001F 00000000 10 = 0000001F 01 +0F 07F 0000001F 00000000 11 = 0000001F 01 +0F 080 00000020 00000000 00 = 00000020 00 +0F 081 00000020 00000000 01 = 00000020 10 +0F 082 00000020 00000000 10 = 00000020 00 +0F 083 00000020 00000000 11 = 00000020 00 +0F 084 00000021 00000000 00 = 00000021 01 +0F 085 00000021 00000000 01 = 00000021 10 +0F 086 00000021 00000000 10 = 00000021 00 +0F 087 00000021 00000000 11 = 00000021 00 +0F 088 00000022 00000000 00 = 00000022 00 +0F 089 00000022 00000000 01 = 00000022 11 +0F 08A 00000022 00000000 10 = 00000022 00 +0F 08B 00000022 00000000 11 = 00000022 00 +0F 08C 00000023 00000000 00 = 00000023 01 +0F 08D 00000023 00000000 01 = 00000023 11 +0F 08E 00000023 00000000 10 = 00000023 00 +0F 08F 00000023 00000000 11 = 00000023 00 +0F 090 00000024 00000000 00 = 00000024 00 +0F 091 00000024 00000000 01 = 00000024 10 +0F 092 00000024 00000000 10 = 00000024 01 +0F 093 00000024 00000000 11 = 00000024 00 +0F 094 00000025 00000000 00 = 00000025 01 +0F 095 00000025 00000000 01 = 00000025 10 +0F 096 00000025 00000000 10 = 00000025 01 +0F 097 00000025 00000000 11 = 00000025 00 diff --git a/verify/testhdwq.txt b/verify/testhdwq.txt new file mode 100755 index 0000000..667709b --- /dev/null +++ b/verify/testhdwq.txt @@ -0,0 +1,3221 @@ +instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- +qmul 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +qmul 00000001 00000000 00 00000000 = 00000001 00 00000000 00000000 +qmul 00000002 00000000 00 00000000 = 00000002 00 00000000 00000000 +qmul 7FFFFFFF 00000000 00 00000000 = 7FFFFFFF 00 00000000 00000000 +qmul 80000000 00000000 00 00000000 = 80000000 00 00000000 00000000 +qmul 80000001 00000000 00 00000000 = 80000001 00 00000000 00000000 +qmul FFFFFFFE 00000000 00 00000000 = FFFFFFFE 00 00000000 00000000 +qmul FFFFFFFF 00000000 00 00000000 = FFFFFFFF 00 00000000 00000000 +qmul 00000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +qmul 00000001 00000001 00 00000000 = 00000001 00 00000001 00000000 +qmul 00000002 00000001 00 00000000 = 00000002 00 00000002 00000000 +qmul 7FFFFFFF 00000001 00 00000000 = 7FFFFFFF 00 7FFFFFFF 00000000 +qmul 80000000 00000001 00 00000000 = 80000000 00 80000000 00000000 +qmul 80000001 00000001 00 00000000 = 80000001 00 80000001 00000000 +qmul FFFFFFFE 00000001 00 00000000 = FFFFFFFE 00 FFFFFFFE 00000000 +qmul FFFFFFFF 00000001 00 00000000 = FFFFFFFF 00 FFFFFFFF 00000000 +qmul 00000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +qmul 00000001 00000002 00 00000000 = 00000001 00 00000002 00000000 +qmul 00000002 00000002 00 00000000 = 00000002 00 00000004 00000000 +qmul 7FFFFFFF 00000002 00 00000000 = 7FFFFFFF 00 FFFFFFFE 00000000 +qmul 80000000 00000002 00 00000000 = 80000000 00 00000000 00000001 +qmul 80000001 00000002 00 00000000 = 80000001 00 00000002 00000001 +qmul FFFFFFFE 00000002 00 00000000 = FFFFFFFE 00 FFFFFFFC 00000001 +qmul FFFFFFFF 00000002 00 00000000 = FFFFFFFF 00 FFFFFFFE 00000001 +qmul 00000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +qmul 00000001 7FFFFFFF 00 00000000 = 00000001 00 7FFFFFFF 00000000 +qmul 00000002 7FFFFFFF 00 00000000 = 00000002 00 FFFFFFFE 00000000 +qmul 7FFFFFFF 7FFFFFFF 00 00000000 = 7FFFFFFF 00 00000001 3FFFFFFF +qmul 80000000 7FFFFFFF 00 00000000 = 80000000 00 80000000 3FFFFFFF +qmul 80000001 7FFFFFFF 00 00000000 = 80000001 00 FFFFFFFF 3FFFFFFF +qmul FFFFFFFE 7FFFFFFF 00 00000000 = FFFFFFFE 00 00000002 7FFFFFFE +qmul FFFFFFFF 7FFFFFFF 00 00000000 = FFFFFFFF 00 80000001 7FFFFFFE +qmul 00000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +qmul 00000001 80000000 00 00000000 = 00000001 00 80000000 00000000 +qmul 00000002 80000000 00 00000000 = 00000002 00 00000000 00000001 +qmul 7FFFFFFF 80000000 00 00000000 = 7FFFFFFF 00 80000000 3FFFFFFF +qmul 80000000 80000000 00 00000000 = 80000000 00 00000000 40000000 +qmul 80000001 80000000 00 00000000 = 80000001 00 80000000 40000000 +qmul FFFFFFFE 80000000 00 00000000 = FFFFFFFE 00 00000000 7FFFFFFF +qmul FFFFFFFF 80000000 00 00000000 = FFFFFFFF 00 80000000 7FFFFFFF +qmul 00000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +qmul 00000001 80000001 00 00000000 = 00000001 00 80000001 00000000 +qmul 00000002 80000001 00 00000000 = 00000002 00 00000002 00000001 +qmul 7FFFFFFF 80000001 00 00000000 = 7FFFFFFF 00 FFFFFFFF 3FFFFFFF +qmul 80000000 80000001 00 00000000 = 80000000 00 80000000 40000000 +qmul 80000001 80000001 00 00000000 = 80000001 00 00000001 40000001 +qmul FFFFFFFE 80000001 00 00000000 = FFFFFFFE 00 FFFFFFFE 7FFFFFFF +qmul FFFFFFFF 80000001 00 00000000 = FFFFFFFF 00 7FFFFFFF 80000000 +qmul 00000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +qmul 00000001 FFFFFFFE 00 00000000 = 00000001 00 FFFFFFFE 00000000 +qmul 00000002 FFFFFFFE 00 00000000 = 00000002 00 FFFFFFFC 00000001 +qmul 7FFFFFFF FFFFFFFE 00 00000000 = 7FFFFFFF 00 00000002 7FFFFFFE +qmul 80000000 FFFFFFFE 00 00000000 = 80000000 00 00000000 7FFFFFFF +qmul 80000001 FFFFFFFE 00 00000000 = 80000001 00 FFFFFFFE 7FFFFFFF +qmul FFFFFFFE FFFFFFFE 00 00000000 = FFFFFFFE 00 00000004 FFFFFFFC +qmul FFFFFFFF FFFFFFFE 00 00000000 = FFFFFFFF 00 00000002 FFFFFFFD +qmul 00000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +qmul 00000001 FFFFFFFF 00 00000000 = 00000001 00 FFFFFFFF 00000000 +qmul 00000002 FFFFFFFF 00 00000000 = 00000002 00 FFFFFFFE 00000001 +qmul 7FFFFFFF FFFFFFFF 00 00000000 = 7FFFFFFF 00 80000001 7FFFFFFE +qmul 80000000 FFFFFFFF 00 00000000 = 80000000 00 80000000 7FFFFFFF +qmul 80000001 FFFFFFFF 00 00000000 = 80000001 00 7FFFFFFF 80000000 +qmul FFFFFFFE FFFFFFFF 00 00000000 = FFFFFFFE 00 00000002 FFFFFFFD +qmul FFFFFFFF FFFFFFFF 00 00000000 = FFFFFFFF 00 00000001 FFFFFFFE +instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- +qsqrt 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +qsqrt 00000001 00000000 00 00000000 = 00000001 00 00000001 00000000 +qsqrt 00000002 00000000 00 00000000 = 00000002 00 00000001 00000000 +qsqrt 7FFFFFFF 00000000 00 00000000 = 7FFFFFFF 00 0000B504 00000000 +qsqrt 80000000 00000000 00 00000000 = 80000000 00 0000B504 00000000 +qsqrt 80000001 00000000 00 00000000 = 80000001 00 0000B504 00000000 +qsqrt FFFFFFFE 00000000 00 00000000 = FFFFFFFE 00 0000FFFF 00000000 +qsqrt FFFFFFFF 00000000 00 00000000 = FFFFFFFF 00 0000FFFF 00000000 +qsqrt 00000000 00000001 00 00000000 = 00000000 00 00010000 00000000 +qsqrt 00000001 00000001 00 00000000 = 00000001 00 00010000 00000000 +qsqrt 00000002 00000001 00 00000000 = 00000002 00 00010000 00000000 +qsqrt 7FFFFFFF 00000001 00 00000000 = 7FFFFFFF 00 00013988 00000000 +qsqrt 80000000 00000001 00 00000000 = 80000000 00 00013988 00000000 +qsqrt 80000001 00000001 00 00000000 = 80000001 00 00013988 00000000 +qsqrt FFFFFFFE 00000001 00 00000000 = FFFFFFFE 00 00016A09 00000000 +qsqrt FFFFFFFF 00000001 00 00000000 = FFFFFFFF 00 00016A09 00000000 +qsqrt 00000000 00000002 00 00000000 = 00000000 00 00016A09 00000000 +qsqrt 00000001 00000002 00 00000000 = 00000001 00 00016A09 00000000 +qsqrt 00000002 00000002 00 00000000 = 00000002 00 00016A09 00000000 +qsqrt 7FFFFFFF 00000002 00 00000000 = 7FFFFFFF 00 000194C5 00000000 +qsqrt 80000000 00000002 00 00000000 = 80000000 00 000194C5 00000000 +qsqrt 80000001 00000002 00 00000000 = 80000001 00 000194C5 00000000 +qsqrt FFFFFFFE 00000002 00 00000000 = FFFFFFFE 00 0001BB67 00000000 +qsqrt FFFFFFFF 00000002 00 00000000 = FFFFFFFF 00 0001BB67 00000000 +qsqrt 00000000 7FFFFFFF 00 00000000 = 00000000 00 B504F333 00000000 +qsqrt 00000001 7FFFFFFF 00 00000000 = 00000001 00 B504F333 00000000 +qsqrt 00000002 7FFFFFFF 00 00000000 = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 7FFFFFFF 00 00000000 = 7FFFFFFF 00 B504F333 00000000 +qsqrt 80000000 7FFFFFFF 00 00000000 = 80000000 00 B504F333 00000000 +qsqrt 80000001 7FFFFFFF 00 00000000 = 80000001 00 B504F333 00000000 +qsqrt FFFFFFFE 7FFFFFFF 00 00000000 = FFFFFFFE 00 B504F333 00000000 +qsqrt FFFFFFFF 7FFFFFFF 00 00000000 = FFFFFFFF 00 B504F333 00000000 +qsqrt 00000000 80000000 00 00000000 = 00000000 00 B504F333 00000000 +qsqrt 00000001 80000000 00 00000000 = 00000001 00 B504F333 00000000 +qsqrt 00000002 80000000 00 00000000 = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 80000000 00 00000000 = 7FFFFFFF 00 B504F334 00000000 +qsqrt 80000000 80000000 00 00000000 = 80000000 00 B504F334 00000000 +qsqrt 80000001 80000000 00 00000000 = 80000001 00 B504F334 00000000 +qsqrt FFFFFFFE 80000000 00 00000000 = FFFFFFFE 00 B504F334 00000000 +qsqrt FFFFFFFF 80000000 00 00000000 = FFFFFFFF 00 B504F334 00000000 +qsqrt 00000000 80000001 00 00000000 = 00000000 00 B504F334 00000000 +qsqrt 00000001 80000001 00 00000000 = 00000001 00 B504F334 00000000 +qsqrt 00000002 80000001 00 00000000 = 00000002 00 B504F334 00000000 +qsqrt 7FFFFFFF 80000001 00 00000000 = 7FFFFFFF 00 B504F335 00000000 +qsqrt 80000000 80000001 00 00000000 = 80000000 00 B504F335 00000000 +qsqrt 80000001 80000001 00 00000000 = 80000001 00 B504F335 00000000 +qsqrt FFFFFFFE 80000001 00 00000000 = FFFFFFFE 00 B504F335 00000000 +qsqrt FFFFFFFF 80000001 00 00000000 = FFFFFFFF 00 B504F335 00000000 +qsqrt 00000000 FFFFFFFE 00 00000000 = 00000000 00 FFFFFFFE 00000000 +qsqrt 00000001 FFFFFFFE 00 00000000 = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFE 00 00000000 = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFE 00 00000000 = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFE 00 00000000 = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFE 00 00000000 = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFE 00 00000000 = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFE 00 00000000 = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 FFFFFFFF 00 00000000 = 00000000 00 FFFFFFFF 00000000 +qsqrt 00000001 FFFFFFFF 00 00000000 = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFF 00 00000000 = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFF 00 00000000 = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFF 00 00000000 = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFF 00 00000000 = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFF 00 00000000 = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFF 00 00000000 = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +qsqrt 00000001 00000000 00 00000001 = 00000001 00 00000001 00000000 +qsqrt 00000002 00000000 00 00000001 = 00000002 00 00000001 00000000 +qsqrt 7FFFFFFF 00000000 00 00000001 = 7FFFFFFF 00 0000B504 00000000 +qsqrt 80000000 00000000 00 00000001 = 80000000 00 0000B504 00000000 +qsqrt 80000001 00000000 00 00000001 = 80000001 00 0000B504 00000000 +qsqrt FFFFFFFE 00000000 00 00000001 = FFFFFFFE 00 0000FFFF 00000000 +qsqrt FFFFFFFF 00000000 00 00000001 = FFFFFFFF 00 0000FFFF 00000000 +qsqrt 00000000 00000001 00 00000001 = 00000000 00 00010000 00000000 +qsqrt 00000001 00000001 00 00000001 = 00000001 00 00010000 00000000 +qsqrt 00000002 00000001 00 00000001 = 00000002 00 00010000 00000000 +qsqrt 7FFFFFFF 00000001 00 00000001 = 7FFFFFFF 00 00013988 00000000 +qsqrt 80000000 00000001 00 00000001 = 80000000 00 00013988 00000000 +qsqrt 80000001 00000001 00 00000001 = 80000001 00 00013988 00000000 +qsqrt FFFFFFFE 00000001 00 00000001 = FFFFFFFE 00 00016A09 00000000 +qsqrt FFFFFFFF 00000001 00 00000001 = FFFFFFFF 00 00016A09 00000000 +qsqrt 00000000 00000002 00 00000001 = 00000000 00 00016A09 00000000 +qsqrt 00000001 00000002 00 00000001 = 00000001 00 00016A09 00000000 +qsqrt 00000002 00000002 00 00000001 = 00000002 00 00016A09 00000000 +qsqrt 7FFFFFFF 00000002 00 00000001 = 7FFFFFFF 00 000194C5 00000000 +qsqrt 80000000 00000002 00 00000001 = 80000000 00 000194C5 00000000 +qsqrt 80000001 00000002 00 00000001 = 80000001 00 000194C5 00000000 +qsqrt FFFFFFFE 00000002 00 00000001 = FFFFFFFE 00 0001BB67 00000000 +qsqrt FFFFFFFF 00000002 00 00000001 = FFFFFFFF 00 0001BB67 00000000 +qsqrt 00000000 7FFFFFFF 00 00000001 = 00000000 00 B504F333 00000000 +qsqrt 00000001 7FFFFFFF 00 00000001 = 00000001 00 B504F333 00000000 +qsqrt 00000002 7FFFFFFF 00 00000001 = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 7FFFFFFF 00 00000001 = 7FFFFFFF 00 B504F333 00000000 +qsqrt 80000000 7FFFFFFF 00 00000001 = 80000000 00 B504F333 00000000 +qsqrt 80000001 7FFFFFFF 00 00000001 = 80000001 00 B504F333 00000000 +qsqrt FFFFFFFE 7FFFFFFF 00 00000001 = FFFFFFFE 00 B504F333 00000000 +qsqrt FFFFFFFF 7FFFFFFF 00 00000001 = FFFFFFFF 00 B504F333 00000000 +qsqrt 00000000 80000000 00 00000001 = 00000000 00 B504F333 00000000 +qsqrt 00000001 80000000 00 00000001 = 00000001 00 B504F333 00000000 +qsqrt 00000002 80000000 00 00000001 = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 80000000 00 00000001 = 7FFFFFFF 00 B504F334 00000000 +qsqrt 80000000 80000000 00 00000001 = 80000000 00 B504F334 00000000 +qsqrt 80000001 80000000 00 00000001 = 80000001 00 B504F334 00000000 +qsqrt FFFFFFFE 80000000 00 00000001 = FFFFFFFE 00 B504F334 00000000 +qsqrt FFFFFFFF 80000000 00 00000001 = FFFFFFFF 00 B504F334 00000000 +qsqrt 00000000 80000001 00 00000001 = 00000000 00 B504F334 00000000 +qsqrt 00000001 80000001 00 00000001 = 00000001 00 B504F334 00000000 +qsqrt 00000002 80000001 00 00000001 = 00000002 00 B504F334 00000000 +qsqrt 7FFFFFFF 80000001 00 00000001 = 7FFFFFFF 00 B504F335 00000000 +qsqrt 80000000 80000001 00 00000001 = 80000000 00 B504F335 00000000 +qsqrt 80000001 80000001 00 00000001 = 80000001 00 B504F335 00000000 +qsqrt FFFFFFFE 80000001 00 00000001 = FFFFFFFE 00 B504F335 00000000 +qsqrt FFFFFFFF 80000001 00 00000001 = FFFFFFFF 00 B504F335 00000000 +qsqrt 00000000 FFFFFFFE 00 00000001 = 00000000 00 FFFFFFFE 00000000 +qsqrt 00000001 FFFFFFFE 00 00000001 = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFE 00 00000001 = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFE 00 00000001 = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFE 00 00000001 = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFE 00 00000001 = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFE 00 00000001 = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFE 00 00000001 = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 FFFFFFFF 00 00000001 = 00000000 00 FFFFFFFF 00000000 +qsqrt 00000001 FFFFFFFF 00 00000001 = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFF 00 00000001 = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFF 00 00000001 = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFF 00 00000001 = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFF 00 00000001 = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFF 00 00000001 = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +qsqrt 00000001 00000000 00 00000002 = 00000001 00 00000001 00000000 +qsqrt 00000002 00000000 00 00000002 = 00000002 00 00000001 00000000 +qsqrt 7FFFFFFF 00000000 00 00000002 = 7FFFFFFF 00 0000B504 00000000 +qsqrt 80000000 00000000 00 00000002 = 80000000 00 0000B504 00000000 +qsqrt 80000001 00000000 00 00000002 = 80000001 00 0000B504 00000000 +qsqrt FFFFFFFE 00000000 00 00000002 = FFFFFFFE 00 0000FFFF 00000000 +qsqrt FFFFFFFF 00000000 00 00000002 = FFFFFFFF 00 0000FFFF 00000000 +qsqrt 00000000 00000001 00 00000002 = 00000000 00 00010000 00000000 +qsqrt 00000001 00000001 00 00000002 = 00000001 00 00010000 00000000 +qsqrt 00000002 00000001 00 00000002 = 00000002 00 00010000 00000000 +qsqrt 7FFFFFFF 00000001 00 00000002 = 7FFFFFFF 00 00013988 00000000 +qsqrt 80000000 00000001 00 00000002 = 80000000 00 00013988 00000000 +qsqrt 80000001 00000001 00 00000002 = 80000001 00 00013988 00000000 +qsqrt FFFFFFFE 00000001 00 00000002 = FFFFFFFE 00 00016A09 00000000 +qsqrt FFFFFFFF 00000001 00 00000002 = FFFFFFFF 00 00016A09 00000000 +qsqrt 00000000 00000002 00 00000002 = 00000000 00 00016A09 00000000 +qsqrt 00000001 00000002 00 00000002 = 00000001 00 00016A09 00000000 +qsqrt 00000002 00000002 00 00000002 = 00000002 00 00016A09 00000000 +qsqrt 7FFFFFFF 00000002 00 00000002 = 7FFFFFFF 00 000194C5 00000000 +qsqrt 80000000 00000002 00 00000002 = 80000000 00 000194C5 00000000 +qsqrt 80000001 00000002 00 00000002 = 80000001 00 000194C5 00000000 +qsqrt FFFFFFFE 00000002 00 00000002 = FFFFFFFE 00 0001BB67 00000000 +qsqrt FFFFFFFF 00000002 00 00000002 = FFFFFFFF 00 0001BB67 00000000 +qsqrt 00000000 7FFFFFFF 00 00000002 = 00000000 00 B504F333 00000000 +qsqrt 00000001 7FFFFFFF 00 00000002 = 00000001 00 B504F333 00000000 +qsqrt 00000002 7FFFFFFF 00 00000002 = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 7FFFFFFF 00 00000002 = 7FFFFFFF 00 B504F333 00000000 +qsqrt 80000000 7FFFFFFF 00 00000002 = 80000000 00 B504F333 00000000 +qsqrt 80000001 7FFFFFFF 00 00000002 = 80000001 00 B504F333 00000000 +qsqrt FFFFFFFE 7FFFFFFF 00 00000002 = FFFFFFFE 00 B504F333 00000000 +qsqrt FFFFFFFF 7FFFFFFF 00 00000002 = FFFFFFFF 00 B504F333 00000000 +qsqrt 00000000 80000000 00 00000002 = 00000000 00 B504F333 00000000 +qsqrt 00000001 80000000 00 00000002 = 00000001 00 B504F333 00000000 +qsqrt 00000002 80000000 00 00000002 = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 80000000 00 00000002 = 7FFFFFFF 00 B504F334 00000000 +qsqrt 80000000 80000000 00 00000002 = 80000000 00 B504F334 00000000 +qsqrt 80000001 80000000 00 00000002 = 80000001 00 B504F334 00000000 +qsqrt FFFFFFFE 80000000 00 00000002 = FFFFFFFE 00 B504F334 00000000 +qsqrt FFFFFFFF 80000000 00 00000002 = FFFFFFFF 00 B504F334 00000000 +qsqrt 00000000 80000001 00 00000002 = 00000000 00 B504F334 00000000 +qsqrt 00000001 80000001 00 00000002 = 00000001 00 B504F334 00000000 +qsqrt 00000002 80000001 00 00000002 = 00000002 00 B504F334 00000000 +qsqrt 7FFFFFFF 80000001 00 00000002 = 7FFFFFFF 00 B504F335 00000000 +qsqrt 80000000 80000001 00 00000002 = 80000000 00 B504F335 00000000 +qsqrt 80000001 80000001 00 00000002 = 80000001 00 B504F335 00000000 +qsqrt FFFFFFFE 80000001 00 00000002 = FFFFFFFE 00 B504F335 00000000 +qsqrt FFFFFFFF 80000001 00 00000002 = FFFFFFFF 00 B504F335 00000000 +qsqrt 00000000 FFFFFFFE 00 00000002 = 00000000 00 FFFFFFFE 00000000 +qsqrt 00000001 FFFFFFFE 00 00000002 = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFE 00 00000002 = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFE 00 00000002 = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFE 00 00000002 = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFE 00 00000002 = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFE 00 00000002 = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFE 00 00000002 = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 FFFFFFFF 00 00000002 = 00000000 00 FFFFFFFF 00000000 +qsqrt 00000001 FFFFFFFF 00 00000002 = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFF 00 00000002 = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFF 00 00000002 = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFF 00 00000002 = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFF 00 00000002 = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFF 00 00000002 = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +qsqrt 00000001 00000000 00 7FFFFFFF = 00000001 00 00000001 00000000 +qsqrt 00000002 00000000 00 7FFFFFFF = 00000002 00 00000001 00000000 +qsqrt 7FFFFFFF 00000000 00 7FFFFFFF = 7FFFFFFF 00 0000B504 00000000 +qsqrt 80000000 00000000 00 7FFFFFFF = 80000000 00 0000B504 00000000 +qsqrt 80000001 00000000 00 7FFFFFFF = 80000001 00 0000B504 00000000 +qsqrt FFFFFFFE 00000000 00 7FFFFFFF = FFFFFFFE 00 0000FFFF 00000000 +qsqrt FFFFFFFF 00000000 00 7FFFFFFF = FFFFFFFF 00 0000FFFF 00000000 +qsqrt 00000000 00000001 00 7FFFFFFF = 00000000 00 00010000 00000000 +qsqrt 00000001 00000001 00 7FFFFFFF = 00000001 00 00010000 00000000 +qsqrt 00000002 00000001 00 7FFFFFFF = 00000002 00 00010000 00000000 +qsqrt 7FFFFFFF 00000001 00 7FFFFFFF = 7FFFFFFF 00 00013988 00000000 +qsqrt 80000000 00000001 00 7FFFFFFF = 80000000 00 00013988 00000000 +qsqrt 80000001 00000001 00 7FFFFFFF = 80000001 00 00013988 00000000 +qsqrt FFFFFFFE 00000001 00 7FFFFFFF = FFFFFFFE 00 00016A09 00000000 +qsqrt FFFFFFFF 00000001 00 7FFFFFFF = FFFFFFFF 00 00016A09 00000000 +qsqrt 00000000 00000002 00 7FFFFFFF = 00000000 00 00016A09 00000000 +qsqrt 00000001 00000002 00 7FFFFFFF = 00000001 00 00016A09 00000000 +qsqrt 00000002 00000002 00 7FFFFFFF = 00000002 00 00016A09 00000000 +qsqrt 7FFFFFFF 00000002 00 7FFFFFFF = 7FFFFFFF 00 000194C5 00000000 +qsqrt 80000000 00000002 00 7FFFFFFF = 80000000 00 000194C5 00000000 +qsqrt 80000001 00000002 00 7FFFFFFF = 80000001 00 000194C5 00000000 +qsqrt FFFFFFFE 00000002 00 7FFFFFFF = FFFFFFFE 00 0001BB67 00000000 +qsqrt FFFFFFFF 00000002 00 7FFFFFFF = FFFFFFFF 00 0001BB67 00000000 +qsqrt 00000000 7FFFFFFF 00 7FFFFFFF = 00000000 00 B504F333 00000000 +qsqrt 00000001 7FFFFFFF 00 7FFFFFFF = 00000001 00 B504F333 00000000 +qsqrt 00000002 7FFFFFFF 00 7FFFFFFF = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 B504F333 00000000 +qsqrt 80000000 7FFFFFFF 00 7FFFFFFF = 80000000 00 B504F333 00000000 +qsqrt 80000001 7FFFFFFF 00 7FFFFFFF = 80000001 00 B504F333 00000000 +qsqrt FFFFFFFE 7FFFFFFF 00 7FFFFFFF = FFFFFFFE 00 B504F333 00000000 +qsqrt FFFFFFFF 7FFFFFFF 00 7FFFFFFF = FFFFFFFF 00 B504F333 00000000 +qsqrt 00000000 80000000 00 7FFFFFFF = 00000000 00 B504F333 00000000 +qsqrt 00000001 80000000 00 7FFFFFFF = 00000001 00 B504F333 00000000 +qsqrt 00000002 80000000 00 7FFFFFFF = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 80000000 00 7FFFFFFF = 7FFFFFFF 00 B504F334 00000000 +qsqrt 80000000 80000000 00 7FFFFFFF = 80000000 00 B504F334 00000000 +qsqrt 80000001 80000000 00 7FFFFFFF = 80000001 00 B504F334 00000000 +qsqrt FFFFFFFE 80000000 00 7FFFFFFF = FFFFFFFE 00 B504F334 00000000 +qsqrt FFFFFFFF 80000000 00 7FFFFFFF = FFFFFFFF 00 B504F334 00000000 +qsqrt 00000000 80000001 00 7FFFFFFF = 00000000 00 B504F334 00000000 +qsqrt 00000001 80000001 00 7FFFFFFF = 00000001 00 B504F334 00000000 +qsqrt 00000002 80000001 00 7FFFFFFF = 00000002 00 B504F334 00000000 +qsqrt 7FFFFFFF 80000001 00 7FFFFFFF = 7FFFFFFF 00 B504F335 00000000 +qsqrt 80000000 80000001 00 7FFFFFFF = 80000000 00 B504F335 00000000 +qsqrt 80000001 80000001 00 7FFFFFFF = 80000001 00 B504F335 00000000 +qsqrt FFFFFFFE 80000001 00 7FFFFFFF = FFFFFFFE 00 B504F335 00000000 +qsqrt FFFFFFFF 80000001 00 7FFFFFFF = FFFFFFFF 00 B504F335 00000000 +qsqrt 00000000 FFFFFFFE 00 7FFFFFFF = 00000000 00 FFFFFFFE 00000000 +qsqrt 00000001 FFFFFFFE 00 7FFFFFFF = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFE 00 7FFFFFFF = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFE 00 7FFFFFFF = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFE 00 7FFFFFFF = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFE 00 7FFFFFFF = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFE 00 7FFFFFFF = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 FFFFFFFF 00 7FFFFFFF = 00000000 00 FFFFFFFF 00000000 +qsqrt 00000001 FFFFFFFF 00 7FFFFFFF = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFF 00 7FFFFFFF = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFF 00 7FFFFFFF = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFF 00 7FFFFFFF = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFF 00 7FFFFFFF = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +qsqrt 00000001 00000000 00 80000000 = 00000001 00 00000001 00000000 +qsqrt 00000002 00000000 00 80000000 = 00000002 00 00000001 00000000 +qsqrt 7FFFFFFF 00000000 00 80000000 = 7FFFFFFF 00 0000B504 00000000 +qsqrt 80000000 00000000 00 80000000 = 80000000 00 0000B504 00000000 +qsqrt 80000001 00000000 00 80000000 = 80000001 00 0000B504 00000000 +qsqrt FFFFFFFE 00000000 00 80000000 = FFFFFFFE 00 0000FFFF 00000000 +qsqrt FFFFFFFF 00000000 00 80000000 = FFFFFFFF 00 0000FFFF 00000000 +qsqrt 00000000 00000001 00 80000000 = 00000000 00 00010000 00000000 +qsqrt 00000001 00000001 00 80000000 = 00000001 00 00010000 00000000 +qsqrt 00000002 00000001 00 80000000 = 00000002 00 00010000 00000000 +qsqrt 7FFFFFFF 00000001 00 80000000 = 7FFFFFFF 00 00013988 00000000 +qsqrt 80000000 00000001 00 80000000 = 80000000 00 00013988 00000000 +qsqrt 80000001 00000001 00 80000000 = 80000001 00 00013988 00000000 +qsqrt FFFFFFFE 00000001 00 80000000 = FFFFFFFE 00 00016A09 00000000 +qsqrt FFFFFFFF 00000001 00 80000000 = FFFFFFFF 00 00016A09 00000000 +qsqrt 00000000 00000002 00 80000000 = 00000000 00 00016A09 00000000 +qsqrt 00000001 00000002 00 80000000 = 00000001 00 00016A09 00000000 +qsqrt 00000002 00000002 00 80000000 = 00000002 00 00016A09 00000000 +qsqrt 7FFFFFFF 00000002 00 80000000 = 7FFFFFFF 00 000194C5 00000000 +qsqrt 80000000 00000002 00 80000000 = 80000000 00 000194C5 00000000 +qsqrt 80000001 00000002 00 80000000 = 80000001 00 000194C5 00000000 +qsqrt FFFFFFFE 00000002 00 80000000 = FFFFFFFE 00 0001BB67 00000000 +qsqrt FFFFFFFF 00000002 00 80000000 = FFFFFFFF 00 0001BB67 00000000 +qsqrt 00000000 7FFFFFFF 00 80000000 = 00000000 00 B504F333 00000000 +qsqrt 00000001 7FFFFFFF 00 80000000 = 00000001 00 B504F333 00000000 +qsqrt 00000002 7FFFFFFF 00 80000000 = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 7FFFFFFF 00 80000000 = 7FFFFFFF 00 B504F333 00000000 +qsqrt 80000000 7FFFFFFF 00 80000000 = 80000000 00 B504F333 00000000 +qsqrt 80000001 7FFFFFFF 00 80000000 = 80000001 00 B504F333 00000000 +qsqrt FFFFFFFE 7FFFFFFF 00 80000000 = FFFFFFFE 00 B504F333 00000000 +qsqrt FFFFFFFF 7FFFFFFF 00 80000000 = FFFFFFFF 00 B504F333 00000000 +qsqrt 00000000 80000000 00 80000000 = 00000000 00 B504F333 00000000 +qsqrt 00000001 80000000 00 80000000 = 00000001 00 B504F333 00000000 +qsqrt 00000002 80000000 00 80000000 = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 80000000 00 80000000 = 7FFFFFFF 00 B504F334 00000000 +qsqrt 80000000 80000000 00 80000000 = 80000000 00 B504F334 00000000 +qsqrt 80000001 80000000 00 80000000 = 80000001 00 B504F334 00000000 +qsqrt FFFFFFFE 80000000 00 80000000 = FFFFFFFE 00 B504F334 00000000 +qsqrt FFFFFFFF 80000000 00 80000000 = FFFFFFFF 00 B504F334 00000000 +qsqrt 00000000 80000001 00 80000000 = 00000000 00 B504F334 00000000 +qsqrt 00000001 80000001 00 80000000 = 00000001 00 B504F334 00000000 +qsqrt 00000002 80000001 00 80000000 = 00000002 00 B504F334 00000000 +qsqrt 7FFFFFFF 80000001 00 80000000 = 7FFFFFFF 00 B504F335 00000000 +qsqrt 80000000 80000001 00 80000000 = 80000000 00 B504F335 00000000 +qsqrt 80000001 80000001 00 80000000 = 80000001 00 B504F335 00000000 +qsqrt FFFFFFFE 80000001 00 80000000 = FFFFFFFE 00 B504F335 00000000 +qsqrt FFFFFFFF 80000001 00 80000000 = FFFFFFFF 00 B504F335 00000000 +qsqrt 00000000 FFFFFFFE 00 80000000 = 00000000 00 FFFFFFFE 00000000 +qsqrt 00000001 FFFFFFFE 00 80000000 = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFE 00 80000000 = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFE 00 80000000 = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFE 00 80000000 = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFE 00 80000000 = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFE 00 80000000 = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFE 00 80000000 = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 FFFFFFFF 00 80000000 = 00000000 00 FFFFFFFF 00000000 +qsqrt 00000001 FFFFFFFF 00 80000000 = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFF 00 80000000 = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFF 00 80000000 = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFF 00 80000000 = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFF 00 80000000 = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFF 00 80000000 = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFF 00 80000000 = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +qsqrt 00000001 00000000 00 80000001 = 00000001 00 00000001 00000000 +qsqrt 00000002 00000000 00 80000001 = 00000002 00 00000001 00000000 +qsqrt 7FFFFFFF 00000000 00 80000001 = 7FFFFFFF 00 0000B504 00000000 +qsqrt 80000000 00000000 00 80000001 = 80000000 00 0000B504 00000000 +qsqrt 80000001 00000000 00 80000001 = 80000001 00 0000B504 00000000 +qsqrt FFFFFFFE 00000000 00 80000001 = FFFFFFFE 00 0000FFFF 00000000 +qsqrt FFFFFFFF 00000000 00 80000001 = FFFFFFFF 00 0000FFFF 00000000 +qsqrt 00000000 00000001 00 80000001 = 00000000 00 00010000 00000000 +qsqrt 00000001 00000001 00 80000001 = 00000001 00 00010000 00000000 +qsqrt 00000002 00000001 00 80000001 = 00000002 00 00010000 00000000 +qsqrt 7FFFFFFF 00000001 00 80000001 = 7FFFFFFF 00 00013988 00000000 +qsqrt 80000000 00000001 00 80000001 = 80000000 00 00013988 00000000 +qsqrt 80000001 00000001 00 80000001 = 80000001 00 00013988 00000000 +qsqrt FFFFFFFE 00000001 00 80000001 = FFFFFFFE 00 00016A09 00000000 +qsqrt FFFFFFFF 00000001 00 80000001 = FFFFFFFF 00 00016A09 00000000 +qsqrt 00000000 00000002 00 80000001 = 00000000 00 00016A09 00000000 +qsqrt 00000001 00000002 00 80000001 = 00000001 00 00016A09 00000000 +qsqrt 00000002 00000002 00 80000001 = 00000002 00 00016A09 00000000 +qsqrt 7FFFFFFF 00000002 00 80000001 = 7FFFFFFF 00 000194C5 00000000 +qsqrt 80000000 00000002 00 80000001 = 80000000 00 000194C5 00000000 +qsqrt 80000001 00000002 00 80000001 = 80000001 00 000194C5 00000000 +qsqrt FFFFFFFE 00000002 00 80000001 = FFFFFFFE 00 0001BB67 00000000 +qsqrt FFFFFFFF 00000002 00 80000001 = FFFFFFFF 00 0001BB67 00000000 +qsqrt 00000000 7FFFFFFF 00 80000001 = 00000000 00 B504F333 00000000 +qsqrt 00000001 7FFFFFFF 00 80000001 = 00000001 00 B504F333 00000000 +qsqrt 00000002 7FFFFFFF 00 80000001 = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 7FFFFFFF 00 80000001 = 7FFFFFFF 00 B504F333 00000000 +qsqrt 80000000 7FFFFFFF 00 80000001 = 80000000 00 B504F333 00000000 +qsqrt 80000001 7FFFFFFF 00 80000001 = 80000001 00 B504F333 00000000 +qsqrt FFFFFFFE 7FFFFFFF 00 80000001 = FFFFFFFE 00 B504F333 00000000 +qsqrt FFFFFFFF 7FFFFFFF 00 80000001 = FFFFFFFF 00 B504F333 00000000 +qsqrt 00000000 80000000 00 80000001 = 00000000 00 B504F333 00000000 +qsqrt 00000001 80000000 00 80000001 = 00000001 00 B504F333 00000000 +qsqrt 00000002 80000000 00 80000001 = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 80000000 00 80000001 = 7FFFFFFF 00 B504F334 00000000 +qsqrt 80000000 80000000 00 80000001 = 80000000 00 B504F334 00000000 +qsqrt 80000001 80000000 00 80000001 = 80000001 00 B504F334 00000000 +qsqrt FFFFFFFE 80000000 00 80000001 = FFFFFFFE 00 B504F334 00000000 +qsqrt FFFFFFFF 80000000 00 80000001 = FFFFFFFF 00 B504F334 00000000 +qsqrt 00000000 80000001 00 80000001 = 00000000 00 B504F334 00000000 +qsqrt 00000001 80000001 00 80000001 = 00000001 00 B504F334 00000000 +qsqrt 00000002 80000001 00 80000001 = 00000002 00 B504F334 00000000 +qsqrt 7FFFFFFF 80000001 00 80000001 = 7FFFFFFF 00 B504F335 00000000 +qsqrt 80000000 80000001 00 80000001 = 80000000 00 B504F335 00000000 +qsqrt 80000001 80000001 00 80000001 = 80000001 00 B504F335 00000000 +qsqrt FFFFFFFE 80000001 00 80000001 = FFFFFFFE 00 B504F335 00000000 +qsqrt FFFFFFFF 80000001 00 80000001 = FFFFFFFF 00 B504F335 00000000 +qsqrt 00000000 FFFFFFFE 00 80000001 = 00000000 00 FFFFFFFE 00000000 +qsqrt 00000001 FFFFFFFE 00 80000001 = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFE 00 80000001 = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFE 00 80000001 = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFE 00 80000001 = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFE 00 80000001 = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFE 00 80000001 = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFE 00 80000001 = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 FFFFFFFF 00 80000001 = 00000000 00 FFFFFFFF 00000000 +qsqrt 00000001 FFFFFFFF 00 80000001 = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFF 00 80000001 = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFF 00 80000001 = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFF 00 80000001 = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFF 00 80000001 = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFF 00 80000001 = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +qsqrt 00000001 00000000 00 FFFFFFFE = 00000001 00 00000001 00000000 +qsqrt 00000002 00000000 00 FFFFFFFE = 00000002 00 00000001 00000000 +qsqrt 7FFFFFFF 00000000 00 FFFFFFFE = 7FFFFFFF 00 0000B504 00000000 +qsqrt 80000000 00000000 00 FFFFFFFE = 80000000 00 0000B504 00000000 +qsqrt 80000001 00000000 00 FFFFFFFE = 80000001 00 0000B504 00000000 +qsqrt FFFFFFFE 00000000 00 FFFFFFFE = FFFFFFFE 00 0000FFFF 00000000 +qsqrt FFFFFFFF 00000000 00 FFFFFFFE = FFFFFFFF 00 0000FFFF 00000000 +qsqrt 00000000 00000001 00 FFFFFFFE = 00000000 00 00010000 00000000 +qsqrt 00000001 00000001 00 FFFFFFFE = 00000001 00 00010000 00000000 +qsqrt 00000002 00000001 00 FFFFFFFE = 00000002 00 00010000 00000000 +qsqrt 7FFFFFFF 00000001 00 FFFFFFFE = 7FFFFFFF 00 00013988 00000000 +qsqrt 80000000 00000001 00 FFFFFFFE = 80000000 00 00013988 00000000 +qsqrt 80000001 00000001 00 FFFFFFFE = 80000001 00 00013988 00000000 +qsqrt FFFFFFFE 00000001 00 FFFFFFFE = FFFFFFFE 00 00016A09 00000000 +qsqrt FFFFFFFF 00000001 00 FFFFFFFE = FFFFFFFF 00 00016A09 00000000 +qsqrt 00000000 00000002 00 FFFFFFFE = 00000000 00 00016A09 00000000 +qsqrt 00000001 00000002 00 FFFFFFFE = 00000001 00 00016A09 00000000 +qsqrt 00000002 00000002 00 FFFFFFFE = 00000002 00 00016A09 00000000 +qsqrt 7FFFFFFF 00000002 00 FFFFFFFE = 7FFFFFFF 00 000194C5 00000000 +qsqrt 80000000 00000002 00 FFFFFFFE = 80000000 00 000194C5 00000000 +qsqrt 80000001 00000002 00 FFFFFFFE = 80000001 00 000194C5 00000000 +qsqrt FFFFFFFE 00000002 00 FFFFFFFE = FFFFFFFE 00 0001BB67 00000000 +qsqrt FFFFFFFF 00000002 00 FFFFFFFE = FFFFFFFF 00 0001BB67 00000000 +qsqrt 00000000 7FFFFFFF 00 FFFFFFFE = 00000000 00 B504F333 00000000 +qsqrt 00000001 7FFFFFFF 00 FFFFFFFE = 00000001 00 B504F333 00000000 +qsqrt 00000002 7FFFFFFF 00 FFFFFFFE = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 7FFFFFFF 00 FFFFFFFE = 7FFFFFFF 00 B504F333 00000000 +qsqrt 80000000 7FFFFFFF 00 FFFFFFFE = 80000000 00 B504F333 00000000 +qsqrt 80000001 7FFFFFFF 00 FFFFFFFE = 80000001 00 B504F333 00000000 +qsqrt FFFFFFFE 7FFFFFFF 00 FFFFFFFE = FFFFFFFE 00 B504F333 00000000 +qsqrt FFFFFFFF 7FFFFFFF 00 FFFFFFFE = FFFFFFFF 00 B504F333 00000000 +qsqrt 00000000 80000000 00 FFFFFFFE = 00000000 00 B504F333 00000000 +qsqrt 00000001 80000000 00 FFFFFFFE = 00000001 00 B504F333 00000000 +qsqrt 00000002 80000000 00 FFFFFFFE = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 80000000 00 FFFFFFFE = 7FFFFFFF 00 B504F334 00000000 +qsqrt 80000000 80000000 00 FFFFFFFE = 80000000 00 B504F334 00000000 +qsqrt 80000001 80000000 00 FFFFFFFE = 80000001 00 B504F334 00000000 +qsqrt FFFFFFFE 80000000 00 FFFFFFFE = FFFFFFFE 00 B504F334 00000000 +qsqrt FFFFFFFF 80000000 00 FFFFFFFE = FFFFFFFF 00 B504F334 00000000 +qsqrt 00000000 80000001 00 FFFFFFFE = 00000000 00 B504F334 00000000 +qsqrt 00000001 80000001 00 FFFFFFFE = 00000001 00 B504F334 00000000 +qsqrt 00000002 80000001 00 FFFFFFFE = 00000002 00 B504F334 00000000 +qsqrt 7FFFFFFF 80000001 00 FFFFFFFE = 7FFFFFFF 00 B504F335 00000000 +qsqrt 80000000 80000001 00 FFFFFFFE = 80000000 00 B504F335 00000000 +qsqrt 80000001 80000001 00 FFFFFFFE = 80000001 00 B504F335 00000000 +qsqrt FFFFFFFE 80000001 00 FFFFFFFE = FFFFFFFE 00 B504F335 00000000 +qsqrt FFFFFFFF 80000001 00 FFFFFFFE = FFFFFFFF 00 B504F335 00000000 +qsqrt 00000000 FFFFFFFE 00 FFFFFFFE = 00000000 00 FFFFFFFE 00000000 +qsqrt 00000001 FFFFFFFE 00 FFFFFFFE = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFE 00 FFFFFFFE = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFE 00 FFFFFFFE = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFE 00 FFFFFFFE = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFE 00 FFFFFFFE = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFE 00 FFFFFFFE = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFE 00 FFFFFFFE = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 FFFFFFFF 00 FFFFFFFE = 00000000 00 FFFFFFFF 00000000 +qsqrt 00000001 FFFFFFFF 00 FFFFFFFE = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFF 00 FFFFFFFE = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFF 00 FFFFFFFE = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFF 00 FFFFFFFE = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFF 00 FFFFFFFE = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFF 00 FFFFFFFE = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFF 00 FFFFFFFE = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +qsqrt 00000001 00000000 00 FFFFFFFF = 00000001 00 00000001 00000000 +qsqrt 00000002 00000000 00 FFFFFFFF = 00000002 00 00000001 00000000 +qsqrt 7FFFFFFF 00000000 00 FFFFFFFF = 7FFFFFFF 00 0000B504 00000000 +qsqrt 80000000 00000000 00 FFFFFFFF = 80000000 00 0000B504 00000000 +qsqrt 80000001 00000000 00 FFFFFFFF = 80000001 00 0000B504 00000000 +qsqrt FFFFFFFE 00000000 00 FFFFFFFF = FFFFFFFE 00 0000FFFF 00000000 +qsqrt FFFFFFFF 00000000 00 FFFFFFFF = FFFFFFFF 00 0000FFFF 00000000 +qsqrt 00000000 00000001 00 FFFFFFFF = 00000000 00 00010000 00000000 +qsqrt 00000001 00000001 00 FFFFFFFF = 00000001 00 00010000 00000000 +qsqrt 00000002 00000001 00 FFFFFFFF = 00000002 00 00010000 00000000 +qsqrt 7FFFFFFF 00000001 00 FFFFFFFF = 7FFFFFFF 00 00013988 00000000 +qsqrt 80000000 00000001 00 FFFFFFFF = 80000000 00 00013988 00000000 +qsqrt 80000001 00000001 00 FFFFFFFF = 80000001 00 00013988 00000000 +qsqrt FFFFFFFE 00000001 00 FFFFFFFF = FFFFFFFE 00 00016A09 00000000 +qsqrt FFFFFFFF 00000001 00 FFFFFFFF = FFFFFFFF 00 00016A09 00000000 +qsqrt 00000000 00000002 00 FFFFFFFF = 00000000 00 00016A09 00000000 +qsqrt 00000001 00000002 00 FFFFFFFF = 00000001 00 00016A09 00000000 +qsqrt 00000002 00000002 00 FFFFFFFF = 00000002 00 00016A09 00000000 +qsqrt 7FFFFFFF 00000002 00 FFFFFFFF = 7FFFFFFF 00 000194C5 00000000 +qsqrt 80000000 00000002 00 FFFFFFFF = 80000000 00 000194C5 00000000 +qsqrt 80000001 00000002 00 FFFFFFFF = 80000001 00 000194C5 00000000 +qsqrt FFFFFFFE 00000002 00 FFFFFFFF = FFFFFFFE 00 0001BB67 00000000 +qsqrt FFFFFFFF 00000002 00 FFFFFFFF = FFFFFFFF 00 0001BB67 00000000 +qsqrt 00000000 7FFFFFFF 00 FFFFFFFF = 00000000 00 B504F333 00000000 +qsqrt 00000001 7FFFFFFF 00 FFFFFFFF = 00000001 00 B504F333 00000000 +qsqrt 00000002 7FFFFFFF 00 FFFFFFFF = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 B504F333 00000000 +qsqrt 80000000 7FFFFFFF 00 FFFFFFFF = 80000000 00 B504F333 00000000 +qsqrt 80000001 7FFFFFFF 00 FFFFFFFF = 80000001 00 B504F333 00000000 +qsqrt FFFFFFFE 7FFFFFFF 00 FFFFFFFF = FFFFFFFE 00 B504F333 00000000 +qsqrt FFFFFFFF 7FFFFFFF 00 FFFFFFFF = FFFFFFFF 00 B504F333 00000000 +qsqrt 00000000 80000000 00 FFFFFFFF = 00000000 00 B504F333 00000000 +qsqrt 00000001 80000000 00 FFFFFFFF = 00000001 00 B504F333 00000000 +qsqrt 00000002 80000000 00 FFFFFFFF = 00000002 00 B504F333 00000000 +qsqrt 7FFFFFFF 80000000 00 FFFFFFFF = 7FFFFFFF 00 B504F334 00000000 +qsqrt 80000000 80000000 00 FFFFFFFF = 80000000 00 B504F334 00000000 +qsqrt 80000001 80000000 00 FFFFFFFF = 80000001 00 B504F334 00000000 +qsqrt FFFFFFFE 80000000 00 FFFFFFFF = FFFFFFFE 00 B504F334 00000000 +qsqrt FFFFFFFF 80000000 00 FFFFFFFF = FFFFFFFF 00 B504F334 00000000 +qsqrt 00000000 80000001 00 FFFFFFFF = 00000000 00 B504F334 00000000 +qsqrt 00000001 80000001 00 FFFFFFFF = 00000001 00 B504F334 00000000 +qsqrt 00000002 80000001 00 FFFFFFFF = 00000002 00 B504F334 00000000 +qsqrt 7FFFFFFF 80000001 00 FFFFFFFF = 7FFFFFFF 00 B504F335 00000000 +qsqrt 80000000 80000001 00 FFFFFFFF = 80000000 00 B504F335 00000000 +qsqrt 80000001 80000001 00 FFFFFFFF = 80000001 00 B504F335 00000000 +qsqrt FFFFFFFE 80000001 00 FFFFFFFF = FFFFFFFE 00 B504F335 00000000 +qsqrt FFFFFFFF 80000001 00 FFFFFFFF = FFFFFFFF 00 B504F335 00000000 +qsqrt 00000000 FFFFFFFE 00 FFFFFFFF = 00000000 00 FFFFFFFE 00000000 +qsqrt 00000001 FFFFFFFE 00 FFFFFFFF = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFE 00 FFFFFFFF = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFE 00 FFFFFFFF = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFE 00 FFFFFFFF = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFE 00 FFFFFFFF = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFE 00 FFFFFFFF = FFFFFFFF 00 FFFFFFFF 00000000 +qsqrt 00000000 FFFFFFFF 00 FFFFFFFF = 00000000 00 FFFFFFFF 00000000 +qsqrt 00000001 FFFFFFFF 00 FFFFFFFF = 00000001 00 FFFFFFFF 00000000 +qsqrt 00000002 FFFFFFFF 00 FFFFFFFF = 00000002 00 FFFFFFFF 00000000 +qsqrt 7FFFFFFF FFFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 FFFFFFFF 00000000 +qsqrt 80000000 FFFFFFFF 00 FFFFFFFF = 80000000 00 FFFFFFFF 00000000 +qsqrt 80000001 FFFFFFFF 00 FFFFFFFF = 80000001 00 FFFFFFFF 00000000 +qsqrt FFFFFFFE FFFFFFFF 00 FFFFFFFF = FFFFFFFE 00 FFFFFFFF 00000000 +qsqrt FFFFFFFF FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 FFFFFFFF 00000000 +instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- +qlog 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +qlog 00000001 00000000 00 00000000 = 00000001 00 00000000 00000000 +qlog 00000002 00000000 00 00000000 = 00000002 00 08000000 00000000 +qlog 7FFFFFFF 00000000 00 00000000 = 7FFFFFFF 00 F8000000 00000000 +qlog 80000000 00000000 00 00000000 = 80000000 00 F8000000 00000000 +qlog 80000001 00000000 00 00000000 = 80000001 00 F8000000 00000000 +qlog FFFFFFFE 00000000 00 00000000 = FFFFFFFE 00 FFFFFFFF 00000000 +qlog FFFFFFFF 00000000 00 00000000 = FFFFFFFF 00 FFFFFFFF 00000000 +qlog 00000004 00000000 00 00000000 = 00000004 00 10000000 00000000 +qlog 00000008 00000000 00 00000000 = 00000008 00 18000000 00000000 +qlog 00000010 00000000 00 00000000 = 00000010 00 20000000 00000000 +qlog 00000020 00000000 00 00000000 = 00000020 00 28000000 00000000 +qlog 00000040 00000000 00 00000000 = 00000040 00 30000000 00000000 +qlog 00000080 00000000 00 00000000 = 00000080 00 38000000 00000000 +qlog 00000100 00000000 00 00000000 = 00000100 00 40000000 00000000 +qlog 00000200 00000000 00 00000000 = 00000200 00 48000000 00000000 +qlog 00000400 00000000 00 00000000 = 00000400 00 50000000 00000000 +qlog 00000800 00000000 00 00000000 = 00000800 00 58000000 00000000 +qlog 00001000 00000000 00 00000000 = 00001000 00 60000000 00000000 +qlog 00002000 00000000 00 00000000 = 00002000 00 68000000 00000000 +qlog 00004000 00000000 00 00000000 = 00004000 00 70000000 00000000 +qlog 00008000 00000000 00 00000000 = 00008000 00 78000000 00000000 +qlog 00010000 00000000 00 00000000 = 00010000 00 80000000 00000000 +qlog 00020000 00000000 00 00000000 = 00020000 00 88000000 00000000 +qlog 00040000 00000000 00 00000000 = 00040000 00 90000000 00000000 +qlog 00080000 00000000 00 00000000 = 00080000 00 98000000 00000000 +qlog 00100000 00000000 00 00000000 = 00100000 00 A0000000 00000000 +qlog 00200000 00000000 00 00000000 = 00200000 00 A8000000 00000000 +qlog 00400000 00000000 00 00000000 = 00400000 00 B0000000 00000000 +qlog 00800000 00000000 00 00000000 = 00800000 00 B8000000 00000000 +qlog 01000000 00000000 00 00000000 = 01000000 00 C0000000 00000000 +qlog 02000000 00000000 00 00000000 = 02000000 00 C8000000 00000000 +qlog 04000000 00000000 00 00000000 = 04000000 00 D0000000 00000000 +qlog 08000000 00000000 00 00000000 = 08000000 00 D8000000 00000000 +qlog 10000000 00000000 00 00000000 = 10000000 00 E0000000 00000000 +qlog 20000000 00000000 00 00000000 = 20000000 00 E8000000 00000000 +qlog 40000000 00000000 00 00000000 = 40000000 00 F0000000 00000000 +qlog 80000000 00000000 00 00000000 = 80000000 00 F8000000 00000000 +instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- +qexp 00000000 00000000 00 00000000 = 00000000 00 00000001 00000000 +qexp 00000001 00000000 00 00000000 = 00000001 00 00000001 00000000 +qexp 00000002 00000000 00 00000000 = 00000002 00 00000001 00000000 +qexp 7FFFFFFF 00000000 00 00000000 = 7FFFFFFF 00 00010000 00000000 +qexp 80000000 00000000 00 00000000 = 80000000 00 00010000 00000000 +qexp 80000001 00000000 00 00000000 = 80000001 00 00010000 00000000 +qexp FFFFFFFE 00000000 00 00000000 = FFFFFFFE 00 FFFFFFD4 00000000 +qexp FFFFFFFF 00000000 00 00000000 = FFFFFFFF 00 FFFFFFE8 00000000 +qexp 00000004 00000000 00 00000000 = 00000004 00 00000001 00000000 +qexp 00000008 00000000 00 00000000 = 00000008 00 00000001 00000000 +qexp 00000010 00000000 00 00000000 = 00000010 00 00000001 00000000 +qexp 00000020 00000000 00 00000000 = 00000020 00 00000001 00000000 +qexp 00000040 00000000 00 00000000 = 00000040 00 00000001 00000000 +qexp 00000080 00000000 00 00000000 = 00000080 00 00000001 00000000 +qexp 00000100 00000000 00 00000000 = 00000100 00 00000001 00000000 +qexp 00000200 00000000 00 00000000 = 00000200 00 00000001 00000000 +qexp 00000400 00000000 00 00000000 = 00000400 00 00000001 00000000 +qexp 00000800 00000000 00 00000000 = 00000800 00 00000001 00000000 +qexp 00001000 00000000 00 00000000 = 00001000 00 00000001 00000000 +qexp 00002000 00000000 00 00000000 = 00002000 00 00000001 00000000 +qexp 00004000 00000000 00 00000000 = 00004000 00 00000001 00000000 +qexp 00008000 00000000 00 00000000 = 00008000 00 00000001 00000000 +qexp 00010000 00000000 00 00000000 = 00010000 00 00000001 00000000 +qexp 00020000 00000000 00 00000000 = 00020000 00 00000001 00000000 +qexp 00040000 00000000 00 00000000 = 00040000 00 00000001 00000000 +qexp 00080000 00000000 00 00000000 = 00080000 00 00000001 00000000 +qexp 00100000 00000000 00 00000000 = 00100000 00 00000001 00000000 +qexp 00200000 00000000 00 00000000 = 00200000 00 00000001 00000000 +qexp 00400000 00000000 00 00000000 = 00400000 00 00000001 00000000 +qexp 00800000 00000000 00 00000000 = 00800000 00 00000001 00000000 +qexp 01000000 00000000 00 00000000 = 01000000 00 00000001 00000000 +qexp 02000000 00000000 00 00000000 = 02000000 00 00000001 00000000 +qexp 04000000 00000000 00 00000000 = 04000000 00 00000001 00000000 +qexp 08000000 00000000 00 00000000 = 08000000 00 00000002 00000000 +qexp 10000000 00000000 00 00000000 = 10000000 00 00000004 00000000 +qexp 20000000 00000000 00 00000000 = 20000000 00 00000010 00000000 +qexp 40000000 00000000 00 00000000 = 40000000 00 00000100 00000000 +qexp 80000000 00000000 00 00000000 = 80000000 00 00010000 00000000 +instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- +muxq 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +muxq 00000001 00000000 00 00000000 = 00000001 00 00000000 00000000 +muxq 00000002 00000000 00 00000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000000 00 00000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 00000000 00 00000000 = 80000000 00 00000000 00000000 +muxq 80000001 00000000 00 00000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 00000000 00 00000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000000 00 00000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +muxq 00000001 00000001 00 00000000 = 00000001 00 00000000 00000000 +muxq 00000002 00000001 00 00000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000001 00 00000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 00000001 00 00000000 = 80000000 00 00000000 00000000 +muxq 80000001 00000001 00 00000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 00000001 00 00000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000001 00 00000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +muxq 00000001 00000002 00 00000000 = 00000001 00 00000000 00000000 +muxq 00000002 00000002 00 00000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000002 00 00000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 00000002 00 00000000 = 80000000 00 00000000 00000000 +muxq 80000001 00000002 00 00000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 00000002 00 00000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000002 00 00000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +muxq 00000001 7FFFFFFF 00 00000000 = 00000001 00 00000000 00000000 +muxq 00000002 7FFFFFFF 00 00000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 7FFFFFFF 00 00000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 7FFFFFFF 00 00000000 = 80000000 00 00000000 00000000 +muxq 80000001 7FFFFFFF 00 00000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 7FFFFFFF 00 00000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 7FFFFFFF 00 00000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +muxq 00000001 80000000 00 00000000 = 00000001 00 00000000 00000000 +muxq 00000002 80000000 00 00000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 80000000 00 00000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 80000000 00 00000000 = 80000000 00 00000000 00000000 +muxq 80000001 80000000 00 00000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000000 00 00000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 80000000 00 00000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +muxq 00000001 80000001 00 00000000 = 00000001 00 00000000 00000000 +muxq 00000002 80000001 00 00000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 80000001 00 00000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 80000001 00 00000000 = 80000000 00 00000000 00000000 +muxq 80000001 80000001 00 00000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000001 00 00000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 80000001 00 00000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +muxq 00000001 FFFFFFFE 00 00000000 = 00000001 00 00000000 00000000 +muxq 00000002 FFFFFFFE 00 00000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFE 00 00000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFE 00 00000000 = 80000000 00 00000000 00000000 +muxq 80000001 FFFFFFFE 00 00000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFE 00 00000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFE 00 00000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +muxq 00000001 FFFFFFFF 00 00000000 = 00000001 00 00000000 00000000 +muxq 00000002 FFFFFFFF 00 00000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFF 00 00000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFF 00 00000000 = 80000000 00 00000000 00000000 +muxq 80000001 FFFFFFFF 00 00000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFF 00 00000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFF 00 00000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +muxq 00000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +muxq 00000002 00000000 00 00000001 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000000 00 00000001 = 7FFFFFFE 00 00000000 00000000 +muxq 80000000 00000000 00 00000001 = 80000000 00 00000000 00000000 +muxq 80000001 00000000 00 00000001 = 80000000 00 00000000 00000000 +muxq FFFFFFFE 00000000 00 00000001 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000000 00 00000001 = FFFFFFFE 00 00000000 00000000 +muxq 00000000 00000001 00 00000001 = 00000001 00 00000000 00000000 +muxq 00000001 00000001 00 00000001 = 00000001 00 00000000 00000000 +muxq 00000002 00000001 00 00000001 = 00000003 00 00000000 00000000 +muxq 7FFFFFFF 00000001 00 00000001 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 00000001 00 00000001 = 80000001 00 00000000 00000000 +muxq 80000001 00000001 00 00000001 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 00000001 00 00000001 = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFF 00000001 00 00000001 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 00000002 00 00000001 = 00000000 00 00000000 00000000 +muxq 00000001 00000002 00 00000001 = 00000000 00 00000000 00000000 +muxq 00000002 00000002 00 00000001 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000002 00 00000001 = 7FFFFFFE 00 00000000 00000000 +muxq 80000000 00000002 00 00000001 = 80000000 00 00000000 00000000 +muxq 80000001 00000002 00 00000001 = 80000000 00 00000000 00000000 +muxq FFFFFFFE 00000002 00 00000001 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000002 00 00000001 = FFFFFFFE 00 00000000 00000000 +muxq 00000000 7FFFFFFF 00 00000001 = 00000001 00 00000000 00000000 +muxq 00000001 7FFFFFFF 00 00000001 = 00000001 00 00000000 00000000 +muxq 00000002 7FFFFFFF 00 00000001 = 00000003 00 00000000 00000000 +muxq 7FFFFFFF 7FFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 7FFFFFFF 00 00000001 = 80000001 00 00000000 00000000 +muxq 80000001 7FFFFFFF 00 00000001 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFF 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 80000000 00 00000001 = 00000000 00 00000000 00000000 +muxq 00000001 80000000 00 00000001 = 00000000 00 00000000 00000000 +muxq 00000002 80000000 00 00000001 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 80000000 00 00000001 = 7FFFFFFE 00 00000000 00000000 +muxq 80000000 80000000 00 00000001 = 80000000 00 00000000 00000000 +muxq 80000001 80000000 00 00000001 = 80000000 00 00000000 00000000 +muxq FFFFFFFE 80000000 00 00000001 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 80000000 00 00000001 = FFFFFFFE 00 00000000 00000000 +muxq 00000000 80000001 00 00000001 = 00000001 00 00000000 00000000 +muxq 00000001 80000001 00 00000001 = 00000001 00 00000000 00000000 +muxq 00000002 80000001 00 00000001 = 00000003 00 00000000 00000000 +muxq 7FFFFFFF 80000001 00 00000001 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 80000001 00 00000001 = 80000001 00 00000000 00000000 +muxq 80000001 80000001 00 00000001 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000001 00 00000001 = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFF 80000001 00 00000001 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +muxq 00000001 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +muxq 00000002 FFFFFFFE 00 00000001 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFE 00 00000001 = 7FFFFFFE 00 00000000 00000000 +muxq 80000000 FFFFFFFE 00 00000001 = 80000000 00 00000000 00000000 +muxq 80000001 FFFFFFFE 00 00000001 = 80000000 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +muxq 00000000 FFFFFFFF 00 00000001 = 00000001 00 00000000 00000000 +muxq 00000001 FFFFFFFF 00 00000001 = 00000001 00 00000000 00000000 +muxq 00000002 FFFFFFFF 00 00000001 = 00000003 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFF 00 00000001 = 80000001 00 00000000 00000000 +muxq 80000001 FFFFFFFF 00 00000001 = 80000001 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +muxq 00000001 00000000 00 00000002 = 00000001 00 00000000 00000000 +muxq 00000002 00000000 00 00000002 = 00000000 00 00000000 00000000 +muxq 7FFFFFFF 00000000 00 00000002 = 7FFFFFFD 00 00000000 00000000 +muxq 80000000 00000000 00 00000002 = 80000000 00 00000000 00000000 +muxq 80000001 00000000 00 00000002 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 00000000 00 00000002 = FFFFFFFC 00 00000000 00000000 +muxq FFFFFFFF 00000000 00 00000002 = FFFFFFFD 00 00000000 00000000 +muxq 00000000 00000001 00 00000002 = 00000000 00 00000000 00000000 +muxq 00000001 00000001 00 00000002 = 00000001 00 00000000 00000000 +muxq 00000002 00000001 00 00000002 = 00000000 00 00000000 00000000 +muxq 7FFFFFFF 00000001 00 00000002 = 7FFFFFFD 00 00000000 00000000 +muxq 80000000 00000001 00 00000002 = 80000000 00 00000000 00000000 +muxq 80000001 00000001 00 00000002 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 00000001 00 00000002 = FFFFFFFC 00 00000000 00000000 +muxq FFFFFFFF 00000001 00 00000002 = FFFFFFFD 00 00000000 00000000 +muxq 00000000 00000002 00 00000002 = 00000002 00 00000000 00000000 +muxq 00000001 00000002 00 00000002 = 00000003 00 00000000 00000000 +muxq 00000002 00000002 00 00000002 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000002 00 00000002 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 00000002 00 00000002 = 80000002 00 00000000 00000000 +muxq 80000001 00000002 00 00000002 = 80000003 00 00000000 00000000 +muxq FFFFFFFE 00000002 00 00000002 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000002 00 00000002 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 7FFFFFFF 00 00000002 = 00000002 00 00000000 00000000 +muxq 00000001 7FFFFFFF 00 00000002 = 00000003 00 00000000 00000000 +muxq 00000002 7FFFFFFF 00 00000002 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 7FFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 7FFFFFFF 00 00000002 = 80000002 00 00000000 00000000 +muxq 80000001 7FFFFFFF 00 00000002 = 80000003 00 00000000 00000000 +muxq FFFFFFFE 7FFFFFFF 00 00000002 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 80000000 00 00000002 = 00000000 00 00000000 00000000 +muxq 00000001 80000000 00 00000002 = 00000001 00 00000000 00000000 +muxq 00000002 80000000 00 00000002 = 00000000 00 00000000 00000000 +muxq 7FFFFFFF 80000000 00 00000002 = 7FFFFFFD 00 00000000 00000000 +muxq 80000000 80000000 00 00000002 = 80000000 00 00000000 00000000 +muxq 80000001 80000000 00 00000002 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000000 00 00000002 = FFFFFFFC 00 00000000 00000000 +muxq FFFFFFFF 80000000 00 00000002 = FFFFFFFD 00 00000000 00000000 +muxq 00000000 80000001 00 00000002 = 00000000 00 00000000 00000000 +muxq 00000001 80000001 00 00000002 = 00000001 00 00000000 00000000 +muxq 00000002 80000001 00 00000002 = 00000000 00 00000000 00000000 +muxq 7FFFFFFF 80000001 00 00000002 = 7FFFFFFD 00 00000000 00000000 +muxq 80000000 80000001 00 00000002 = 80000000 00 00000000 00000000 +muxq 80000001 80000001 00 00000002 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000001 00 00000002 = FFFFFFFC 00 00000000 00000000 +muxq FFFFFFFF 80000001 00 00000002 = FFFFFFFD 00 00000000 00000000 +muxq 00000000 FFFFFFFE 00 00000002 = 00000002 00 00000000 00000000 +muxq 00000001 FFFFFFFE 00 00000002 = 00000003 00 00000000 00000000 +muxq 00000002 FFFFFFFE 00 00000002 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFE 00 00000002 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFE 00 00000002 = 80000002 00 00000000 00000000 +muxq 80000001 FFFFFFFE 00 00000002 = 80000003 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 FFFFFFFF 00 00000002 = 00000002 00 00000000 00000000 +muxq 00000001 FFFFFFFF 00 00000002 = 00000003 00 00000000 00000000 +muxq 00000002 FFFFFFFF 00 00000002 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFF 00 00000002 = 80000002 00 00000000 00000000 +muxq 80000001 FFFFFFFF 00 00000002 = 80000003 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFF 00 00000002 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +muxq 00000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +muxq 00000002 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +muxq 7FFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +muxq 80000000 00000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +muxq 80000001 00000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +muxq FFFFFFFE 00000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +muxq FFFFFFFF 00000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +muxq 00000000 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +muxq 00000001 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +muxq 00000002 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +muxq 7FFFFFFF 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +muxq 80000000 00000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +muxq 80000001 00000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +muxq FFFFFFFE 00000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +muxq FFFFFFFF 00000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +muxq 00000000 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +muxq 00000001 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +muxq 00000002 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +muxq 80000000 00000002 00 7FFFFFFF = 80000002 00 00000000 00000000 +muxq 80000001 00000002 00 7FFFFFFF = 80000002 00 00000000 00000000 +muxq FFFFFFFE 00000002 00 7FFFFFFF = 80000002 00 00000000 00000000 +muxq FFFFFFFF 00000002 00 7FFFFFFF = 80000002 00 00000000 00000000 +muxq 00000000 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 00000001 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 00000002 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 7FFFFFFF 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 7FFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq 80000001 7FFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFE 7FFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFF 7FFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq 00000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +muxq 00000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +muxq 00000002 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +muxq 7FFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +muxq 80000000 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +muxq 80000001 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +muxq FFFFFFFE 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +muxq FFFFFFFF 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +muxq 00000000 80000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +muxq 00000001 80000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +muxq 00000002 80000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +muxq 7FFFFFFF 80000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +muxq 80000000 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +muxq 80000001 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +muxq FFFFFFFF 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +muxq 00000000 FFFFFFFE 00 7FFFFFFF = 7FFFFFFE 00 00000000 00000000 +muxq 00000001 FFFFFFFE 00 7FFFFFFF = 7FFFFFFE 00 00000000 00000000 +muxq 00000002 FFFFFFFE 00 7FFFFFFF = 7FFFFFFE 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFE 00 7FFFFFFF = 7FFFFFFE 00 00000000 00000000 +muxq 80000000 FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq 80000001 FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq 00000000 FFFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 00000001 FFFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 00000002 FFFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq 80000001 FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq 00000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +muxq 00000001 00000000 00 80000000 = 00000001 00 00000000 00000000 +muxq 00000002 00000000 00 80000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000000 00 80000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +muxq 80000001 00000000 00 80000000 = 00000001 00 00000000 00000000 +muxq FFFFFFFE 00000000 00 80000000 = 7FFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000000 00 80000000 = 7FFFFFFF 00 00000000 00000000 +muxq 00000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +muxq 00000001 00000001 00 80000000 = 00000001 00 00000000 00000000 +muxq 00000002 00000001 00 80000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000001 00 80000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +muxq 80000001 00000001 00 80000000 = 00000001 00 00000000 00000000 +muxq FFFFFFFE 00000001 00 80000000 = 7FFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000001 00 80000000 = 7FFFFFFF 00 00000000 00000000 +muxq 00000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +muxq 00000001 00000002 00 80000000 = 00000001 00 00000000 00000000 +muxq 00000002 00000002 00 80000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000002 00 80000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +muxq 80000001 00000002 00 80000000 = 00000001 00 00000000 00000000 +muxq FFFFFFFE 00000002 00 80000000 = 7FFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000002 00 80000000 = 7FFFFFFF 00 00000000 00000000 +muxq 00000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +muxq 00000001 7FFFFFFF 00 80000000 = 00000001 00 00000000 00000000 +muxq 00000002 7FFFFFFF 00 80000000 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 7FFFFFFF 00 80000000 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +muxq 80000001 7FFFFFFF 00 80000000 = 00000001 00 00000000 00000000 +muxq FFFFFFFE 7FFFFFFF 00 80000000 = 7FFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 7FFFFFFF 00 80000000 = 7FFFFFFF 00 00000000 00000000 +muxq 00000000 80000000 00 80000000 = 80000000 00 00000000 00000000 +muxq 00000001 80000000 00 80000000 = 80000001 00 00000000 00000000 +muxq 00000002 80000000 00 80000000 = 80000002 00 00000000 00000000 +muxq 7FFFFFFF 80000000 00 80000000 = FFFFFFFF 00 00000000 00000000 +muxq 80000000 80000000 00 80000000 = 80000000 00 00000000 00000000 +muxq 80000001 80000000 00 80000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000000 00 80000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 80000000 00 80000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 80000001 00 80000000 = 80000000 00 00000000 00000000 +muxq 00000001 80000001 00 80000000 = 80000001 00 00000000 00000000 +muxq 00000002 80000001 00 80000000 = 80000002 00 00000000 00000000 +muxq 7FFFFFFF 80000001 00 80000000 = FFFFFFFF 00 00000000 00000000 +muxq 80000000 80000001 00 80000000 = 80000000 00 00000000 00000000 +muxq 80000001 80000001 00 80000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000001 00 80000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 80000001 00 80000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 FFFFFFFE 00 80000000 = 80000000 00 00000000 00000000 +muxq 00000001 FFFFFFFE 00 80000000 = 80000001 00 00000000 00000000 +muxq 00000002 FFFFFFFE 00 80000000 = 80000002 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFE 00 80000000 = FFFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFE 00 80000000 = 80000000 00 00000000 00000000 +muxq 80000001 FFFFFFFE 00 80000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFE 00 80000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFE 00 80000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 FFFFFFFF 00 80000000 = 80000000 00 00000000 00000000 +muxq 00000001 FFFFFFFF 00 80000000 = 80000001 00 00000000 00000000 +muxq 00000002 FFFFFFFF 00 80000000 = 80000002 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFF 00 80000000 = FFFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFF 00 80000000 = 80000000 00 00000000 00000000 +muxq 80000001 FFFFFFFF 00 80000000 = 80000001 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFF 00 80000000 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFF 00 80000000 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +muxq 00000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +muxq 00000002 00000000 00 80000001 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000000 00 80000001 = 7FFFFFFE 00 00000000 00000000 +muxq 80000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +muxq 80000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +muxq FFFFFFFE 00000000 00 80000001 = 7FFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000000 00 80000001 = 7FFFFFFE 00 00000000 00000000 +muxq 00000000 00000001 00 80000001 = 00000001 00 00000000 00000000 +muxq 00000001 00000001 00 80000001 = 00000001 00 00000000 00000000 +muxq 00000002 00000001 00 80000001 = 00000003 00 00000000 00000000 +muxq 7FFFFFFF 00000001 00 80000001 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 00000001 00 80000001 = 00000001 00 00000000 00000000 +muxq 80000001 00000001 00 80000001 = 00000001 00 00000000 00000000 +muxq FFFFFFFE 00000001 00 80000001 = 7FFFFFFF 00 00000000 00000000 +muxq FFFFFFFF 00000001 00 80000001 = 7FFFFFFF 00 00000000 00000000 +muxq 00000000 00000002 00 80000001 = 00000000 00 00000000 00000000 +muxq 00000001 00000002 00 80000001 = 00000000 00 00000000 00000000 +muxq 00000002 00000002 00 80000001 = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000002 00 80000001 = 7FFFFFFE 00 00000000 00000000 +muxq 80000000 00000002 00 80000001 = 00000000 00 00000000 00000000 +muxq 80000001 00000002 00 80000001 = 00000000 00 00000000 00000000 +muxq FFFFFFFE 00000002 00 80000001 = 7FFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 00000002 00 80000001 = 7FFFFFFE 00 00000000 00000000 +muxq 00000000 7FFFFFFF 00 80000001 = 00000001 00 00000000 00000000 +muxq 00000001 7FFFFFFF 00 80000001 = 00000001 00 00000000 00000000 +muxq 00000002 7FFFFFFF 00 80000001 = 00000003 00 00000000 00000000 +muxq 7FFFFFFF 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 7FFFFFFF 00 80000001 = 00000001 00 00000000 00000000 +muxq 80000001 7FFFFFFF 00 80000001 = 00000001 00 00000000 00000000 +muxq FFFFFFFE 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +muxq FFFFFFFF 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +muxq 00000000 80000000 00 80000001 = 80000000 00 00000000 00000000 +muxq 00000001 80000000 00 80000001 = 80000000 00 00000000 00000000 +muxq 00000002 80000000 00 80000001 = 80000002 00 00000000 00000000 +muxq 7FFFFFFF 80000000 00 80000001 = FFFFFFFE 00 00000000 00000000 +muxq 80000000 80000000 00 80000001 = 80000000 00 00000000 00000000 +muxq 80000001 80000000 00 80000001 = 80000000 00 00000000 00000000 +muxq FFFFFFFE 80000000 00 80000001 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 80000000 00 80000001 = FFFFFFFE 00 00000000 00000000 +muxq 00000000 80000001 00 80000001 = 80000001 00 00000000 00000000 +muxq 00000001 80000001 00 80000001 = 80000001 00 00000000 00000000 +muxq 00000002 80000001 00 80000001 = 80000003 00 00000000 00000000 +muxq 7FFFFFFF 80000001 00 80000001 = FFFFFFFF 00 00000000 00000000 +muxq 80000000 80000001 00 80000001 = 80000001 00 00000000 00000000 +muxq 80000001 80000001 00 80000001 = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000001 00 80000001 = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFF 80000001 00 80000001 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 FFFFFFFE 00 80000001 = 80000000 00 00000000 00000000 +muxq 00000001 FFFFFFFE 00 80000001 = 80000000 00 00000000 00000000 +muxq 00000002 FFFFFFFE 00 80000001 = 80000002 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +muxq 80000000 FFFFFFFE 00 80000001 = 80000000 00 00000000 00000000 +muxq 80000001 FFFFFFFE 00 80000001 = 80000000 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +muxq 00000000 FFFFFFFF 00 80000001 = 80000001 00 00000000 00000000 +muxq 00000001 FFFFFFFF 00 80000001 = 80000001 00 00000000 00000000 +muxq 00000002 FFFFFFFF 00 80000001 = 80000003 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFF 00 80000001 = 80000001 00 00000000 00000000 +muxq 80000001 FFFFFFFF 00 80000001 = 80000001 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +muxq 00000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +muxq 00000001 00000000 00 FFFFFFFE = 00000001 00 00000000 00000000 +muxq 00000002 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +muxq 7FFFFFFF 00000000 00 FFFFFFFE = 00000001 00 00000000 00000000 +muxq 80000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +muxq 80000001 00000000 00 FFFFFFFE = 00000001 00 00000000 00000000 +muxq FFFFFFFE 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +muxq FFFFFFFF 00000000 00 FFFFFFFE = 00000001 00 00000000 00000000 +muxq 00000000 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +muxq 00000001 00000001 00 FFFFFFFE = 00000001 00 00000000 00000000 +muxq 00000002 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +muxq 7FFFFFFF 00000001 00 FFFFFFFE = 00000001 00 00000000 00000000 +muxq 80000000 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +muxq 80000001 00000001 00 FFFFFFFE = 00000001 00 00000000 00000000 +muxq FFFFFFFE 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +muxq FFFFFFFF 00000001 00 FFFFFFFE = 00000001 00 00000000 00000000 +muxq 00000000 00000002 00 FFFFFFFE = 00000002 00 00000000 00000000 +muxq 00000001 00000002 00 FFFFFFFE = 00000003 00 00000000 00000000 +muxq 00000002 00000002 00 FFFFFFFE = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000002 00 FFFFFFFE = 00000003 00 00000000 00000000 +muxq 80000000 00000002 00 FFFFFFFE = 00000002 00 00000000 00000000 +muxq 80000001 00000002 00 FFFFFFFE = 00000003 00 00000000 00000000 +muxq FFFFFFFE 00000002 00 FFFFFFFE = 00000002 00 00000000 00000000 +muxq FFFFFFFF 00000002 00 FFFFFFFE = 00000003 00 00000000 00000000 +muxq 00000000 7FFFFFFF 00 FFFFFFFE = 7FFFFFFE 00 00000000 00000000 +muxq 00000001 7FFFFFFF 00 FFFFFFFE = 7FFFFFFF 00 00000000 00000000 +muxq 00000002 7FFFFFFF 00 FFFFFFFE = 7FFFFFFE 00 00000000 00000000 +muxq 7FFFFFFF 7FFFFFFF 00 FFFFFFFE = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 7FFFFFFF 00 FFFFFFFE = 7FFFFFFE 00 00000000 00000000 +muxq 80000001 7FFFFFFF 00 FFFFFFFE = 7FFFFFFF 00 00000000 00000000 +muxq FFFFFFFE 7FFFFFFF 00 FFFFFFFE = 7FFFFFFE 00 00000000 00000000 +muxq FFFFFFFF 7FFFFFFF 00 FFFFFFFE = 7FFFFFFF 00 00000000 00000000 +muxq 00000000 80000000 00 FFFFFFFE = 80000000 00 00000000 00000000 +muxq 00000001 80000000 00 FFFFFFFE = 80000001 00 00000000 00000000 +muxq 00000002 80000000 00 FFFFFFFE = 80000000 00 00000000 00000000 +muxq 7FFFFFFF 80000000 00 FFFFFFFE = 80000001 00 00000000 00000000 +muxq 80000000 80000000 00 FFFFFFFE = 80000000 00 00000000 00000000 +muxq 80000001 80000000 00 FFFFFFFE = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000000 00 FFFFFFFE = 80000000 00 00000000 00000000 +muxq FFFFFFFF 80000000 00 FFFFFFFE = 80000001 00 00000000 00000000 +muxq 00000000 80000001 00 FFFFFFFE = 80000000 00 00000000 00000000 +muxq 00000001 80000001 00 FFFFFFFE = 80000001 00 00000000 00000000 +muxq 00000002 80000001 00 FFFFFFFE = 80000000 00 00000000 00000000 +muxq 7FFFFFFF 80000001 00 FFFFFFFE = 80000001 00 00000000 00000000 +muxq 80000000 80000001 00 FFFFFFFE = 80000000 00 00000000 00000000 +muxq 80000001 80000001 00 FFFFFFFE = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000001 00 FFFFFFFE = 80000000 00 00000000 00000000 +muxq FFFFFFFF 80000001 00 FFFFFFFE = 80000001 00 00000000 00000000 +muxq 00000000 FFFFFFFE 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +muxq 00000001 FFFFFFFE 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +muxq 00000002 FFFFFFFE 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFE 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFE 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +muxq 80000001 FFFFFFFE 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFE 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFE 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +muxq 00000000 FFFFFFFF 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +muxq 00000001 FFFFFFFF 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +muxq 00000002 FFFFFFFF 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFF 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFF 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +muxq 80000001 FFFFFFFF 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFF 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFF 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +muxq 00000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +muxq 00000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +muxq 00000002 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +muxq 7FFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +muxq 80000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +muxq 80000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +muxq FFFFFFFE 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +muxq FFFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +muxq 00000000 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +muxq 00000001 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +muxq 00000002 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +muxq 7FFFFFFF 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +muxq 80000000 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +muxq 80000001 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +muxq FFFFFFFE 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +muxq FFFFFFFF 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +muxq 00000000 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +muxq 00000001 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +muxq 00000002 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +muxq 7FFFFFFF 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +muxq 80000000 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +muxq 80000001 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +muxq FFFFFFFE 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +muxq FFFFFFFF 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +muxq 00000000 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 00000001 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 00000002 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 7FFFFFFF 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 80000000 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 80000001 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq FFFFFFFE 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq FFFFFFFF 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +muxq 00000000 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +muxq 00000001 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +muxq 00000002 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +muxq 7FFFFFFF 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +muxq 80000000 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +muxq 80000001 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +muxq FFFFFFFE 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +muxq FFFFFFFF 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +muxq 00000000 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +muxq 00000001 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +muxq 00000002 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +muxq 7FFFFFFF 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +muxq 80000000 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +muxq 80000001 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +muxq FFFFFFFE 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +muxq FFFFFFFF 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +muxq 00000000 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq 00000001 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq 00000002 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq 80000000 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq 80000001 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +muxq 00000000 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq 00000001 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq 00000002 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq 7FFFFFFF FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq 80000000 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq 80000001 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFE FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +muxq FFFFFFFF FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- +blnpix 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +blnpix 00000001 00000000 00 00000000 = 00000001 00 00000000 00000000 +blnpix 00000002 00000000 00 00000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000000 00 00000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 00000000 00 00000000 = 80000000 00 00000000 00000000 +blnpix 80000001 00000000 00 00000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 00000000 00 00000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 00000000 00 00000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +blnpix 00000001 00000001 00 00000000 = 00000001 00 00000000 00000000 +blnpix 00000002 00000001 00 00000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000001 00 00000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 00000001 00 00000000 = 80000000 00 00000000 00000000 +blnpix 80000001 00000001 00 00000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 00000001 00 00000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 00000001 00 00000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +blnpix 00000001 00000002 00 00000000 = 00000001 00 00000000 00000000 +blnpix 00000002 00000002 00 00000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000002 00 00000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 00000002 00 00000000 = 80000000 00 00000000 00000000 +blnpix 80000001 00000002 00 00000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 00000002 00 00000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 00000002 00 00000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +blnpix 00000001 7FFFFFFF 00 00000000 = 00000001 00 00000000 00000000 +blnpix 00000002 7FFFFFFF 00 00000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 7FFFFFFF 00 00000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 7FFFFFFF 00 00000000 = 80000000 00 00000000 00000000 +blnpix 80000001 7FFFFFFF 00 00000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 7FFFFFFF 00 00000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 7FFFFFFF 00 00000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +blnpix 00000001 80000000 00 00000000 = 00000001 00 00000000 00000000 +blnpix 00000002 80000000 00 00000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 80000000 00 00000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 80000000 00 00000000 = 80000000 00 00000000 00000000 +blnpix 80000001 80000000 00 00000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000000 00 00000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 80000000 00 00000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +blnpix 00000001 80000001 00 00000000 = 00000001 00 00000000 00000000 +blnpix 00000002 80000001 00 00000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 80000001 00 00000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 80000001 00 00000000 = 80000000 00 00000000 00000000 +blnpix 80000001 80000001 00 00000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000001 00 00000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 80000001 00 00000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +blnpix 00000001 FFFFFFFE 00 00000000 = 00000001 00 00000000 00000000 +blnpix 00000002 FFFFFFFE 00 00000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFE 00 00000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 FFFFFFFE 00 00000000 = 80000000 00 00000000 00000000 +blnpix 80000001 FFFFFFFE 00 00000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFE 00 00000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFE 00 00000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +blnpix 00000001 FFFFFFFF 00 00000000 = 00000001 00 00000000 00000000 +blnpix 00000002 FFFFFFFF 00 00000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFF 00 00000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 FFFFFFFF 00 00000000 = 80000000 00 00000000 00000000 +blnpix 80000001 FFFFFFFF 00 00000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFF 00 00000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFF 00 00000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +blnpix 00000001 00000000 00 00000001 = 00000001 00 00000000 00000000 +blnpix 00000002 00000000 00 00000001 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000000 00 00000001 = 7FFEFEFE 00 00000000 00000000 +blnpix 80000000 00000000 00 00000001 = 7F000000 00 00000000 00000000 +blnpix 80000001 00000000 00 00000001 = 7F000001 00 00000000 00000000 +blnpix FFFFFFFE 00000000 00 00000001 = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFF 00000000 00 00000001 = FEFEFEFE 00 00000000 00000000 +blnpix 00000000 00000001 00 00000001 = 00000001 00 00000000 00000000 +blnpix 00000001 00000001 00 00000001 = 00000001 00 00000000 00000000 +blnpix 00000002 00000001 00 00000001 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000001 00 00000001 = 7FFEFEFE 00 00000000 00000000 +blnpix 80000000 00000001 00 00000001 = 7F000001 00 00000000 00000000 +blnpix 80000001 00000001 00 00000001 = 7F000001 00 00000000 00000000 +blnpix FFFFFFFE 00000001 00 00000001 = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFF 00000001 00 00000001 = FEFEFEFE 00 00000000 00000000 +blnpix 00000000 00000002 00 00000001 = 00000001 00 00000000 00000000 +blnpix 00000001 00000002 00 00000001 = 00000001 00 00000000 00000000 +blnpix 00000002 00000002 00 00000001 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000002 00 00000001 = 7FFEFEFE 00 00000000 00000000 +blnpix 80000000 00000002 00 00000001 = 7F000001 00 00000000 00000000 +blnpix 80000001 00000002 00 00000001 = 7F000001 00 00000000 00000000 +blnpix FFFFFFFE 00000002 00 00000001 = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFF 00000002 00 00000001 = FEFEFEFE 00 00000000 00000000 +blnpix 00000000 7FFFFFFF 00 00000001 = 01010101 00 00000000 00000000 +blnpix 00000001 7FFFFFFF 00 00000001 = 01010102 00 00000000 00000000 +blnpix 00000002 7FFFFFFF 00 00000001 = 01010103 00 00000000 00000000 +blnpix 7FFFFFFF 7FFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 7FFFFFFF 00 00000001 = 80010101 00 00000000 00000000 +blnpix 80000001 7FFFFFFF 00 00000001 = 80010102 00 00000000 00000000 +blnpix FFFFFFFE 7FFFFFFF 00 00000001 = FEFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 7FFFFFFF 00 00000001 = FEFFFFFF 00 00000000 00000000 +blnpix 00000000 80000000 00 00000001 = 01000000 00 00000000 00000000 +blnpix 00000001 80000000 00 00000001 = 01000001 00 00000000 00000000 +blnpix 00000002 80000000 00 00000001 = 01000002 00 00000000 00000000 +blnpix 7FFFFFFF 80000000 00 00000001 = 7FFEFEFE 00 00000000 00000000 +blnpix 80000000 80000000 00 00000001 = 80000000 00 00000000 00000000 +blnpix 80000001 80000000 00 00000001 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000000 00 00000001 = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFF 80000000 00 00000001 = FEFEFEFE 00 00000000 00000000 +blnpix 00000000 80000001 00 00000001 = 01000001 00 00000000 00000000 +blnpix 00000001 80000001 00 00000001 = 01000001 00 00000000 00000000 +blnpix 00000002 80000001 00 00000001 = 01000002 00 00000000 00000000 +blnpix 7FFFFFFF 80000001 00 00000001 = 7FFEFEFE 00 00000000 00000000 +blnpix 80000000 80000001 00 00000001 = 80000001 00 00000000 00000000 +blnpix 80000001 80000001 00 00000001 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000001 00 00000001 = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFF 80000001 00 00000001 = FEFEFEFE 00 00000000 00000000 +blnpix 00000000 FFFFFFFE 00 00000001 = 01010101 00 00000000 00000000 +blnpix 00000001 FFFFFFFE 00 00000001 = 01010102 00 00000000 00000000 +blnpix 00000002 FFFFFFFE 00 00000001 = 01010103 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFE 00 00000001 = 80FFFFFE 00 00000000 00000000 +blnpix 80000000 FFFFFFFE 00 00000001 = 80010101 00 00000000 00000000 +blnpix 80000001 FFFFFFFE 00 00000001 = 80010102 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +blnpix 00000000 FFFFFFFF 00 00000001 = 01010101 00 00000000 00000000 +blnpix 00000001 FFFFFFFF 00 00000001 = 01010102 00 00000000 00000000 +blnpix 00000002 FFFFFFFF 00 00000001 = 01010103 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFF 00 00000001 = 80FFFFFF 00 00000000 00000000 +blnpix 80000000 FFFFFFFF 00 00000001 = 80010101 00 00000000 00000000 +blnpix 80000001 FFFFFFFF 00 00000001 = 80010102 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFF 00 00000001 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +blnpix 00000001 00000000 00 00000002 = 00000001 00 00000000 00000000 +blnpix 00000002 00000000 00 00000002 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000000 00 00000002 = 7EFDFDFD 00 00000000 00000000 +blnpix 80000000 00000000 00 00000002 = 7F000000 00 00000000 00000000 +blnpix 80000001 00000000 00 00000002 = 7F000001 00 00000000 00000000 +blnpix FFFFFFFE 00000000 00 00000002 = FDFDFDFC 00 00000000 00000000 +blnpix FFFFFFFF 00000000 00 00000002 = FDFDFDFD 00 00000000 00000000 +blnpix 00000000 00000001 00 00000002 = 00000001 00 00000000 00000000 +blnpix 00000001 00000001 00 00000002 = 00000001 00 00000000 00000000 +blnpix 00000002 00000001 00 00000002 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000001 00 00000002 = 7EFDFDFD 00 00000000 00000000 +blnpix 80000000 00000001 00 00000002 = 7F000001 00 00000000 00000000 +blnpix 80000001 00000001 00 00000002 = 7F000001 00 00000000 00000000 +blnpix FFFFFFFE 00000001 00 00000002 = FDFDFDFC 00 00000000 00000000 +blnpix FFFFFFFF 00000001 00 00000002 = FDFDFDFD 00 00000000 00000000 +blnpix 00000000 00000002 00 00000002 = 00000001 00 00000000 00000000 +blnpix 00000001 00000002 00 00000002 = 00000002 00 00000000 00000000 +blnpix 00000002 00000002 00 00000002 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000002 00 00000002 = 7EFDFDFD 00 00000000 00000000 +blnpix 80000000 00000002 00 00000002 = 7F000001 00 00000000 00000000 +blnpix 80000001 00000002 00 00000002 = 7F000002 00 00000000 00000000 +blnpix FFFFFFFE 00000002 00 00000002 = FDFDFDFC 00 00000000 00000000 +blnpix FFFFFFFF 00000002 00 00000002 = FDFDFDFD 00 00000000 00000000 +blnpix 00000000 7FFFFFFF 00 00000002 = 01020202 00 00000000 00000000 +blnpix 00000001 7FFFFFFF 00 00000002 = 01020203 00 00000000 00000000 +blnpix 00000002 7FFFFFFF 00 00000002 = 01020204 00 00000000 00000000 +blnpix 7FFFFFFF 7FFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 7FFFFFFF 00 00000002 = 80020202 00 00000000 00000000 +blnpix 80000001 7FFFFFFF 00 00000002 = 80020203 00 00000000 00000000 +blnpix FFFFFFFE 7FFFFFFF 00 00000002 = FEFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 7FFFFFFF 00 00000002 = FEFFFFFF 00 00000000 00000000 +blnpix 00000000 80000000 00 00000002 = 01000000 00 00000000 00000000 +blnpix 00000001 80000000 00 00000002 = 01000001 00 00000000 00000000 +blnpix 00000002 80000000 00 00000002 = 01000002 00 00000000 00000000 +blnpix 7FFFFFFF 80000000 00 00000002 = 7FFDFDFD 00 00000000 00000000 +blnpix 80000000 80000000 00 00000002 = 80000000 00 00000000 00000000 +blnpix 80000001 80000000 00 00000002 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000000 00 00000002 = FEFDFDFC 00 00000000 00000000 +blnpix FFFFFFFF 80000000 00 00000002 = FEFDFDFD 00 00000000 00000000 +blnpix 00000000 80000001 00 00000002 = 01000001 00 00000000 00000000 +blnpix 00000001 80000001 00 00000002 = 01000001 00 00000000 00000000 +blnpix 00000002 80000001 00 00000002 = 01000002 00 00000000 00000000 +blnpix 7FFFFFFF 80000001 00 00000002 = 7FFDFDFD 00 00000000 00000000 +blnpix 80000000 80000001 00 00000002 = 80000001 00 00000000 00000000 +blnpix 80000001 80000001 00 00000002 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000001 00 00000002 = FEFDFDFC 00 00000000 00000000 +blnpix FFFFFFFF 80000001 00 00000002 = FEFDFDFD 00 00000000 00000000 +blnpix 00000000 FFFFFFFE 00 00000002 = 02020202 00 00000000 00000000 +blnpix 00000001 FFFFFFFE 00 00000002 = 02020203 00 00000000 00000000 +blnpix 00000002 FFFFFFFE 00 00000002 = 02020204 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFE 00 00000002 = 80FFFFFE 00 00000000 00000000 +blnpix 80000000 FFFFFFFE 00 00000002 = 81020202 00 00000000 00000000 +blnpix 80000001 FFFFFFFE 00 00000002 = 81020203 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +blnpix 00000000 FFFFFFFF 00 00000002 = 02020202 00 00000000 00000000 +blnpix 00000001 FFFFFFFF 00 00000002 = 02020203 00 00000000 00000000 +blnpix 00000002 FFFFFFFF 00 00000002 = 02020204 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFF 00 00000002 = 80FFFFFF 00 00000000 00000000 +blnpix 80000000 FFFFFFFF 00 00000002 = 81020202 00 00000000 00000000 +blnpix 80000001 FFFFFFFF 00 00000002 = 81020203 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFF 00 00000002 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +blnpix 00000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +blnpix 00000002 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +blnpix 7FFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +blnpix 80000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +blnpix 80000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +blnpix FFFFFFFE 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +blnpix FFFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +blnpix 00000000 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +blnpix 00000001 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +blnpix 00000002 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +blnpix 7FFFFFFF 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +blnpix 80000000 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +blnpix 80000001 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +blnpix FFFFFFFE 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +blnpix FFFFFFFF 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +blnpix 00000000 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +blnpix 00000001 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +blnpix 00000002 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +blnpix 80000000 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +blnpix 80000001 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +blnpix FFFFFFFE 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +blnpix FFFFFFFF 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +blnpix 00000000 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 00000001 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 00000002 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 7FFFFFFF 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 80000001 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix FFFFFFFE 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix FFFFFFFF 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 00000000 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +blnpix 00000001 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +blnpix 00000002 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +blnpix 7FFFFFFF 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +blnpix 80000000 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +blnpix 80000001 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +blnpix FFFFFFFE 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +blnpix FFFFFFFF 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +blnpix 00000000 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +blnpix 00000001 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +blnpix 00000002 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +blnpix 7FFFFFFF 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +blnpix 80000000 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +blnpix 80000001 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +blnpix FFFFFFFF 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +blnpix 00000000 FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 00000001 FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 00000002 FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 80000000 FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 80000001 FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 00000000 FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 00000001 FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 00000002 FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 80000000 FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 80000001 FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +blnpix 00000001 00000000 00 80000000 = 00000001 00 00000000 00000000 +blnpix 00000002 00000000 00 80000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000000 00 80000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 00000000 00 80000000 = 80000000 00 00000000 00000000 +blnpix 80000001 00000000 00 80000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 00000000 00 80000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 00000000 00 80000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +blnpix 00000001 00000001 00 80000000 = 00000001 00 00000000 00000000 +blnpix 00000002 00000001 00 80000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000001 00 80000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 00000001 00 80000000 = 80000000 00 00000000 00000000 +blnpix 80000001 00000001 00 80000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 00000001 00 80000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 00000001 00 80000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +blnpix 00000001 00000002 00 80000000 = 00000001 00 00000000 00000000 +blnpix 00000002 00000002 00 80000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000002 00 80000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 00000002 00 80000000 = 80000000 00 00000000 00000000 +blnpix 80000001 00000002 00 80000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 00000002 00 80000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 00000002 00 80000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +blnpix 00000001 7FFFFFFF 00 80000000 = 00000001 00 00000000 00000000 +blnpix 00000002 7FFFFFFF 00 80000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 7FFFFFFF 00 80000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 7FFFFFFF 00 80000000 = 80000000 00 00000000 00000000 +blnpix 80000001 7FFFFFFF 00 80000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 7FFFFFFF 00 80000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 7FFFFFFF 00 80000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +blnpix 00000001 80000000 00 80000000 = 00000001 00 00000000 00000000 +blnpix 00000002 80000000 00 80000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 80000000 00 80000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 80000000 00 80000000 = 80000000 00 00000000 00000000 +blnpix 80000001 80000000 00 80000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000000 00 80000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 80000000 00 80000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +blnpix 00000001 80000001 00 80000000 = 00000001 00 00000000 00000000 +blnpix 00000002 80000001 00 80000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 80000001 00 80000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 80000001 00 80000000 = 80000000 00 00000000 00000000 +blnpix 80000001 80000001 00 80000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000001 00 80000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 80000001 00 80000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +blnpix 00000001 FFFFFFFE 00 80000000 = 00000001 00 00000000 00000000 +blnpix 00000002 FFFFFFFE 00 80000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFE 00 80000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 FFFFFFFE 00 80000000 = 80000000 00 00000000 00000000 +blnpix 80000001 FFFFFFFE 00 80000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFE 00 80000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFE 00 80000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +blnpix 00000001 FFFFFFFF 00 80000000 = 00000001 00 00000000 00000000 +blnpix 00000002 FFFFFFFF 00 80000000 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFF 00 80000000 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 FFFFFFFF 00 80000000 = 80000000 00 00000000 00000000 +blnpix 80000001 FFFFFFFF 00 80000000 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFF 00 80000000 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFF 00 80000000 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +blnpix 00000001 00000000 00 80000001 = 00000001 00 00000000 00000000 +blnpix 00000002 00000000 00 80000001 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000000 00 80000001 = 7FFEFEFE 00 00000000 00000000 +blnpix 80000000 00000000 00 80000001 = 7F000000 00 00000000 00000000 +blnpix 80000001 00000000 00 80000001 = 7F000001 00 00000000 00000000 +blnpix FFFFFFFE 00000000 00 80000001 = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFF 00000000 00 80000001 = FEFEFEFE 00 00000000 00000000 +blnpix 00000000 00000001 00 80000001 = 00000001 00 00000000 00000000 +blnpix 00000001 00000001 00 80000001 = 00000001 00 00000000 00000000 +blnpix 00000002 00000001 00 80000001 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000001 00 80000001 = 7FFEFEFE 00 00000000 00000000 +blnpix 80000000 00000001 00 80000001 = 7F000001 00 00000000 00000000 +blnpix 80000001 00000001 00 80000001 = 7F000001 00 00000000 00000000 +blnpix FFFFFFFE 00000001 00 80000001 = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFF 00000001 00 80000001 = FEFEFEFE 00 00000000 00000000 +blnpix 00000000 00000002 00 80000001 = 00000001 00 00000000 00000000 +blnpix 00000001 00000002 00 80000001 = 00000001 00 00000000 00000000 +blnpix 00000002 00000002 00 80000001 = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000002 00 80000001 = 7FFEFEFE 00 00000000 00000000 +blnpix 80000000 00000002 00 80000001 = 7F000001 00 00000000 00000000 +blnpix 80000001 00000002 00 80000001 = 7F000001 00 00000000 00000000 +blnpix FFFFFFFE 00000002 00 80000001 = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFF 00000002 00 80000001 = FEFEFEFE 00 00000000 00000000 +blnpix 00000000 7FFFFFFF 00 80000001 = 01010101 00 00000000 00000000 +blnpix 00000001 7FFFFFFF 00 80000001 = 01010102 00 00000000 00000000 +blnpix 00000002 7FFFFFFF 00 80000001 = 01010103 00 00000000 00000000 +blnpix 7FFFFFFF 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 7FFFFFFF 00 80000001 = 80010101 00 00000000 00000000 +blnpix 80000001 7FFFFFFF 00 80000001 = 80010102 00 00000000 00000000 +blnpix FFFFFFFE 7FFFFFFF 00 80000001 = FEFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 7FFFFFFF 00 80000001 = FEFFFFFF 00 00000000 00000000 +blnpix 00000000 80000000 00 80000001 = 01000000 00 00000000 00000000 +blnpix 00000001 80000000 00 80000001 = 01000001 00 00000000 00000000 +blnpix 00000002 80000000 00 80000001 = 01000002 00 00000000 00000000 +blnpix 7FFFFFFF 80000000 00 80000001 = 7FFEFEFE 00 00000000 00000000 +blnpix 80000000 80000000 00 80000001 = 80000000 00 00000000 00000000 +blnpix 80000001 80000000 00 80000001 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000000 00 80000001 = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFF 80000000 00 80000001 = FEFEFEFE 00 00000000 00000000 +blnpix 00000000 80000001 00 80000001 = 01000001 00 00000000 00000000 +blnpix 00000001 80000001 00 80000001 = 01000001 00 00000000 00000000 +blnpix 00000002 80000001 00 80000001 = 01000002 00 00000000 00000000 +blnpix 7FFFFFFF 80000001 00 80000001 = 7FFEFEFE 00 00000000 00000000 +blnpix 80000000 80000001 00 80000001 = 80000001 00 00000000 00000000 +blnpix 80000001 80000001 00 80000001 = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000001 00 80000001 = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFF 80000001 00 80000001 = FEFEFEFE 00 00000000 00000000 +blnpix 00000000 FFFFFFFE 00 80000001 = 01010101 00 00000000 00000000 +blnpix 00000001 FFFFFFFE 00 80000001 = 01010102 00 00000000 00000000 +blnpix 00000002 FFFFFFFE 00 80000001 = 01010103 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFE 00 80000001 = 80FFFFFE 00 00000000 00000000 +blnpix 80000000 FFFFFFFE 00 80000001 = 80010101 00 00000000 00000000 +blnpix 80000001 FFFFFFFE 00 80000001 = 80010102 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +blnpix 00000000 FFFFFFFF 00 80000001 = 01010101 00 00000000 00000000 +blnpix 00000001 FFFFFFFF 00 80000001 = 01010102 00 00000000 00000000 +blnpix 00000002 FFFFFFFF 00 80000001 = 01010103 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFF 00 80000001 = 80FFFFFF 00 00000000 00000000 +blnpix 80000000 FFFFFFFF 00 80000001 = 80010101 00 00000000 00000000 +blnpix 80000001 FFFFFFFF 00 80000001 = 80010102 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFF 00 80000001 = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +blnpix 00000001 00000000 00 FFFFFFFE = 00000001 00 00000000 00000000 +blnpix 00000002 00000000 00 FFFFFFFE = 00000001 00 00000000 00000000 +blnpix 7FFFFFFF 00000000 00 FFFFFFFE = 01010101 00 00000000 00000000 +blnpix 80000000 00000000 00 FFFFFFFE = 01000000 00 00000000 00000000 +blnpix 80000001 00000000 00 FFFFFFFE = 01000001 00 00000000 00000000 +blnpix FFFFFFFE 00000000 00 FFFFFFFE = 01010101 00 00000000 00000000 +blnpix FFFFFFFF 00000000 00 FFFFFFFE = 01010101 00 00000000 00000000 +blnpix 00000000 00000001 00 FFFFFFFE = 00000001 00 00000000 00000000 +blnpix 00000001 00000001 00 FFFFFFFE = 00000001 00 00000000 00000000 +blnpix 00000002 00000001 00 FFFFFFFE = 00000001 00 00000000 00000000 +blnpix 7FFFFFFF 00000001 00 FFFFFFFE = 01010102 00 00000000 00000000 +blnpix 80000000 00000001 00 FFFFFFFE = 01000001 00 00000000 00000000 +blnpix 80000001 00000001 00 FFFFFFFE = 01000001 00 00000000 00000000 +blnpix FFFFFFFE 00000001 00 FFFFFFFE = 01010102 00 00000000 00000000 +blnpix FFFFFFFF 00000001 00 FFFFFFFE = 01010102 00 00000000 00000000 +blnpix 00000000 00000002 00 FFFFFFFE = 00000002 00 00000000 00000000 +blnpix 00000001 00000002 00 FFFFFFFE = 00000002 00 00000000 00000000 +blnpix 00000002 00000002 00 FFFFFFFE = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000002 00 FFFFFFFE = 01010103 00 00000000 00000000 +blnpix 80000000 00000002 00 FFFFFFFE = 01000002 00 00000000 00000000 +blnpix 80000001 00000002 00 FFFFFFFE = 01000002 00 00000000 00000000 +blnpix FFFFFFFE 00000002 00 FFFFFFFE = 01010103 00 00000000 00000000 +blnpix FFFFFFFF 00000002 00 FFFFFFFE = 01010103 00 00000000 00000000 +blnpix 00000000 7FFFFFFF 00 FFFFFFFE = 7FFEFEFE 00 00000000 00000000 +blnpix 00000001 7FFFFFFF 00 FFFFFFFE = 7FFEFEFE 00 00000000 00000000 +blnpix 00000002 7FFFFFFF 00 FFFFFFFE = 7FFEFEFE 00 00000000 00000000 +blnpix 7FFFFFFF 7FFFFFFF 00 FFFFFFFE = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 7FFFFFFF 00 FFFFFFFE = 7FFEFEFE 00 00000000 00000000 +blnpix 80000001 7FFFFFFF 00 FFFFFFFE = 7FFEFEFE 00 00000000 00000000 +blnpix FFFFFFFE 7FFFFFFF 00 FFFFFFFE = 80FFFFFE 00 00000000 00000000 +blnpix FFFFFFFF 7FFFFFFF 00 FFFFFFFE = 80FFFFFF 00 00000000 00000000 +blnpix 00000000 80000000 00 FFFFFFFE = 7F000000 00 00000000 00000000 +blnpix 00000001 80000000 00 FFFFFFFE = 7F000001 00 00000000 00000000 +blnpix 00000002 80000000 00 FFFFFFFE = 7F000001 00 00000000 00000000 +blnpix 7FFFFFFF 80000000 00 FFFFFFFE = 80010101 00 00000000 00000000 +blnpix 80000000 80000000 00 FFFFFFFE = 80000000 00 00000000 00000000 +blnpix 80000001 80000000 00 FFFFFFFE = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000000 00 FFFFFFFE = 80010101 00 00000000 00000000 +blnpix FFFFFFFF 80000000 00 FFFFFFFE = 80010101 00 00000000 00000000 +blnpix 00000000 80000001 00 FFFFFFFE = 7F000001 00 00000000 00000000 +blnpix 00000001 80000001 00 FFFFFFFE = 7F000001 00 00000000 00000000 +blnpix 00000002 80000001 00 FFFFFFFE = 7F000001 00 00000000 00000000 +blnpix 7FFFFFFF 80000001 00 FFFFFFFE = 80010102 00 00000000 00000000 +blnpix 80000000 80000001 00 FFFFFFFE = 80000001 00 00000000 00000000 +blnpix 80000001 80000001 00 FFFFFFFE = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000001 00 FFFFFFFE = 80010102 00 00000000 00000000 +blnpix FFFFFFFF 80000001 00 FFFFFFFE = 80010102 00 00000000 00000000 +blnpix 00000000 FFFFFFFE 00 FFFFFFFE = FEFEFEFD 00 00000000 00000000 +blnpix 00000001 FFFFFFFE 00 FFFFFFFE = FEFEFEFD 00 00000000 00000000 +blnpix 00000002 FFFFFFFE 00 FFFFFFFE = FEFEFEFD 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFE 00 FFFFFFFE = FEFFFFFE 00 00000000 00000000 +blnpix 80000000 FFFFFFFE 00 FFFFFFFE = FEFEFEFD 00 00000000 00000000 +blnpix 80000001 FFFFFFFE 00 FFFFFFFE = FEFEFEFD 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFE 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFE 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +blnpix 00000000 FFFFFFFF 00 FFFFFFFE = FEFEFEFE 00 00000000 00000000 +blnpix 00000001 FFFFFFFF 00 FFFFFFFE = FEFEFEFE 00 00000000 00000000 +blnpix 00000002 FFFFFFFF 00 FFFFFFFE = FEFEFEFE 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFF 00 FFFFFFFE = FEFFFFFF 00 00000000 00000000 +blnpix 80000000 FFFFFFFF 00 FFFFFFFE = FEFEFEFE 00 00000000 00000000 +blnpix 80000001 FFFFFFFF 00 FFFFFFFE = FEFEFEFE 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFF 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFF 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +blnpix 00000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +blnpix 00000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +blnpix 00000002 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +blnpix 7FFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +blnpix 80000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +blnpix 80000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +blnpix FFFFFFFE 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +blnpix FFFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +blnpix 00000000 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +blnpix 00000001 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +blnpix 00000002 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +blnpix 7FFFFFFF 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +blnpix 80000000 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +blnpix 80000001 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +blnpix FFFFFFFE 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +blnpix FFFFFFFF 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +blnpix 00000000 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +blnpix 00000001 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +blnpix 00000002 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +blnpix 7FFFFFFF 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +blnpix 80000000 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +blnpix 80000001 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +blnpix FFFFFFFE 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +blnpix FFFFFFFF 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +blnpix 00000000 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 00000001 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 00000002 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 7FFFFFFF 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 80000000 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 80000001 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix FFFFFFFE 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix FFFFFFFF 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +blnpix 00000000 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +blnpix 00000001 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +blnpix 00000002 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +blnpix 7FFFFFFF 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +blnpix 80000000 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +blnpix 80000001 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +blnpix FFFFFFFE 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +blnpix FFFFFFFF 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +blnpix 00000000 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +blnpix 00000001 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +blnpix 00000002 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +blnpix 7FFFFFFF 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +blnpix 80000000 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +blnpix 80000001 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +blnpix FFFFFFFE 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +blnpix FFFFFFFF 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +blnpix 00000000 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 00000001 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 00000002 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 80000000 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 80000001 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +blnpix 00000000 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 00000001 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 00000002 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 7FFFFFFF FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 80000000 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix 80000001 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix FFFFFFFE FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +blnpix FFFFFFFF FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- +mixpix 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000001 00000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000002 00000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000001 00000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 00000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000001 00000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000002 00000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000001 00000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 00000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000001 00000002 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000002 00000002 00 00000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000001 00000002 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 00000002 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000002 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000001 80000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000002 80000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000001 80000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 80000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000001 80000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000002 80000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000001 80000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 80000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000002 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000002 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 80000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +mixpix 00000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +mixpix 00000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +mixpix 00000002 00000000 00 00000001 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 +mixpix 80000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +mixpix 80000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 00000000 00 00000001 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 +mixpix 00000000 00000001 00 00000001 = 00000001 00 00000000 00000000 +mixpix 00000001 00000001 00 00000001 = 00000001 00 00000000 00000000 +mixpix 00000002 00000001 00 00000001 = 00000001 00 00000000 00000000 +mixpix 7FFFFFFF 00000001 00 00000001 = 00000001 00 00000000 00000000 +mixpix 80000000 00000001 00 00000001 = 00000001 00 00000000 00000000 +mixpix 80000001 00000001 00 00000001 = 00000001 00 00000000 00000000 +mixpix FFFFFFFE 00000001 00 00000001 = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 00000001 00 00000001 = 00000001 00 00000000 00000000 +mixpix 00000000 00000002 00 00000001 = 00000002 00 00000000 00000000 +mixpix 00000001 00000002 00 00000001 = 00000002 00 00000000 00000000 +mixpix 00000002 00000002 00 00000001 = 00000002 00 00000000 00000000 +mixpix 7FFFFFFF 00000002 00 00000001 = 00000002 00 00000000 00000000 +mixpix 80000000 00000002 00 00000001 = 00000002 00 00000000 00000000 +mixpix 80000001 00000002 00 00000001 = 00000002 00 00000000 00000000 +mixpix FFFFFFFE 00000002 00 00000001 = 00000002 00 00000000 00000000 +mixpix FFFFFFFF 00000002 00 00000001 = 00000002 00 00000000 00000000 +mixpix 00000000 7FFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 00000001 7FFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 00000002 7FFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 7FFFFFFF 7FFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 80000000 7FFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 80000001 7FFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +mixpix FFFFFFFE 7FFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +mixpix FFFFFFFF 7FFFFFFF 00 00000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 00000000 80000000 00 00000001 = 80000000 00 00000000 00000000 +mixpix 00000001 80000000 00 00000001 = 80000000 00 00000000 00000000 +mixpix 00000002 80000000 00 00000001 = 80000000 00 00000000 00000000 +mixpix 7FFFFFFF 80000000 00 00000001 = 80000000 00 00000000 00000000 +mixpix 80000000 80000000 00 00000001 = 80000000 00 00000000 00000000 +mixpix 80000001 80000000 00 00000001 = 80000000 00 00000000 00000000 +mixpix FFFFFFFE 80000000 00 00000001 = 80000000 00 00000000 00000000 +mixpix FFFFFFFF 80000000 00 00000001 = 80000000 00 00000000 00000000 +mixpix 00000000 80000001 00 00000001 = 80000001 00 00000000 00000000 +mixpix 00000001 80000001 00 00000001 = 80000001 00 00000000 00000000 +mixpix 00000002 80000001 00 00000001 = 80000001 00 00000000 00000000 +mixpix 7FFFFFFF 80000001 00 00000001 = 80000001 00 00000000 00000000 +mixpix 80000000 80000001 00 00000001 = 80000001 00 00000000 00000000 +mixpix 80000001 80000001 00 00000001 = 80000001 00 00000000 00000000 +mixpix FFFFFFFE 80000001 00 00000001 = 80000001 00 00000000 00000000 +mixpix FFFFFFFF 80000001 00 00000001 = 80000001 00 00000000 00000000 +mixpix 00000000 FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +mixpix 00000001 FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +mixpix 00000002 FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +mixpix 80000000 FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +mixpix 80000001 FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFE 00 00000001 = FFFFFFFE 00 00000000 00000000 +mixpix 00000000 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +mixpix 00000001 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +mixpix 00000002 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +mixpix 80000000 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +mixpix 80000001 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +mixpix 00000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +mixpix 00000001 00000000 00 00000002 = 00000000 00 00000000 00000000 +mixpix 00000002 00000000 00 00000002 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 +mixpix 80000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +mixpix 80000001 00000000 00 00000002 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 00000000 00 00000002 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 +mixpix 00000000 00000001 00 00000002 = 00000001 00 00000000 00000000 +mixpix 00000001 00000001 00 00000002 = 00000001 00 00000000 00000000 +mixpix 00000002 00000001 00 00000002 = 00000001 00 00000000 00000000 +mixpix 7FFFFFFF 00000001 00 00000002 = 00000001 00 00000000 00000000 +mixpix 80000000 00000001 00 00000002 = 00000001 00 00000000 00000000 +mixpix 80000001 00000001 00 00000002 = 00000001 00 00000000 00000000 +mixpix FFFFFFFE 00000001 00 00000002 = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 00000001 00 00000002 = 00000001 00 00000000 00000000 +mixpix 00000000 00000002 00 00000002 = 00000002 00 00000000 00000000 +mixpix 00000001 00000002 00 00000002 = 00000002 00 00000000 00000000 +mixpix 00000002 00000002 00 00000002 = 00000002 00 00000000 00000000 +mixpix 7FFFFFFF 00000002 00 00000002 = 00000002 00 00000000 00000000 +mixpix 80000000 00000002 00 00000002 = 00000002 00 00000000 00000000 +mixpix 80000001 00000002 00 00000002 = 00000002 00 00000000 00000000 +mixpix FFFFFFFE 00000002 00 00000002 = 00000002 00 00000000 00000000 +mixpix FFFFFFFF 00000002 00 00000002 = 00000002 00 00000000 00000000 +mixpix 00000000 7FFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +mixpix 00000001 7FFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +mixpix 00000002 7FFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +mixpix 7FFFFFFF 7FFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +mixpix 80000000 7FFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +mixpix 80000001 7FFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +mixpix FFFFFFFE 7FFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +mixpix FFFFFFFF 7FFFFFFF 00 00000002 = 7FFFFFFF 00 00000000 00000000 +mixpix 00000000 80000000 00 00000002 = 80000000 00 00000000 00000000 +mixpix 00000001 80000000 00 00000002 = 80000000 00 00000000 00000000 +mixpix 00000002 80000000 00 00000002 = 80000000 00 00000000 00000000 +mixpix 7FFFFFFF 80000000 00 00000002 = 80000000 00 00000000 00000000 +mixpix 80000000 80000000 00 00000002 = 80000000 00 00000000 00000000 +mixpix 80000001 80000000 00 00000002 = 80000000 00 00000000 00000000 +mixpix FFFFFFFE 80000000 00 00000002 = 80000000 00 00000000 00000000 +mixpix FFFFFFFF 80000000 00 00000002 = 80000000 00 00000000 00000000 +mixpix 00000000 80000001 00 00000002 = 80000001 00 00000000 00000000 +mixpix 00000001 80000001 00 00000002 = 80000001 00 00000000 00000000 +mixpix 00000002 80000001 00 00000002 = 80000001 00 00000000 00000000 +mixpix 7FFFFFFF 80000001 00 00000002 = 80000001 00 00000000 00000000 +mixpix 80000000 80000001 00 00000002 = 80000001 00 00000000 00000000 +mixpix 80000001 80000001 00 00000002 = 80000001 00 00000000 00000000 +mixpix FFFFFFFE 80000001 00 00000002 = 80000001 00 00000000 00000000 +mixpix FFFFFFFF 80000001 00 00000002 = 80000001 00 00000000 00000000 +mixpix 00000000 FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +mixpix 00000001 FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +mixpix 00000002 FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +mixpix 80000000 FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +mixpix 80000001 FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFE 00 00000002 = FFFFFFFE 00 00000000 00000000 +mixpix 00000000 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +mixpix 00000001 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +mixpix 00000002 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +mixpix 80000000 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +mixpix 80000001 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +mixpix 00000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000001 00000000 00 7FFFFFFF = 00000001 00 00000000 00000000 +mixpix 00000002 00000000 00 7FFFFFFF = 00000002 00 00000000 00000000 +mixpix 7FFFFFFF 00000000 00 7FFFFFFF = 40000000 00 00000000 00000000 +mixpix 80000000 00000000 00 7FFFFFFF = 40000000 00 00000000 00000000 +mixpix 80000001 00000000 00 7FFFFFFF = 40000001 00 00000000 00000000 +mixpix FFFFFFFE 00000000 00 7FFFFFFF = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +mixpix 00000001 00000001 00 7FFFFFFF = 00000002 00 00000000 00000000 +mixpix 00000002 00000001 00 7FFFFFFF = 00000003 00 00000000 00000000 +mixpix 7FFFFFFF 00000001 00 7FFFFFFF = 40000000 00 00000000 00000000 +mixpix 80000000 00000001 00 7FFFFFFF = 40000001 00 00000000 00000000 +mixpix 80000001 00000001 00 7FFFFFFF = 40000002 00 00000000 00000000 +mixpix FFFFFFFE 00000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 00000002 00 7FFFFFFF = 00000002 00 00000000 00000000 +mixpix 00000001 00000002 00 7FFFFFFF = 00000003 00 00000000 00000000 +mixpix 00000002 00000002 00 7FFFFFFF = 00000004 00 00000000 00000000 +mixpix 7FFFFFFF 00000002 00 7FFFFFFF = 40000000 00 00000000 00000000 +mixpix 80000000 00000002 00 7FFFFFFF = 40000002 00 00000000 00000000 +mixpix 80000001 00000002 00 7FFFFFFF = 40000003 00 00000000 00000000 +mixpix FFFFFFFE 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 00000002 00 7FFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +mixpix 00000001 7FFFFFFF 00 7FFFFFFF = 7FFFFFFE 00 00000000 00000000 +mixpix 00000002 7FFFFFFF 00 7FFFFFFF = 7FFFFFFE 00 00000000 00000000 +mixpix 7FFFFFFF 7FFFFFFF 00 7FFFFFFF = 7F000000 00 00000000 00000000 +mixpix 80000000 7FFFFFFF 00 7FFFFFFF = 7FFFFFFF 00 00000000 00000000 +mixpix 80000001 7FFFFFFF 00 7FFFFFFF = 7FFFFFFE 00 00000000 00000000 +mixpix FFFFFFFE 7FFFFFFF 00 7FFFFFFF = 00000002 00 00000000 00000000 +mixpix FFFFFFFF 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +mixpix 00000001 80000000 00 7FFFFFFF = 80000001 00 00000000 00000000 +mixpix 00000002 80000000 00 7FFFFFFF = 80000002 00 00000000 00000000 +mixpix 7FFFFFFF 80000000 00 7FFFFFFF = 80000000 00 00000000 00000000 +mixpix 80000000 80000000 00 7FFFFFFF = 7F000000 00 00000000 00000000 +mixpix 80000001 80000000 00 7FFFFFFF = 7F000001 00 00000000 00000000 +mixpix FFFFFFFE 80000000 00 7FFFFFFF = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 80000001 00 7FFFFFFF = 80000001 00 00000000 00000000 +mixpix 00000001 80000001 00 7FFFFFFF = 80000002 00 00000000 00000000 +mixpix 00000002 80000001 00 7FFFFFFF = 80000003 00 00000000 00000000 +mixpix 7FFFFFFF 80000001 00 7FFFFFFF = 80000000 00 00000000 00000000 +mixpix 80000000 80000001 00 7FFFFFFF = 7F000001 00 00000000 00000000 +mixpix 80000001 80000001 00 7FFFFFFF = 7F000002 00 00000000 00000000 +mixpix FFFFFFFE 80000001 00 7FFFFFFF = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +mixpix 00000001 FFFFFFFE 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +mixpix 00000002 FFFFFFFE 00 7FFFFFFF = FFFFFFFD 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFE 00 7FFFFFFF = BF000000 00 00000000 00000000 +mixpix 80000000 FFFFFFFE 00 7FFFFFFF = BFFFFFFE 00 00000000 00000000 +mixpix 80000001 FFFFFFFE 00 7FFFFFFF = BFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFE 00 7FFFFFFF = 00000002 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 FFFFFFFF 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +mixpix 00000001 FFFFFFFF 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +mixpix 00000002 FFFFFFFF 00 7FFFFFFF = FFFFFFFE 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFF 00 7FFFFFFF = BF000000 00 00000000 00000000 +mixpix 80000000 FFFFFFFF 00 7FFFFFFF = BFFFFFFF 00 00000000 00000000 +mixpix 80000001 FFFFFFFF 00 7FFFFFFF = BFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFF 00 7FFFFFFF = 00000002 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000001 00000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000002 00000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000001 00000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 00000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000001 00000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000002 00000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000001 00000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 00000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000001 00000002 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000002 00000002 00 80000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000001 00000002 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 00000002 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000002 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000001 80000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000002 80000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000001 80000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 80000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000001 80000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000002 80000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000001 80000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 80000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000002 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000002 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 80000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +mixpix 00000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +mixpix 00000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +mixpix 00000002 00000000 00 80000001 = 00000000 00 00000000 00000000 +mixpix 7FFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 +mixpix 80000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +mixpix 80000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +mixpix FFFFFFFE 00000000 00 80000001 = 00000000 00 00000000 00000000 +mixpix FFFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 +mixpix 00000000 00000001 00 80000001 = 00000001 00 00000000 00000000 +mixpix 00000001 00000001 00 80000001 = 00000001 00 00000000 00000000 +mixpix 00000002 00000001 00 80000001 = 00000001 00 00000000 00000000 +mixpix 7FFFFFFF 00000001 00 80000001 = 00000001 00 00000000 00000000 +mixpix 80000000 00000001 00 80000001 = 00000001 00 00000000 00000000 +mixpix 80000001 00000001 00 80000001 = 00000001 00 00000000 00000000 +mixpix FFFFFFFE 00000001 00 80000001 = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 00000001 00 80000001 = 00000001 00 00000000 00000000 +mixpix 00000000 00000002 00 80000001 = 00000002 00 00000000 00000000 +mixpix 00000001 00000002 00 80000001 = 00000002 00 00000000 00000000 +mixpix 00000002 00000002 00 80000001 = 00000002 00 00000000 00000000 +mixpix 7FFFFFFF 00000002 00 80000001 = 00000002 00 00000000 00000000 +mixpix 80000000 00000002 00 80000001 = 00000002 00 00000000 00000000 +mixpix 80000001 00000002 00 80000001 = 00000002 00 00000000 00000000 +mixpix FFFFFFFE 00000002 00 80000001 = 00000002 00 00000000 00000000 +mixpix FFFFFFFF 00000002 00 80000001 = 00000002 00 00000000 00000000 +mixpix 00000000 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 00000001 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 00000002 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 7FFFFFFF 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 80000000 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 80000001 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +mixpix FFFFFFFE 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +mixpix FFFFFFFF 7FFFFFFF 00 80000001 = 7FFFFFFF 00 00000000 00000000 +mixpix 00000000 80000000 00 80000001 = 80000000 00 00000000 00000000 +mixpix 00000001 80000000 00 80000001 = 80000000 00 00000000 00000000 +mixpix 00000002 80000000 00 80000001 = 80000000 00 00000000 00000000 +mixpix 7FFFFFFF 80000000 00 80000001 = 80000000 00 00000000 00000000 +mixpix 80000000 80000000 00 80000001 = 80000000 00 00000000 00000000 +mixpix 80000001 80000000 00 80000001 = 80000000 00 00000000 00000000 +mixpix FFFFFFFE 80000000 00 80000001 = 80000000 00 00000000 00000000 +mixpix FFFFFFFF 80000000 00 80000001 = 80000000 00 00000000 00000000 +mixpix 00000000 80000001 00 80000001 = 80000001 00 00000000 00000000 +mixpix 00000001 80000001 00 80000001 = 80000001 00 00000000 00000000 +mixpix 00000002 80000001 00 80000001 = 80000001 00 00000000 00000000 +mixpix 7FFFFFFF 80000001 00 80000001 = 80000001 00 00000000 00000000 +mixpix 80000000 80000001 00 80000001 = 80000001 00 00000000 00000000 +mixpix 80000001 80000001 00 80000001 = 80000001 00 00000000 00000000 +mixpix FFFFFFFE 80000001 00 80000001 = 80000001 00 00000000 00000000 +mixpix FFFFFFFF 80000001 00 80000001 = 80000001 00 00000000 00000000 +mixpix 00000000 FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +mixpix 00000001 FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +mixpix 00000002 FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +mixpix 80000000 FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +mixpix 80000001 FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFE 00 80000001 = FFFFFFFE 00 00000000 00000000 +mixpix 00000000 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +mixpix 00000001 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +mixpix 00000002 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +mixpix 80000000 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +mixpix 80000001 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +mixpix 00000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +mixpix 00000001 00000000 00 FFFFFFFE = 00000001 00 00000000 00000000 +mixpix 00000002 00000000 00 FFFFFFFE = 00000002 00 00000000 00000000 +mixpix 7FFFFFFF 00000000 00 FFFFFFFE = 40000000 00 00000000 00000000 +mixpix 80000000 00000000 00 FFFFFFFE = 40000000 00 00000000 00000000 +mixpix 80000001 00000000 00 FFFFFFFE = 40000001 00 00000000 00000000 +mixpix FFFFFFFE 00000000 00 FFFFFFFE = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +mixpix 00000000 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +mixpix 00000001 00000001 00 FFFFFFFE = 00000001 00 00000000 00000000 +mixpix 00000002 00000001 00 FFFFFFFE = 00000002 00 00000000 00000000 +mixpix 7FFFFFFF 00000001 00 FFFFFFFE = 40000001 00 00000000 00000000 +mixpix 80000000 00000001 00 FFFFFFFE = 40000000 00 00000000 00000000 +mixpix 80000001 00000001 00 FFFFFFFE = 40000001 00 00000000 00000000 +mixpix FFFFFFFE 00000001 00 FFFFFFFE = 00000002 00 00000000 00000000 +mixpix FFFFFFFF 00000001 00 FFFFFFFE = 00000001 00 00000000 00000000 +mixpix 00000000 00000002 00 FFFFFFFE = 00000000 00 00000000 00000000 +mixpix 00000001 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +mixpix 00000002 00000002 00 FFFFFFFE = 00000002 00 00000000 00000000 +mixpix 7FFFFFFF 00000002 00 FFFFFFFE = 40000002 00 00000000 00000000 +mixpix 80000000 00000002 00 FFFFFFFE = 40000000 00 00000000 00000000 +mixpix 80000001 00000002 00 FFFFFFFE = 40000001 00 00000000 00000000 +mixpix FFFFFFFE 00000002 00 FFFFFFFE = 00000003 00 00000000 00000000 +mixpix FFFFFFFF 00000002 00 FFFFFFFE = 00000002 00 00000000 00000000 +mixpix 00000000 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +mixpix 00000001 7FFFFFFF 00 FFFFFFFE = 00000002 00 00000000 00000000 +mixpix 00000002 7FFFFFFF 00 FFFFFFFE = 00000004 00 00000000 00000000 +mixpix 7FFFFFFF 7FFFFFFF 00 FFFFFFFE = 7FFFFFFF 00 00000000 00000000 +mixpix 80000000 7FFFFFFF 00 FFFFFFFE = 7F000000 00 00000000 00000000 +mixpix 80000001 7FFFFFFF 00 FFFFFFFE = 7F000002 00 00000000 00000000 +mixpix FFFFFFFE 7FFFFFFF 00 FFFFFFFE = 7FFFFFFE 00 00000000 00000000 +mixpix FFFFFFFF 7FFFFFFF 00 FFFFFFFE = 7FFFFFFF 00 00000000 00000000 +mixpix 00000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +mixpix 00000001 80000000 00 FFFFFFFE = 00000001 00 00000000 00000000 +mixpix 00000002 80000000 00 FFFFFFFE = 00000002 00 00000000 00000000 +mixpix 7FFFFFFF 80000000 00 FFFFFFFE = 7F000000 00 00000000 00000000 +mixpix 80000000 80000000 00 FFFFFFFE = 80000000 00 00000000 00000000 +mixpix 80000001 80000000 00 FFFFFFFE = 80000001 00 00000000 00000000 +mixpix FFFFFFFE 80000000 00 FFFFFFFE = 80000001 00 00000000 00000000 +mixpix FFFFFFFF 80000000 00 FFFFFFFE = 80000000 00 00000000 00000000 +mixpix 00000000 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +mixpix 00000001 80000001 00 FFFFFFFE = 00000001 00 00000000 00000000 +mixpix 00000002 80000001 00 FFFFFFFE = 00000002 00 00000000 00000000 +mixpix 7FFFFFFF 80000001 00 FFFFFFFE = 7F000001 00 00000000 00000000 +mixpix 80000000 80000001 00 FFFFFFFE = 80000000 00 00000000 00000000 +mixpix 80000001 80000001 00 FFFFFFFE = 80000001 00 00000000 00000000 +mixpix FFFFFFFE 80000001 00 FFFFFFFE = 80000002 00 00000000 00000000 +mixpix FFFFFFFF 80000001 00 FFFFFFFE = 80000001 00 00000000 00000000 +mixpix 00000000 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +mixpix 00000001 FFFFFFFE 00 FFFFFFFE = 00000002 00 00000000 00000000 +mixpix 00000002 FFFFFFFE 00 FFFFFFFE = 00000004 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFE 00 FFFFFFFE = BFFFFFFE 00 00000000 00000000 +mixpix 80000000 FFFFFFFE 00 FFFFFFFE = BF000000 00 00000000 00000000 +mixpix 80000001 FFFFFFFE 00 FFFFFFFE = BF000002 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFE 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFE 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +mixpix 00000000 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +mixpix 00000001 FFFFFFFF 00 FFFFFFFE = 00000002 00 00000000 00000000 +mixpix 00000002 FFFFFFFF 00 FFFFFFFE = 00000004 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFF 00 FFFFFFFE = BFFFFFFF 00 00000000 00000000 +mixpix 80000000 FFFFFFFF 00 FFFFFFFE = BF000000 00 00000000 00000000 +mixpix 80000001 FFFFFFFF 00 FFFFFFFE = BF000002 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFF 00 FFFFFFFE = FFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFF 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +mixpix 00000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000001 00000000 00 FFFFFFFF = 00000001 00 00000000 00000000 +mixpix 00000002 00000000 00 FFFFFFFF = 00000002 00 00000000 00000000 +mixpix 7FFFFFFF 00000000 00 FFFFFFFF = 40000000 00 00000000 00000000 +mixpix 80000000 00000000 00 FFFFFFFF = 40000000 00 00000000 00000000 +mixpix 80000001 00000000 00 FFFFFFFF = 40000001 00 00000000 00000000 +mixpix FFFFFFFE 00000000 00 FFFFFFFF = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +mixpix 00000001 00000001 00 FFFFFFFF = 00000002 00 00000000 00000000 +mixpix 00000002 00000001 00 FFFFFFFF = 00000003 00 00000000 00000000 +mixpix 7FFFFFFF 00000001 00 FFFFFFFF = 40000000 00 00000000 00000000 +mixpix 80000000 00000001 00 FFFFFFFF = 40000001 00 00000000 00000000 +mixpix 80000001 00000001 00 FFFFFFFF = 40000002 00 00000000 00000000 +mixpix FFFFFFFE 00000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 00000002 00 FFFFFFFF = 00000002 00 00000000 00000000 +mixpix 00000001 00000002 00 FFFFFFFF = 00000003 00 00000000 00000000 +mixpix 00000002 00000002 00 FFFFFFFF = 00000004 00 00000000 00000000 +mixpix 7FFFFFFF 00000002 00 FFFFFFFF = 40000000 00 00000000 00000000 +mixpix 80000000 00000002 00 FFFFFFFF = 40000002 00 00000000 00000000 +mixpix 80000001 00000002 00 FFFFFFFF = 40000003 00 00000000 00000000 +mixpix FFFFFFFE 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 00000002 00 FFFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +mixpix 00000001 7FFFFFFF 00 FFFFFFFF = 7FFFFFFE 00 00000000 00000000 +mixpix 00000002 7FFFFFFF 00 FFFFFFFF = 7FFFFFFE 00 00000000 00000000 +mixpix 7FFFFFFF 7FFFFFFF 00 FFFFFFFF = 7F000000 00 00000000 00000000 +mixpix 80000000 7FFFFFFF 00 FFFFFFFF = 7FFFFFFF 00 00000000 00000000 +mixpix 80000001 7FFFFFFF 00 FFFFFFFF = 7FFFFFFE 00 00000000 00000000 +mixpix FFFFFFFE 7FFFFFFF 00 FFFFFFFF = 00000002 00 00000000 00000000 +mixpix FFFFFFFF 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +mixpix 00000001 80000000 00 FFFFFFFF = 80000001 00 00000000 00000000 +mixpix 00000002 80000000 00 FFFFFFFF = 80000002 00 00000000 00000000 +mixpix 7FFFFFFF 80000000 00 FFFFFFFF = 80000000 00 00000000 00000000 +mixpix 80000000 80000000 00 FFFFFFFF = 7F000000 00 00000000 00000000 +mixpix 80000001 80000000 00 FFFFFFFF = 7F000001 00 00000000 00000000 +mixpix FFFFFFFE 80000000 00 FFFFFFFF = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 80000001 00 FFFFFFFF = 80000001 00 00000000 00000000 +mixpix 00000001 80000001 00 FFFFFFFF = 80000002 00 00000000 00000000 +mixpix 00000002 80000001 00 FFFFFFFF = 80000003 00 00000000 00000000 +mixpix 7FFFFFFF 80000001 00 FFFFFFFF = 80000000 00 00000000 00000000 +mixpix 80000000 80000001 00 FFFFFFFF = 7F000001 00 00000000 00000000 +mixpix 80000001 80000001 00 FFFFFFFF = 7F000002 00 00000000 00000000 +mixpix FFFFFFFE 80000001 00 FFFFFFFF = 00000001 00 00000000 00000000 +mixpix FFFFFFFF 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +mixpix 00000001 FFFFFFFE 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +mixpix 00000002 FFFFFFFE 00 FFFFFFFF = FFFFFFFD 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFE 00 FFFFFFFF = BF000000 00 00000000 00000000 +mixpix 80000000 FFFFFFFE 00 FFFFFFFF = BFFFFFFE 00 00000000 00000000 +mixpix 80000001 FFFFFFFE 00 FFFFFFFF = BFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFE 00 FFFFFFFF = 00000002 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +mixpix 00000000 FFFFFFFF 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +mixpix 00000001 FFFFFFFF 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +mixpix 00000002 FFFFFFFF 00 FFFFFFFF = FFFFFFFE 00 00000000 00000000 +mixpix 7FFFFFFF FFFFFFFF 00 FFFFFFFF = BF000000 00 00000000 00000000 +mixpix 80000000 FFFFFFFF 00 FFFFFFFF = BFFFFFFF 00 00000000 00000000 +mixpix 80000001 FFFFFFFF 00 FFFFFFFF = BFFFFFFE 00 00000000 00000000 +mixpix FFFFFFFE FFFFFFFF 00 FFFFFFFF = 00000002 00 00000000 00000000 +mixpix FFFFFFFF FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- +sclu 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000001 00000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000002 00000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000001 00000000 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000000 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000001 00000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000002 00000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000001 00000001 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000001 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000001 00000002 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000002 00000002 00 00000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000001 00000002 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000002 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000002 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000001 80000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000002 80000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000001 80000000 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000000 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000001 80000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000002 80000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000001 80000001 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000001 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000002 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000002 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 80000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sclu 00000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000002 00000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000000 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000000 00000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000001 00000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000002 00000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000000 00000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000001 00000001 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000001 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000000 00000002 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000001 00000002 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000002 00000002 00 00000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000000 00000002 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000001 00000002 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000002 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000000 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000001 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000002 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000000 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000001 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000000 80000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000001 80000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000002 80000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000000 80000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000001 80000000 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000000 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000000 80000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000001 80000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000002 80000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000000 80000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000001 80000001 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000001 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000001 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000002 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000000 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000001 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000001 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000002 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000000 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 80000001 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sclu 00000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000001 00000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000002 00000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 80000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 80000001 00000000 00 00000002 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000000 00 00000002 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000000 00000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000001 00000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000002 00000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 80000000 00000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 80000001 00000001 00 00000002 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000001 00 00000002 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000000 00000002 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000001 00000002 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000002 00000002 00 00000002 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 +sclu 80000000 00000002 00 00000002 = 00000000 00 00000000 00000000 +sclu 80000001 00000002 00 00000002 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000002 00 00000002 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000000 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 00000001 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 00000002 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 7FFFFFFF 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 80000000 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 80000001 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu FFFFFFFE 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu FFFFFFFF 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 00000000 80000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000001 80000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000002 80000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 80000000 80000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 80000001 80000000 00 00000002 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000000 00 00000002 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000000 80000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000001 80000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000002 80000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 80000000 80000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 80000001 80000001 00 00000002 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000001 00 00000002 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sclu 00000001 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sclu 00000002 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sclu 80000000 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sclu 80000001 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sclu 00000000 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 00000001 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 00000002 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 80000000 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 80000001 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sclu 00000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000002 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 80000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 80000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000000 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000001 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000002 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 80000000 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 80000001 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000000 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sclu 00000001 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sclu 00000002 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sclu 7FFFFFFF 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sclu 80000000 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sclu 80000001 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sclu FFFFFFFE 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sclu FFFFFFFF 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sclu 00000000 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000001 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000002 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 7FFFFFFF 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 80000000 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 80000001 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu FFFFFFFE 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu FFFFFFFF 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000002 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 80000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 80000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000000 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000001 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000002 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 80000000 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 80000001 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 00000001 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 00000002 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 80000000 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 80000001 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 00000000 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000001 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000002 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 80000000 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 80000001 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000001 00000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000002 00000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000001 00000000 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000000 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000001 00000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000002 00000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000001 00000001 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000001 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000001 00000002 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000002 00000002 00 80000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000001 00000002 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000002 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000002 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000001 80000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000002 80000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000001 80000000 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000000 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000001 80000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000002 80000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000001 80000001 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000001 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000002 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000002 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 80000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sclu 00000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000002 00000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000000 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000000 00000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000001 00000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000002 00000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000000 00000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000001 00000001 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000001 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000000 00000002 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000001 00000002 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000002 00000002 00 80000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000000 00000002 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000001 00000002 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000002 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000000 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000001 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000002 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000000 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000001 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000000 80000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000001 80000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000002 80000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000000 80000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000001 80000000 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000000 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000000 80000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000001 80000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000002 80000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000000 80000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000001 80000001 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000001 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000001 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000002 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000000 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000001 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000001 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000002 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000000 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 80000001 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sclu 00000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000002 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 80000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 80000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000000 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000001 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000002 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 80000000 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 80000001 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000000 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sclu 00000001 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sclu 00000002 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sclu 7FFFFFFF 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sclu 80000000 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sclu 80000001 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sclu FFFFFFFE 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sclu FFFFFFFF 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sclu 00000000 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 00000001 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 00000002 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 7FFFFFFF 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 80000000 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 80000001 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu FFFFFFFE 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu FFFFFFFF 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 00000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000002 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 80000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 80000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000000 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000001 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000002 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 80000000 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 80000001 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sclu 00000001 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sclu 00000002 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sclu 80000000 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sclu 80000001 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sclu 00000000 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 00000001 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 00000002 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 80000000 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 80000001 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sclu 00000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000002 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 80000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 80000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000000 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000001 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000002 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 80000000 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 80000001 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFE 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFF 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000000 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sclu 00000001 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sclu 00000002 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sclu 7FFFFFFF 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sclu 80000000 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sclu 80000001 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sclu FFFFFFFE 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sclu FFFFFFFF 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sclu 00000000 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000001 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000002 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 7FFFFFFF 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 80000000 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 80000001 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu FFFFFFFE 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu FFFFFFFF 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000002 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 80000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 80000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000000 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000001 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000002 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 7FFFFFFF 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 80000000 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 80000001 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFE 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu FFFFFFFF 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sclu 00000000 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 00000001 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 00000002 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 80000000 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 80000001 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sclu 00000000 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000001 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 00000002 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 7FFFFFFF FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 80000000 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu 80000001 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu FFFFFFFE FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sclu FFFFFFFF FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- +scl 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +scl 00000001 00000000 00 00000000 = 00000000 00 00000000 00000000 +scl 00000002 00000000 00 00000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 +scl 80000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +scl 80000001 00000000 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000000 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 +scl 00000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +scl 00000001 00000001 00 00000000 = 00000000 00 00000000 00000000 +scl 00000002 00000001 00 00000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 +scl 80000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +scl 80000001 00000001 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000001 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 +scl 00000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +scl 00000001 00000002 00 00000000 = 00000000 00 00000000 00000000 +scl 00000002 00000002 00 00000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 +scl 80000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +scl 80000001 00000002 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000002 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 +scl 00000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 00000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 00000002 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 80000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 80000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 00000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +scl 00000001 80000000 00 00000000 = 00000000 00 00000000 00000000 +scl 00000002 80000000 00 00000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 +scl 80000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +scl 80000001 80000000 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000000 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 +scl 00000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +scl 00000001 80000001 00 00000000 = 00000000 00 00000000 00000000 +scl 00000002 80000001 00 00000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 +scl 80000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +scl 80000001 80000001 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000001 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 +scl 00000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scl 00000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scl 00000002 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scl 80000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scl 80000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scl 00000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 00000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 00000002 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 80000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 80000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scl 00000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +scl 00000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +scl 00000002 00000000 00 00000001 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 +scl 80000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +scl 80000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000000 00 00000001 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 +scl 00000000 00000001 00 00000001 = 00000000 00 00000000 00000000 +scl 00000001 00000001 00 00000001 = 00000000 00 00000000 00000000 +scl 00000002 00000001 00 00000001 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 +scl 80000000 00000001 00 00000001 = 00000000 00 00000000 00000000 +scl 80000001 00000001 00 00000001 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000001 00 00000001 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 +scl 00000000 00000002 00 00000001 = 00000000 00 00000000 00000000 +scl 00000001 00000002 00 00000001 = 00000000 00 00000000 00000000 +scl 00000002 00000002 00 00000001 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 +scl 80000000 00000002 00 00000001 = 00000000 00 00000000 00000000 +scl 80000001 00000002 00 00000001 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000002 00 00000001 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 +scl 00000000 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 00000001 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 00000002 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 80000000 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 80000001 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 00000000 80000000 00 00000001 = 00000000 00 00000000 00000000 +scl 00000001 80000000 00 00000001 = 00000000 00 00000000 00000000 +scl 00000002 80000000 00 00000001 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 +scl 80000000 80000000 00 00000001 = 00000000 00 00000000 00000000 +scl 80000001 80000000 00 00000001 = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000000 00 00000001 = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 +scl 00000000 80000001 00 00000001 = 00000000 00 00000000 00000000 +scl 00000001 80000001 00 00000001 = 00000000 00 00000000 00000000 +scl 00000002 80000001 00 00000001 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 +scl 80000000 80000001 00 00000001 = 00000000 00 00000000 00000000 +scl 80000001 80000001 00 00000001 = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000001 00 00000001 = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 +scl 00000000 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 00000001 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 00000002 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 80000000 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 80000001 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 00000000 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 00000001 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 00000002 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 80000000 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 80000001 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scl 00000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +scl 00000001 00000000 00 00000002 = 00000000 00 00000000 00000000 +scl 00000002 00000000 00 00000002 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 +scl 80000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +scl 80000001 00000000 00 00000002 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000000 00 00000002 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 +scl 00000000 00000001 00 00000002 = 00000000 00 00000000 00000000 +scl 00000001 00000001 00 00000002 = 00000000 00 00000000 00000000 +scl 00000002 00000001 00 00000002 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 +scl 80000000 00000001 00 00000002 = 00000000 00 00000000 00000000 +scl 80000001 00000001 00 00000002 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000001 00 00000002 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 +scl 00000000 00000002 00 00000002 = 00000000 00 00000000 00000000 +scl 00000001 00000002 00 00000002 = 00000000 00 00000000 00000000 +scl 00000002 00000002 00 00000002 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 +scl 80000000 00000002 00 00000002 = 00000000 00 00000000 00000000 +scl 80000001 00000002 00 00000002 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000002 00 00000002 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 +scl 00000000 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 00000001 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 00000002 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 80000000 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 80000001 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 00000000 80000000 00 00000002 = 00000000 00 00000000 00000000 +scl 00000001 80000000 00 00000002 = 00000000 00 00000000 00000000 +scl 00000002 80000000 00 00000002 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 +scl 80000000 80000000 00 00000002 = 00000000 00 00000000 00000000 +scl 80000001 80000000 00 00000002 = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000000 00 00000002 = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 +scl 00000000 80000001 00 00000002 = 00000000 00 00000000 00000000 +scl 00000001 80000001 00 00000002 = 00000000 00 00000000 00000000 +scl 00000002 80000001 00 00000002 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 +scl 80000000 80000001 00 00000002 = 00000000 00 00000000 00000000 +scl 80000001 80000001 00 00000002 = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000001 00 00000002 = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 +scl 00000000 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 00000001 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 00000002 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 80000000 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 80000001 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 00000000 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 00000001 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 00000002 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 80000000 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 80000001 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scl 00000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000002 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 80000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 80000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000000 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000001 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000002 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000000 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000001 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000000 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000001 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000002 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000000 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000001 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000000 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000001 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000002 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 7FFFFFFF 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 80000000 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 80000001 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFE 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFF 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000002 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 80000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 80000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000000 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000001 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000002 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000000 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000001 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000000 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000001 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000002 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 80000000 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 80000001 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFE FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFF FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000000 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000001 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000002 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 80000000 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 80000001 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFE FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFF FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scl 00000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +scl 00000001 00000000 00 80000000 = 00000000 00 00000000 00000000 +scl 00000002 00000000 00 80000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 +scl 80000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +scl 80000001 00000000 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000000 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 +scl 00000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +scl 00000001 00000001 00 80000000 = 00000000 00 00000000 00000000 +scl 00000002 00000001 00 80000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 +scl 80000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +scl 80000001 00000001 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000001 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 +scl 00000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +scl 00000001 00000002 00 80000000 = 00000000 00 00000000 00000000 +scl 00000002 00000002 00 80000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 +scl 80000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +scl 80000001 00000002 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000002 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 +scl 00000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 00000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 00000002 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 80000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 80000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 00000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +scl 00000001 80000000 00 80000000 = 00000000 00 00000000 00000000 +scl 00000002 80000000 00 80000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 +scl 80000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +scl 80000001 80000000 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000000 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 +scl 00000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +scl 00000001 80000001 00 80000000 = 00000000 00 00000000 00000000 +scl 00000002 80000001 00 80000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 +scl 80000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +scl 80000001 80000001 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000001 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 +scl 00000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scl 00000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scl 00000002 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scl 80000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scl 80000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scl 00000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 00000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 00000002 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 80000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 80000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFE FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl FFFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scl 00000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +scl 00000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +scl 00000002 00000000 00 80000001 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 +scl 80000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +scl 80000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000000 00 80000001 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 +scl 00000000 00000001 00 80000001 = 00000000 00 00000000 00000000 +scl 00000001 00000001 00 80000001 = 00000000 00 00000000 00000000 +scl 00000002 00000001 00 80000001 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 +scl 80000000 00000001 00 80000001 = 00000000 00 00000000 00000000 +scl 80000001 00000001 00 80000001 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000001 00 80000001 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 +scl 00000000 00000002 00 80000001 = 00000000 00 00000000 00000000 +scl 00000001 00000002 00 80000001 = 00000000 00 00000000 00000000 +scl 00000002 00000002 00 80000001 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 +scl 80000000 00000002 00 80000001 = 00000000 00 00000000 00000000 +scl 80000001 00000002 00 80000001 = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000002 00 80000001 = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 +scl 00000000 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 00000001 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 00000002 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 80000000 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 80000001 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 00000000 80000000 00 80000001 = 00000000 00 00000000 00000000 +scl 00000001 80000000 00 80000001 = 00000000 00 00000000 00000000 +scl 00000002 80000000 00 80000001 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 +scl 80000000 80000000 00 80000001 = 00000000 00 00000000 00000000 +scl 80000001 80000000 00 80000001 = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000000 00 80000001 = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 +scl 00000000 80000001 00 80000001 = 00000000 00 00000000 00000000 +scl 00000001 80000001 00 80000001 = 00000000 00 00000000 00000000 +scl 00000002 80000001 00 80000001 = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 +scl 80000000 80000001 00 80000001 = 00000000 00 00000000 00000000 +scl 80000001 80000001 00 80000001 = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000001 00 80000001 = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 +scl 00000000 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 00000001 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 00000002 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 80000000 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 80000001 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 00000000 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 00000001 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 00000002 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 80000000 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 80000001 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scl 00000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000002 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 80000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 80000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000000 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 00000001 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 00000002 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 80000000 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 80000001 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 00000000 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 00000001 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 00000002 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 80000000 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 80000001 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 00000000 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000001 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000002 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 7FFFFFFF 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 80000000 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 80000001 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl FFFFFFFE 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl FFFFFFFF 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000002 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 80000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 80000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000000 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 00000001 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 00000002 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 80000000 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 80000001 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scl 00000000 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000001 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000002 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 80000000 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 80000001 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl FFFFFFFE FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl FFFFFFFF FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000000 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000001 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000002 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 80000000 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 80000001 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl FFFFFFFE FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl FFFFFFFF FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scl 00000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000002 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 7FFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 80000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 80000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFE 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000000 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000001 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000002 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000000 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000001 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000000 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000001 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000002 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000000 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000001 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000000 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000001 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000002 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 7FFFFFFF 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 80000000 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 80000001 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFE 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFF 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000002 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 7FFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 80000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 80000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFE 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000000 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000001 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000002 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 7FFFFFFF 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000000 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 80000001 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFE 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl FFFFFFFF 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scl 00000000 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000001 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000002 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 80000000 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 80000001 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFE FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFF FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000000 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000001 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 00000002 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 7FFFFFFF FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 80000000 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl 80000001 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFE FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scl FFFFFFFF FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 diff --git a/verify/testops.bin b/verify/testops.bin new file mode 100755 index 0000000..be982fe Binary files /dev/null and b/verify/testops.bin differ diff --git a/verify/testops.c b/verify/testops.c new file mode 100755 index 0000000..0c101ca --- /dev/null +++ b/verify/testops.c @@ -0,0 +1,130 @@ +/* + * Testbench for the alu + * (c) Pacito.Sys + */ +#include +#include + +#define PRINT_INPUT_VALUES + +#define NUM_VALUES 8 +#define MAX_POS 0x7fffffff +#define MAX_NEG 0x80000000 +#define MAX_NEG1 0x80000001 +#define WZ_BIT 0x00080000 +#define WC_BIT 0x00100000 + +void testit(int *); + +char *opcodeName[] = { + "ror", "rol", "shr", "shl", "rcr", "rcl", "sar", "sal", + "add", "addx", "adds", "addsx", "sub", "subx", "subs", "subsx", + "cmp", "cmpx", "cmps", "cmpsx", "cmpr", "cmpm", "subr", "cmpsub", + "fge", "fle", "fges", "fles", "sumc", "sumnc", "sumz", "sumnz", + "bitl", "bith", "bitc", "bitnc", "bitz", "bitnz", "bitnot", + "andn", "and", "or", "xor", "muxc", "muxnc", "muxz", "muxnz", + "mov", "not", "abs", "neg", "negc", "negnc", "negz", "negnz", + "incmod", "decmod", "encod", "testn", "test", "anyb", "setnib", "getnib", + "rolnib", "setbyte", "getbyte", "rolbyte", "getword", "sets", "signx", "movbyts", + "muls"}; + +int instruct[] = { + 0x00000000, 0x00200000, 0x00400000, 0x00600000, 0x00800000, 0x00a00000, 0x00c00000, 0x00e00000, + 0x01000000, 0x01200000, 0x01400000, 0x01600000, 0x01800000, 0x01a00000, 0x01c00000, 0x01e00000, + 0x02000000, 0x02200000, 0x02400000, 0x02600000, 0x02800000, 0x02a00000, 0x02c00000, 0x02e00000, + 0x03000000, 0x03200000, 0x03400000, 0x03600000, 0x03800000, 0x03a00000, 0x03c00000, 0x03e00000, + 0x04000000, 0x04200000, 0x04400000, 0x04600000, 0x04800000, 0x04a00000, 0x04e00000, + 0x05000000, 0x05200000, 0x05400000, 0x05600000, 0x05800000, 0x05a00000, 0x05c00000, 0x05e00000, + 0x06000000, 0x06200000, 0x06400000, 0x06600000, 0x06800000, 0x06a00000, 0x06c00000, 0x06e00000, + 0x07000000, 0x07200000, 0x07400000, 0x07800000, 0x07a00000, 0x07c00000, 0x08000000, 0x08400000, + 0x08800000, 0x08c00000, 0x08e00000, 0x09000000, 0x09300000, 0x09b80000, 0x09d80000, 0x09f80000, + 0x0a100000}; + +int test_values[] = { 0, 1, 2, 0x7fffffff, 0x80000000, 0x80000001, 0xfffffffe, 0xffffffff }; + +int mailbox[4]; + +void alu(int opcode, int S, int D, int C, int Z, int *alu_q, int *alu_c, int *alu_z) +{ + mailbox[0] = opcode; + mailbox[1] = D; + mailbox[2] = S; + mailbox[3] = (Z << 1) | C; + testit(mailbox); + *alu_q = mailbox[1]; + *alu_c = mailbox[3] & 1; + *alu_z = (mailbox[3] >> 1) & 1; +} + +void writeTest(int index) +{ + int instr; + int testnum = 0; + int s1, d1, S, D, C, Z; + int alu_q, alu_c, alu_z; + char name[20]; + + strcpy(name, " "); + memcpy(name, opcodeName[index], strlen(opcodeName[index])); + printf("%s", name); +#ifdef PRINT_INPUT_VALUES + printf(" ---D---- ---S---- CZ = "); +#endif + printf("---Q---- CZ\n"); + instr = instruct[index] | 0xf018120a; + for (s1 = 0; s1 < NUM_VALUES; s1++) + { + S = test_values[s1]; + for (d1 = 0; d1 < NUM_VALUES; d1++) + { + D = test_values[d1]; + for (C = 0; C <= 1; C++) + { + for (Z = 0; Z <= 1; Z++) + { + alu(instr, S, D, C, Z, &alu_q, &alu_c, &alu_z); + printf("%02x %03x", index, testnum++); +#ifdef PRINT_INPUT_VALUES + printf(" %08x %08x %1x%1x =", D, S, C, Z); +#endif + printf(" %08x %x%x\n", alu_q, alu_c, alu_z); + } + } + } + } +} + +int main(void) +{ + int j; + sleep(1); + for (j = 0; j < 72; j++) + { + writeTest(j); + } + return 0; +} + +void testit(int *list) +{ + __asm__(" rdlong r1, r0"); + __asm__(" wrlong r1, ##instruct"); + __asm__(" add r0, #4"); + __asm__(" rdlong r2, r0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r3, r0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r1, r0"); + __asm__(" shr r1, #1 wc"); + __asm__(" and r1, #1"); + __asm__(" xor r1, #1 wz"); + __asm__(" jmp #instruct"); + __asm__("instruct mov r2, r3 wcz"); + __asm__(" if_nz_and_nc mov r1, #0"); + __asm__(" if_nz_and_c mov r1, #1"); + __asm__(" if_z_and_nc mov r1, #2"); + __asm__(" if_z_and_c mov r1, #3"); + __asm__(" wrlong r1, r0"); + __asm__(" sub r0, #8"); + __asm__(" wrlong r2, r0"); +} diff --git a/verify/testops1.bin b/verify/testops1.bin new file mode 100755 index 0000000..f86cf62 Binary files /dev/null and b/verify/testops1.bin differ diff --git a/verify/testops1.c b/verify/testops1.c new file mode 100755 index 0000000..42260a0 --- /dev/null +++ b/verify/testops1.c @@ -0,0 +1,127 @@ +/* + * Testbench for the alu + * (c) Pacito.Sys + */ +#include +#include + +#define PRINT_INPUT_VALUES + +#define NUM_VALUES 8 +#define MAX_POS 0x7fffffff +#define MAX_NEG 0x80000000 +#define MAX_NEG1 0x80000001 +#define WZ_BIT 0x00080000 +#define WC_BIT 0x00100000 + +void testit(int *); + +char *opcodeName[] = { + "testb0", "testb1", "testb2", "testb3", "testbn0", "testbn1", "testbn2", "testbn3", + "setnib0", "setnib1", "setnib2", "setnib3", "setnib4", "setnib5", "setnib6", "setnib7", + "getnib0", "getnib1", "getnib2", "getnib3", "getnib4", "getnib5", "getnib6", "getnib7", + "rolnib0", "rolnib1", "rolnib2", "rolnib3", "rolnib4", "rolnib5", "rolnib6", "rolnib7", + "setbyte0", "setbyte1", "setbyte2", "setbyte3", "getbyte0", "getbyte1", "getbyte2", "getbyte3", + "rolbyte0", "rolbyte1", "rolbyte2", "rolbyte3", "setword0", "setword1", "getword0", "getword1", + "rolword0", "rolword1", "setr", "setd", "sets", "decod", "bmask", "zerox", + "signx", "muxnits", "muxnibs", "movbyts", "mul", "muls", "addpix", "mulpix"}; + +int instruct[] = { + 0x04100000, 0x04500000, 0x04900000, 0x04d00000, 0x04300000, 0x04700000, 0x04b00000, 0x04f00000, + 0x08000000, 0x08080000, 0x08100000, 0x08180000, 0x08200000, 0x08280000, 0x08300000, 0x08380000, + 0x08400000, 0x08480000, 0x08500000, 0x08580000, 0x08600000, 0x08680000, 0x08700000, 0x08780000, + 0x08800000, 0x08880000, 0x08900000, 0x08980000, 0x08a00000, 0x08a80000, 0x08b00000, 0x08b80000, + 0x08c00000, 0x08c80000, 0x08d00000, 0x08d80000, 0x08e00000, 0x08e80000, 0x08f00000, 0x08f80000, + 0x09000000, 0x09080000, 0x09100000, 0x09180000, 0x09200000, 0x09280000, 0x09300000, 0x09380000, + 0x09400000, 0x09480000, 0x09a80000, 0x09b00000, 0x09b80000, 0x09c00000, 0x09c80000, 0x09d00000, + 0x09d80000, 0x09e00000, 0x09e80000, 0x09f80000, 0x0a080000, 0x0a180000, 0x0a400000, 0x0a480000}; + +int test_values[] = { 0, 1, 2, 0x7fffffff, 0x80000000, 0x80000001, 0xfffffffe, 0xffffffff }; + +int mailbox[4]; + +void alu(int opcode, int S, int D, int C, int Z, int *alu_q, int *alu_c, int *alu_z) +{ + mailbox[0] = opcode; + mailbox[1] = D; + mailbox[2] = S; + mailbox[3] = (Z << 1) | C; + testit(mailbox); + *alu_q = mailbox[1]; + *alu_c = mailbox[3] & 1; + *alu_z = (mailbox[3] >> 1) & 1; +} + +void writeTest(int index) +{ + int instr; + int testnum = 0; + int s1, d1, S, D, C, Z; + int alu_q, alu_c, alu_z; + char name[20]; + + strcpy(name, " "); + memcpy(name, opcodeName[index], strlen(opcodeName[index])); + printf("%s", name); +#ifdef PRINT_INPUT_VALUES + printf(" ---D---- ---S---- CZ = "); +#endif + printf("---Q---- CZ\n"); + instr = instruct[index] | 0xf000120a; + for (s1 = 0; s1 < NUM_VALUES; s1++) + { + S = test_values[s1]; + for (d1 = 0; d1 < NUM_VALUES; d1++) + { + D = test_values[d1]; + for (C = 0; C <= 1; C++) + { + for (Z = 0; Z <= 1; Z++) + { + alu(instr, S, D, C, Z, &alu_q, &alu_c, &alu_z); + printf("%02x %03x", index, testnum++); +#ifdef PRINT_INPUT_VALUES + printf(" %08x %08x %1x%1x =", D, S, C, Z); +#endif + printf(" %08x %x%x\n", alu_q, alu_c, alu_z); + } + } + } + } +} + +int main(void) +{ + int j; + sleep(1); + for (j = 0; j < 64; j++) + { + writeTest(j); + } + return 0; +} + +void testit(int *list) +{ + __asm__(" rdlong r1, r0"); + __asm__(" wrlong r1, ##instruct"); + __asm__(" add r0, #4"); + __asm__(" rdlong r2, r0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r3, r0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r1, r0"); + __asm__(" shr r1, #1 wc"); + __asm__(" and r1, #1"); + __asm__(" xor r1, #1 wz"); + __asm__(" jmp #instruct"); + __asm__("instruct mov r2, r3 wcz"); + __asm__(" if_nz_and_nc mov r1, #0"); + __asm__(" if_nz_and_c mov r1, #1"); + __asm__(" if_z_and_nc mov r1, #2"); + __asm__(" if_z_and_c mov r1, #3"); + __asm__(" wrlong r1, r0"); + __asm__(" sub r0, #8"); + __asm__(" wrlong r2, r0"); +} + diff --git a/verify/testopsa.bin b/verify/testopsa.bin new file mode 100755 index 0000000..71480b2 Binary files /dev/null and b/verify/testopsa.bin differ diff --git a/verify/testopsa.c b/verify/testopsa.c new file mode 100755 index 0000000..da46d1b --- /dev/null +++ b/verify/testopsa.c @@ -0,0 +1,167 @@ +/* + * Testbench for the alu + * (c) Pacito.Sys + */ +#include +#include + +#define PRINT_INPUT_VALUES + +#define MAX_POS 0x7fffffff +#define MAX_NEG 0x80000000 +#define MAX_NEG1 0x80000001 +#define WZ_BIT 0x00080000 +#define WC_BIT 0x00100000 + +void testit(int *); + +char *opcodeName[] = { + "altsn", "altgn/g", "altgn/r", "altsb", "altgb/g", "altgb/r", "altsw", "altgw/g", + "altgw/r", "altr", "altd", "alts", "altb", "alti"}; + +int instruct[] = { + 0xf804015a, 0xf8401400, 0xf8801400, 0xf8c4015a, 0xf8e01400, 0xf9001400, 0xf924015a, 0xf9301400, + 0xf9401400, 0xf1001208, 0xf1001208, 0xf1001208, 0xf1001208, 0xf1001009}; + +int inst[] = { + 0xf9501009, 0xf9581009, 0xf9581009, 0xf9601009, 0xf9681009, 0xf9681009, 0xf9701009, 0xf9781009, + 0xf9781009, 0xf9801009, 0xf9881009, 0xf9901009, 0xf9981009, 0xf9a01208}; + +int vshift[] = {3, 3, 3, 2, 2, 2, 1, 1, 1, 0, 0, 0, 5, 0}; + +int mailbox[6]; + +void alu(int opcode, int S, int D, int C, int Z, int opcode1, int Q, int *alu_r, int *alu_c, int *alu_z, int *alu_x, int *alu_y) +{ + mailbox[0] = opcode; + mailbox[1] = opcode1; + mailbox[2] = Q; + mailbox[3] = D; + mailbox[4] = S; + testit(mailbox); + *alu_r = mailbox[2]; + *alu_x = mailbox[3]; + *alu_y = mailbox[4]; + *alu_c = 0; + *alu_z = 0; +} + +void writeTest(int index) +{ + int instr, instr1; + int testnum = 0; + int r1, r2, r3, incr, roff, val; + int alu_r, alu_c, alu_z, alu_x, alu_y; + char name[20]; + int vnum = 1 << vshift[index]; + int vshi = vshift[index]; + + if (vshi == 5) vnum = 1; + + strcpy(name, " "); + memcpy(name, opcodeName[index], strlen(opcodeName[index])); + printf("instr "); +#ifdef PRINT_INPUT_VALUES + printf(" ---r3--- ---r2--- CZ ---r1--- = "); +#endif + printf("---r1--- CZ ---r2--- ---r3---\n"); + instr = instruct[index]; + instr1 = inst[index]; + for (r3 = 0x12345678; r3 <= 0x12345678; r3++) + { + for (r2 = 0x78900003; r2 <= 0x78900003; r2++) + { + for (incr = -2; incr <= 2; incr++) + { + for (roff = 5; roff <= 7; roff++) + { + for (val = 0; val < vnum; val++) + { + r1 = (roff << vshi) | val; + r2 |= (incr & 511) << 9; + alu(instr, r3, r2, 0, 0, instr1, r1, &alu_r, &alu_c, &alu_z, &alu_x, &alu_y); + printf(name); +#ifdef PRINT_INPUT_VALUES + printf(" %08x %08x %1x%1x", r3, r2, 0, 0); + printf(" %08x =", r1); +#endif + printf(" %08x %x%x", alu_r, alu_c, alu_z); + printf(" %08x %08x\n", alu_x, alu_y); + } + } + } + } + } +} + +void writeTestAlti(int index) +{ + int instr, instr1; + int testnum = 0; + int r1, r2, r3, incr, roff, val; + int alu_r, alu_c, alu_z, alu_x, alu_y; + char name[20]; + + strcpy(name, " "); + memcpy(name, opcodeName[index], strlen(opcodeName[index])); + printf("instr "); +#ifdef PRINT_INPUT_VALUES + printf(" ---r3--- ---r2--- CZ ---r1--- = "); +#endif + printf("---r1--- CZ ---r2--- ---r3---\n"); + instr = instruct[index]; + instr1 = inst[index]; + r3 = 0x12345678; + for (val = 0; val < 512; val++) + { + if ((val >> 6) == 5) + r2 = 0xf1801208; + else + r2 = (10 << 19) | (9 << 9) | 8; + r1 = 0x2ee00 | val; + alu(instr, r3, r2, 0, 0, instr1, r1, &alu_r, &alu_c, &alu_z, &alu_x, &alu_y); + printf(name); +#ifdef PRINT_INPUT_VALUES + printf(" %08x %08x %1x%1x", r3, r2, 0, 0); + printf(" %08x =", r1); +#endif + printf(" %08x %x%x", alu_r, alu_c, alu_z); + printf(" %08x %08x\n", alu_x, alu_y); + } +} + +int main(void) +{ + int j; + sleep(1); + for (j = 0; j < 13; j++) + { + writeTest(j); + } + writeTestAlti(13); + return 0; +} + +void testit(int *list) +{ + __asm__(" rdlong r1, r0"); + __asm__(" wrlong r1, ##instruct"); + __asm__(" add r0, #4"); + __asm__(" rdlong r1, r0"); + __asm__(" wrlong r1, ##instruct0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r1, r0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r2, r0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r3, r0"); + __asm__(" jmp #instruct0"); + __asm__("instruct0 setq r1"); + __asm__("instruct add r2, r3 wcz"); + __asm__(" wrlong r3, r0"); + __asm__(" sub r0, #4"); + __asm__(" wrlong r2, r0"); + __asm__(" sub r0, #4"); + __asm__(" wrlong r1, r0"); +} + diff --git a/verify/testopsd.bin b/verify/testopsd.bin new file mode 100755 index 0000000..368a779 Binary files /dev/null and b/verify/testopsd.bin differ diff --git a/verify/testopsd.c b/verify/testopsd.c new file mode 100755 index 0000000..13def91 --- /dev/null +++ b/verify/testopsd.c @@ -0,0 +1,124 @@ +/* + * Testbench for the alu + * (c) Pacito.Sys + */ +#include +#include + +#define PRINT_INPUT_VALUES + +#define NUM_VALUES 38 +#define MAX_POS 0x7fffffff +#define MAX_NEG 0x80000000 +#define MAX_NEG1 0x80000001 +#define WZ_BIT 0x00080000 +#define WC_BIT 0x00100000 + +void testit(int *); + +char *opcodeName[] = { + "splitb", "mergeb", "splitw", "mergew", "seussf", "seussr", "rgbsqz", "rgbexp", + "rev", "rczr", "rczl", "wrc", "wrnc", "wrz", "wrnz", "modcz"}; + +int instruct[] = { + 0x0d600060, 0x0d600061, 0x0d600062, 0x0d600063, 0x0d600064, 0x0d600065, 0x0d600066, 0x0d600067, + 0x0d600069, 0x0d78006a, 0x0d78006b, 0x0d60006c, 0x0d60006d, 0x0d60006e, 0x0d60006f, 0x0d7c006f}; + +int test_values[38] = { 0, 1, 2, 0x7fffffff, 0x80000000, 0x80000001, 0xfffffffe, 0xffffffff, + 4, 8, 0x10, 0x20, 0x40, 0x80, 0x100, 0x200, 0x400, 0x800, 0x1000, 0x2000, 0x4000, 0x8000, + 0x10000, 0x20000, 0x40000, 0x80000, 0x100000, 0x200000, 0x400000, 0x800000, 0x1000000, + 0x2000000, 0x4000000, 0x8000000, 0x10000000, 0x20000000, 0x40000000, 0x80000000}; + +int mailbox[4]; + +void alu(int opcode, int S, int D, int C, int Z, int *alu_q, int *alu_c, int *alu_z) +{ + mailbox[0] = opcode; + mailbox[1] = D; + mailbox[2] = S; + mailbox[3] = (Z << 1) | C; + testit(mailbox); + *alu_q = mailbox[1]; + *alu_c = mailbox[3] & 1; + *alu_z = (mailbox[3] >> 1) & 1; +} + +void writeTest(int index) +{ + int instr; + int testnum = 0; + int s1, d1, S, D, C, Z; + int alu_q, alu_c, alu_z; + char name[20]; + + strcpy(name, " "); + memcpy(name, opcodeName[index], strlen(opcodeName[index])); + printf("%s", name); +#ifdef PRINT_INPUT_VALUES + printf(" ---D---- ---S---- CZ = "); +#endif + printf("---Q---- CZ\n"); + instr = instruct[index] | 0xf0001200; + for (s1 = 0; s1 < 1; s1++) + { + S = test_values[s1]; + for (d1 = 0; d1 < NUM_VALUES; d1++) + { + if (index == 15) + { + D = d1; + instr = (instr & ~0x3fe00) | ((D & 255) << 9); + } + else + D = test_values[d1]; + for (C = 0; C <= 1; C++) + { + for (Z = 0; Z <= 1; Z++) + { + alu(instr, S, D, C, Z, &alu_q, &alu_c, &alu_z); + printf("%02x %03x", index, testnum++); +#ifdef PRINT_INPUT_VALUES + printf(" %08x %08x %1x%1x =", D, S, C, Z); +#endif + printf(" %08x %x%x\n", alu_q, alu_c, alu_z); + } + } + } + } +} + +int main(void) +{ + int j; + sleep(1); + for (j = 0; j < 16; j++) + { + writeTest(j); + } + return 0; +} + +void testit(int *list) +{ + __asm__(" rdlong r1, r0"); + __asm__(" wrlong r1, ##instruct"); + __asm__(" add r0, #4"); + __asm__(" rdlong r2, r0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r3, r0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r1, r0"); + __asm__(" shr r1, #1 wc"); + __asm__(" and r1, #1"); + __asm__(" xor r1, #1 wz"); + __asm__(" jmp #instruct"); + __asm__("instruct mov r2, r3 wcz"); + __asm__(" if_nz_and_nc mov r1, #0"); + __asm__(" if_nz_and_c mov r1, #1"); + __asm__(" if_z_and_nc mov r1, #2"); + __asm__(" if_z_and_c mov r1, #3"); + __asm__(" wrlong r1, r0"); + __asm__(" sub r0, #8"); + __asm__(" wrlong r2, r0"); +} + diff --git a/verify/testopsq.bin b/verify/testopsq.bin new file mode 100755 index 0000000..2b7c492 Binary files /dev/null and b/verify/testopsq.bin differ diff --git a/verify/testopsq.c b/verify/testopsq.c new file mode 100755 index 0000000..e77c24d --- /dev/null +++ b/verify/testopsq.c @@ -0,0 +1,171 @@ +/* + * Testbench for the alu + * (c) Pacito.Sys + */ +#include +#include + +#define PRINT_INPUT_VALUES + +#define NUM_VALUES 8 +#define MAX_POS 0x7fffffff +#define MAX_NEG 0x80000000 +#define MAX_NEG1 0x80000001 +#define WZ_BIT 0x00080000 +#define WC_BIT 0x00100000 +#define SETQ_INSTR 0xfd601016 +#define SETPIX_INS 0xfd60103d +#define SETPIV_INS 0xfd60103e +#define SCLU_INSTR 0xfa20100a +#define SCL_INSTR 0xfa30100a + +void testit(int *); + +char *opcodeName[] = { + "qmul", "qdiv", "qfrac", "qsqrt", "qrotate", "qvector", "qlog", "qexp", + "muxq", "blnpix", "mixpix", "sclu", "scl" + }; + +int instruct[] = { + 0x0d000000, 0x0d100000, 0x0d200000, 0x0d300000, 0x0d400000, 0x0d500000, 0x0d60000e, 0x0d60000f, + 0x09f00000, 0x0a500000, 0x0a580000, 0x06040000, 0x06040000 + }; + +int snum[] = { NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, 1, 1, + NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES}; +int dnum[] = { NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, 38, 38, + NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES}; +int qnum[] = { 1, NUM_VALUES, NUM_VALUES, NUM_VALUES, 1, NUM_VALUES, 1, 1, + NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES}; +int inst[] = { SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, + SETQ_INSTR, SETPIV_INS, SETPIX_INS, SCLU_INSTR, SCL_INSTR}; + +int test_values[38] = { 0, 1, 2, 0x7fffffff, 0x80000000, 0x80000001, 0xfffffffe, 0xffffffff, + 4, 8, 0x10, 0x20, 0x40, 0x80, 0x100, 0x200, 0x400, 0x800, 0x1000, 0x2000, 0x4000, 0x8000, + 0x10000, 0x20000, 0x40000, 0x80000, 0x100000, 0x200000, 0x400000, 0x800000, 0x1000000, + 0x2000000, 0x4000000, 0x8000000, 0x10000000, 0x20000000, 0x40000000, 0x80000000}; + +int mailbox[6]; + +void alu(int opcode, int S, int D, int C, int Z, int opcode1, int Q, int *alu_r, int *alu_c, int *alu_z, int *alu_x, int *alu_y) +{ + mailbox[0] = opcode; + mailbox[1] = D; + mailbox[2] = S; + mailbox[3] = (Z << 1) | C; + mailbox[4] = opcode1; + mailbox[5] = Q; + testit(mailbox); + *alu_r = mailbox[1]; + *alu_c = mailbox[3] & 1; + *alu_z = (mailbox[3] >> 1) & 1; + *alu_x = mailbox[4]; + *alu_y = mailbox[5]; +} + +void writeTest(int index) +{ + int instr, instr1; + int testnum = 0; + int s1, d1, q1, S, D, C, Z, Q; + int alu_r, alu_c, alu_z, alu_x, alu_y; + char name[20]; + + strcpy(name, " "); + memcpy(name, opcodeName[index], strlen(opcodeName[index])); +#if 0 + printf("%s", name); +#else + printf("instr "); +#endif +#ifdef PRINT_INPUT_VALUES + printf(" ---D---- ---S---- CZ ---Q---- = "); +#endif + printf("---R---- CZ ---X---- ---Y----\n"); + if (snum[index] <= 2) + instr = instruct[index] | 0xf0001200; + else + instr = instruct[index] | 0xf000120a; + instr1 = inst[index]; + for (q1 = 0; q1 < qnum[index]; q1++) + { + Q = test_values[q1]; + for (s1 = 0; s1 < snum[index]; s1++) + { + S = test_values[s1]; + for (d1 = 0; d1 < dnum[index]; d1++) + { + D = test_values[d1]; + for (C = 0; C < 1; C++) + { + for (Z = 0; Z < 1; Z++) + { + alu(instr, S, D, C, Z, instr1, Q, &alu_r, &alu_c, &alu_z, &alu_x, &alu_y); +#if 0 + printf("%02x %03x", index, testnum++); +#else + printf(name); +#endif +#ifdef PRINT_INPUT_VALUES + printf(" %08x %08x %1x%1x", D, S, C, Z); + printf(" %08x =", Q); +#endif + printf(" %08x %x%x", alu_r, alu_c, alu_z); + printf(" %08x %08x\n", alu_x, alu_y); + } + } + } + } + } +} + +int main(void) +{ + int j; + sleep(1); + for (j = 0; j < 13; j++) + { + if (j == 1 || j == 2 || j == 4 || j == 5) continue; + writeTest(j); + } + return 0; +} + +void testit(int *list) +{ + __asm__(" rdlong r1, r0"); + __asm__(" wrlong r1, ##instruct"); + __asm__(" add r0, #4"); + __asm__(" rdlong r2, r0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r3, r0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r1, r0"); + __asm__(" shr r1, #1 wc"); + __asm__(" and r1, #1"); + __asm__(" xor r1, #1 wz"); + __asm__(" add r0, #4"); + __asm__(" rdlong r1, r0"); + __asm__(" wrlong r1, ##instruct0"); + __asm__(" add r0, #4"); + __asm__(" rdlong r1, r0"); + __asm__(" jmp #instruct0"); + __asm__("instruct0 setq r1"); + __asm__("instruct add r2, r3 wcz"); + __asm__(" 'add r0, #4"); + __asm__(" sub r0, #4"); + __asm__(" getqx r1"); + __asm__(" wrlong r1, r0"); + __asm__(" add r0, #4"); + __asm__(" getqy r1"); + __asm__(" wrlong r1, r0"); + __asm__(" sub r0, #8"); + __asm__(" if_nz_and_nc mov r1, #0"); + __asm__(" if_nz_and_c mov r1, #1"); + __asm__(" if_z_and_nc mov r1, #2"); + __asm__(" if_z_and_c mov r1, #3"); + __asm__(" wrlong r1, r0"); + __asm__(" sub r0, #8"); + __asm__(" wrlong r2, r0"); +} +