From 3b728bd09e841118c78b3bd2124dea9c2f1254f6 Mon Sep 17 00:00:00 2001 From: davehein Date: Sun, 15 Apr 2018 19:55:21 -0500 Subject: [PATCH] Fixes to make the verify tests match FPGA v32b --- README.md | 12 +- disasm2.c | 2 +- pasmsim2.c | 87 +- verify/testhdw.txt | 3386 +++++++++++++++++++++---------------------- verify/testhdwq.txt | 2048 +++++++++++++------------- verify/testops.c | 8 +- verify/testops1.c | 4 +- verify/testopsq.c | 14 +- 8 files changed, 2812 insertions(+), 2749 deletions(-) diff --git a/README.md b/README.md index 6049c6f..f8e9407 100755 --- a/README.md +++ b/README.md @@ -1,11 +1,11 @@ Spinsim 0.98 -This version of spinsim supports most of the opcodes in the P2 v32a instruction +This version of spinsim supports most of the opcodes in the P2 v32b instruction set. The opcodes that are not supported are as follows: - xzero xinit xcont clkset setdacs setxfrq getxcos getxsin - setbrk setcy setci setcq setcfrq setcmod getrnd xoro32 - skip skipf execf + xzero xinit xcont hubset setdacs setxfrq getxacc getbrk + cogbrk brk setcy setci setcq setcfrq setcmod getrnd + xoro32 skip skipf execf skip, skipf and execf have been partially implemented, but do not handle jumps or interrupts correctly. @@ -23,8 +23,8 @@ make. The Windows executable, spinsim.exe is included with this distribution. The sub-directory verify contains five programs that have been used to test spinsim against the FPGA implementation. Approximately 150 instructions have been verified to match the hardware. The verify directory contains the -original C source code and the binary each of the five programs. It also -contains the output from running the test programs on the FPGA. +original C source code and the output from running the test programs on the +FPGA. A test program can be run by going into the verify directory and typing diff --git a/disasm2.c b/disasm2.c index 53c8f03..9317b07 100755 --- a/disasm2.c +++ b/disasm2.c @@ -91,7 +91,7 @@ static char *group8[] = { "setint1", "setint2", "setint3", "setq", "setq2", "push", "pop", "jmp", "call", "calla", "callb", "jmprel", "skip", "skipf", "execf", "getptr", "getbrk", "brk", "setluts", - "setcy", "setci", "setcq", "setcfrq", "setcmod", "setpix", "setpiv", "cogatn", + "setcy", "setci", "setcq", "setcfrq", "setcmod", "setpiv", "setpix", "cogatn", "dirl", "dirh", "dirc", "dirnc", "dirz", "dirnz", "dirrnd", "dirnot", "outl", "outh", "outc", "outnc", "outz", "outnz", "outrnd", "outnot", "fltl", "flth", "fltc", "fltnc", "fltz", "fltnz", "fltrnd", "fltnot", diff --git a/pasmsim2.c b/pasmsim2.c index 0d5f44a..96fa688 100755 --- a/pasmsim2.c +++ b/pasmsim2.c @@ -1670,6 +1670,7 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) case 1: // addxx, subxx switch (opcode & 7) { + int64_t d_result; case 0: // add result = value1 + value2; cflag = (((value1 & value2) | ((value1 | value2) & (~result))) >> 31) & 1; @@ -1683,15 +1684,27 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) break; case 2: // adds +#ifdef OLD_SIGNED_CFLAG result = value1 + value2; cflag = (((~(value1 ^ value2)) & (value1 ^ result)) >> 31) & 1; +#else + d_result = (int64_t)value1 + (int64_t)value2; + result = (int32_t)d_result; + cflag = (int32_t)(d_result >> 32) & 1; +#endif zflag = (result == 0); if (kludge) cflag = 0; break; case 3: // addsx +#ifdef OLD_SIGNED_CFLAG result = value1 + value2 + cflag; - cflag = (((~(value1 ^ value2)) & (value1 ^ result)) >> 31) & 1; + cflag = ((value1 ^ value2) & 0x80000000) ? ((result >> 31) & 1) : ((value1 >> 31) & 1); +#else + d_result = (int64_t)value1 + (int64_t)value2 + (int64_t)cflag; + result = (int32_t)d_result; + cflag = (int32_t)(d_result >> 32) & 1; +#endif zflag = (result == 0) & zflag; if (kludge) cflag = 0; break; @@ -1711,15 +1724,27 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) break; case 6: // subs +#ifdef OLD_SIGNED_CFLAG result = value1 - value2; cflag = (((value1 ^ value2) & (value1 ^ result)) >> 31) & 1; +#else + d_result = (int64_t)value1 - (int64_t)value2; + result = (int32_t)d_result; + cflag = (int32_t)(d_result >> 32) & 1; +#endif zflag = (result == 0); if (kludge) cflag = 0; break; case 7: // subsx +#ifdef OLD_SIGNED_CFLAG result = value1 - value2 - cflag; cflag = (((value1 ^ value2) & (value1 ^ result)) >> 31) & 1; +#else + d_result = (int64_t)value1 - (int64_t)value2 - (int64_t)cflag; + result = (int32_t)d_result; + cflag = (int32_t)(d_result >> 32) & 1; +#endif zflag = (result == 0) & zflag; if (kludge) cflag = 0; break; @@ -1791,6 +1816,7 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) case 3: // fge, fle, fges, fles, sumxx switch (opcode & 7) { + int64_t d_result; case 0: // fge cflag = (((uint32_t)value1) < ((uint32_t)value2)); result = cflag ? value2 : value1; @@ -1812,30 +1838,54 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) break; case 4: // sumc +#ifdef OLD_SIGNED_CFLAG result = cflag ? value1 - value2 : value1 + value2; cflag = (~cflag) << 31; cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; +#else + d_result = cflag ? (int64_t)value1 - (int64_t)value2 : (int64_t)value1 + (int64_t)value2; + result = (int32_t)d_result; + cflag = (int32_t)(d_result >> 32) & 1; +#endif if (kludge) cflag = 0; break; case 5: // sumnc +#ifdef OLD_SIGNED_CFLAG result = cflag ? value1 + value2 : value1 - value2; cflag = cflag << 31; cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; +#else + d_result = cflag ? (int64_t)value1 + (int64_t)value2 : (int64_t)value1 - (int64_t)value2; + result = (int32_t)d_result; + cflag = (int32_t)(d_result >> 32) & 1; +#endif if (kludge) cflag = 0; break; case 6: // sumz +#ifdef OLD_SIGNED_CFLAG result = zflag ? value1 - value2 : value1 + value2; cflag = (~zflag) << 31; cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; +#else + d_result = zflag ? (int64_t)value1 - (int64_t)value2 : (int64_t)value1 + (int64_t)value2; + result = (int32_t)d_result; + cflag = (int32_t)(d_result >> 32) & 1; +#endif if (kludge) cflag = 0; break; case 7: // sumnz +#ifdef OLD_SIGNED_CFLAG result = zflag ? value1 + value2 : value1 - value2; cflag = zflag << 31; cflag = (((cflag ^ value1 ^ value2) & (value1 ^ result)) >> 31) & 1; +#else + d_result = zflag ? (int64_t)value1 + (int64_t)value2 : (int64_t)value1 - (int64_t)value2; + result = (int32_t)d_result; + cflag = (int32_t)(d_result >> 32) & 1; +#endif if (kludge) cflag = 0; break; @@ -2086,10 +2136,11 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) case 3: // signx temp = 31 - (value2 & 31); result = (value1 << temp) >> temp; + cflag = (result >> 31) & 1; break; case 4: // encod - cflag = (value2 == 0); + cflag = (value2 != 0); for (result = 31; result > 0; result--) { if (value2 & 0x80000000) break; @@ -2098,10 +2149,14 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) if (kludge) cflag = result & 1; break; - case 5: // ones (was anyb) - result = value1 | value2; - cflag = parity(result); - write_czr &= 6; + case 5: // ones + result = 0; + for (i = 0; i < 32; i++) + { + result += (value2 & 1); + value2 >>= 1; + } + cflag = (result & 1); break; case 6: // test @@ -2510,8 +2565,8 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) case 1: // mulpix for (i = 0; i < 4; i++) { - temp = (value1 & 255) * (value2 &255); - temp += (temp + 255) >> 8; + temp = (value1 & 255) * (value2 & 255); + temp = (temp + 255) >> 8; result = ((uint32_t)result >> 8) | (temp << 24); value1 >>= 8; value2 >>= 8; @@ -2523,9 +2578,17 @@ int32_t ExecutePasmInstruction2(PasmVarsT *pasmvars) dmix = (smix ^ 255); for (i = 0; i < 4; i++) { +#if 1 temp = (value1 & 255) * dmix; temp += (value2 & 255) * smix; temp = (temp + 255) >> 8; +#else + dmix = 256 - smix; + temp = (value1 & 255) * dmix; + temp += (value2 & 255) * smix; + temp = (temp + 255) >> 8; + if (temp > 255) temp = 255; +#endif result = ((uint32_t)result >> 8) | (temp << 24); value1 >>= 8; value2 >>= 8; @@ -3734,12 +3797,12 @@ if (streamflag) printf("\nSTREAM COLLISION\n"); NotImplemented(instruct); break; - case 61: // setpix - pasmvars->mixpix_mode = value1 & 63; + case 61: // setpiv + pasmvars->blnpix_var = value1 & 255; break; - case 62: // setpiv - pasmvars->blnpix_var = value1 & 255; + case 62: // setpix + pasmvars->mixpix_mode = value1 & 63; break; case 63: // cogatn diff --git a/verify/testhdw.txt b/verify/testhdw.txt index 787ac52..7239392 100755 --- a/verify/testhdw.txt +++ b/verify/testhdw.txt @@ -2585,22 +2585,22 @@ adds ---D---- ---S---- CZ = ---Q---- CZ 0A 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 0A 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 0A 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 -0A 010 80000000 00000000 00 = 80000000 00 -0A 011 80000000 00000000 01 = 80000000 00 -0A 012 80000000 00000000 10 = 80000000 00 -0A 013 80000000 00000000 11 = 80000000 00 -0A 014 80000001 00000000 00 = 80000001 00 -0A 015 80000001 00000000 01 = 80000001 00 -0A 016 80000001 00000000 10 = 80000001 00 -0A 017 80000001 00000000 11 = 80000001 00 -0A 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 -0A 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 -0A 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 -0A 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 -0A 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 -0A 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 -0A 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 -0A 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +0A 010 80000000 00000000 00 = 80000000 10 +0A 011 80000000 00000000 01 = 80000000 10 +0A 012 80000000 00000000 10 = 80000000 10 +0A 013 80000000 00000000 11 = 80000000 10 +0A 014 80000001 00000000 00 = 80000001 10 +0A 015 80000001 00000000 01 = 80000001 10 +0A 016 80000001 00000000 10 = 80000001 10 +0A 017 80000001 00000000 11 = 80000001 10 +0A 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +0A 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +0A 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +0A 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +0A 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +0A 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +0A 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +0A 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 0A 020 00000000 00000001 00 = 00000001 00 0A 021 00000000 00000001 01 = 00000001 00 0A 022 00000000 00000001 10 = 00000001 00 @@ -2613,22 +2613,22 @@ adds ---D---- ---S---- CZ = ---Q---- CZ 0A 029 00000002 00000001 01 = 00000003 00 0A 02A 00000002 00000001 10 = 00000003 00 0A 02B 00000002 00000001 11 = 00000003 00 -0A 02C 7FFFFFFF 00000001 00 = 80000000 10 -0A 02D 7FFFFFFF 00000001 01 = 80000000 10 -0A 02E 7FFFFFFF 00000001 10 = 80000000 10 -0A 02F 7FFFFFFF 00000001 11 = 80000000 10 -0A 030 80000000 00000001 00 = 80000001 00 -0A 031 80000000 00000001 01 = 80000001 00 -0A 032 80000000 00000001 10 = 80000001 00 -0A 033 80000000 00000001 11 = 80000001 00 -0A 034 80000001 00000001 00 = 80000002 00 -0A 035 80000001 00000001 01 = 80000002 00 -0A 036 80000001 00000001 10 = 80000002 00 -0A 037 80000001 00000001 11 = 80000002 00 -0A 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 -0A 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 -0A 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 -0A 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 +0A 02C 7FFFFFFF 00000001 00 = 80000000 00 +0A 02D 7FFFFFFF 00000001 01 = 80000000 00 +0A 02E 7FFFFFFF 00000001 10 = 80000000 00 +0A 02F 7FFFFFFF 00000001 11 = 80000000 00 +0A 030 80000000 00000001 00 = 80000001 10 +0A 031 80000000 00000001 01 = 80000001 10 +0A 032 80000000 00000001 10 = 80000001 10 +0A 033 80000000 00000001 11 = 80000001 10 +0A 034 80000001 00000001 00 = 80000002 10 +0A 035 80000001 00000001 01 = 80000002 10 +0A 036 80000001 00000001 10 = 80000002 10 +0A 037 80000001 00000001 11 = 80000002 10 +0A 038 FFFFFFFE 00000001 00 = FFFFFFFF 10 +0A 039 FFFFFFFE 00000001 01 = FFFFFFFF 10 +0A 03A FFFFFFFE 00000001 10 = FFFFFFFF 10 +0A 03B FFFFFFFE 00000001 11 = FFFFFFFF 10 0A 03C FFFFFFFF 00000001 00 = 00000000 01 0A 03D FFFFFFFF 00000001 01 = 00000000 01 0A 03E FFFFFFFF 00000001 10 = 00000000 01 @@ -2645,18 +2645,18 @@ adds ---D---- ---S---- CZ = ---Q---- CZ 0A 049 00000002 00000002 01 = 00000004 00 0A 04A 00000002 00000002 10 = 00000004 00 0A 04B 00000002 00000002 11 = 00000004 00 -0A 04C 7FFFFFFF 00000002 00 = 80000001 10 -0A 04D 7FFFFFFF 00000002 01 = 80000001 10 -0A 04E 7FFFFFFF 00000002 10 = 80000001 10 -0A 04F 7FFFFFFF 00000002 11 = 80000001 10 -0A 050 80000000 00000002 00 = 80000002 00 -0A 051 80000000 00000002 01 = 80000002 00 -0A 052 80000000 00000002 10 = 80000002 00 -0A 053 80000000 00000002 11 = 80000002 00 -0A 054 80000001 00000002 00 = 80000003 00 -0A 055 80000001 00000002 01 = 80000003 00 -0A 056 80000001 00000002 10 = 80000003 00 -0A 057 80000001 00000002 11 = 80000003 00 +0A 04C 7FFFFFFF 00000002 00 = 80000001 00 +0A 04D 7FFFFFFF 00000002 01 = 80000001 00 +0A 04E 7FFFFFFF 00000002 10 = 80000001 00 +0A 04F 7FFFFFFF 00000002 11 = 80000001 00 +0A 050 80000000 00000002 00 = 80000002 10 +0A 051 80000000 00000002 01 = 80000002 10 +0A 052 80000000 00000002 10 = 80000002 10 +0A 053 80000000 00000002 11 = 80000002 10 +0A 054 80000001 00000002 00 = 80000003 10 +0A 055 80000001 00000002 01 = 80000003 10 +0A 056 80000001 00000002 10 = 80000003 10 +0A 057 80000001 00000002 11 = 80000003 10 0A 058 FFFFFFFE 00000002 00 = 00000000 01 0A 059 FFFFFFFE 00000002 01 = 00000000 01 0A 05A FFFFFFFE 00000002 10 = 00000000 01 @@ -2669,22 +2669,22 @@ adds ---D---- ---S---- CZ = ---Q---- CZ 0A 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 0A 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 0A 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 -0A 064 00000001 7FFFFFFF 00 = 80000000 10 -0A 065 00000001 7FFFFFFF 01 = 80000000 10 -0A 066 00000001 7FFFFFFF 10 = 80000000 10 -0A 067 00000001 7FFFFFFF 11 = 80000000 10 -0A 068 00000002 7FFFFFFF 00 = 80000001 10 -0A 069 00000002 7FFFFFFF 01 = 80000001 10 -0A 06A 00000002 7FFFFFFF 10 = 80000001 10 -0A 06B 00000002 7FFFFFFF 11 = 80000001 10 -0A 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 -0A 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 -0A 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 -0A 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 -0A 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 -0A 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 -0A 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 -0A 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +0A 064 00000001 7FFFFFFF 00 = 80000000 00 +0A 065 00000001 7FFFFFFF 01 = 80000000 00 +0A 066 00000001 7FFFFFFF 10 = 80000000 00 +0A 067 00000001 7FFFFFFF 11 = 80000000 00 +0A 068 00000002 7FFFFFFF 00 = 80000001 00 +0A 069 00000002 7FFFFFFF 01 = 80000001 00 +0A 06A 00000002 7FFFFFFF 10 = 80000001 00 +0A 06B 00000002 7FFFFFFF 11 = 80000001 00 +0A 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 00 +0A 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 00 +0A 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 00 +0A 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 00 +0A 070 80000000 7FFFFFFF 00 = FFFFFFFF 10 +0A 071 80000000 7FFFFFFF 01 = FFFFFFFF 10 +0A 072 80000000 7FFFFFFF 10 = FFFFFFFF 10 +0A 073 80000000 7FFFFFFF 11 = FFFFFFFF 10 0A 074 80000001 7FFFFFFF 00 = 00000000 01 0A 075 80000001 7FFFFFFF 01 = 00000000 01 0A 076 80000001 7FFFFFFF 10 = 00000000 01 @@ -2697,22 +2697,22 @@ adds ---D---- ---S---- CZ = ---Q---- CZ 0A 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 0A 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 0A 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 -0A 080 00000000 80000000 00 = 80000000 00 -0A 081 00000000 80000000 01 = 80000000 00 -0A 082 00000000 80000000 10 = 80000000 00 -0A 083 00000000 80000000 11 = 80000000 00 -0A 084 00000001 80000000 00 = 80000001 00 -0A 085 00000001 80000000 01 = 80000001 00 -0A 086 00000001 80000000 10 = 80000001 00 -0A 087 00000001 80000000 11 = 80000001 00 -0A 088 00000002 80000000 00 = 80000002 00 -0A 089 00000002 80000000 01 = 80000002 00 -0A 08A 00000002 80000000 10 = 80000002 00 -0A 08B 00000002 80000000 11 = 80000002 00 -0A 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 -0A 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 -0A 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 -0A 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +0A 080 00000000 80000000 00 = 80000000 10 +0A 081 00000000 80000000 01 = 80000000 10 +0A 082 00000000 80000000 10 = 80000000 10 +0A 083 00000000 80000000 11 = 80000000 10 +0A 084 00000001 80000000 00 = 80000001 10 +0A 085 00000001 80000000 01 = 80000001 10 +0A 086 00000001 80000000 10 = 80000001 10 +0A 087 00000001 80000000 11 = 80000001 10 +0A 088 00000002 80000000 00 = 80000002 10 +0A 089 00000002 80000000 01 = 80000002 10 +0A 08A 00000002 80000000 10 = 80000002 10 +0A 08B 00000002 80000000 11 = 80000002 10 +0A 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +0A 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 +0A 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +0A 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 0A 090 80000000 80000000 00 = 00000000 11 0A 091 80000000 80000000 01 = 00000000 11 0A 092 80000000 80000000 10 = 00000000 11 @@ -2729,18 +2729,18 @@ adds ---D---- ---S---- CZ = ---Q---- CZ 0A 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 0A 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 0A 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 -0A 0A0 00000000 80000001 00 = 80000001 00 -0A 0A1 00000000 80000001 01 = 80000001 00 -0A 0A2 00000000 80000001 10 = 80000001 00 -0A 0A3 00000000 80000001 11 = 80000001 00 -0A 0A4 00000001 80000001 00 = 80000002 00 -0A 0A5 00000001 80000001 01 = 80000002 00 -0A 0A6 00000001 80000001 10 = 80000002 00 -0A 0A7 00000001 80000001 11 = 80000002 00 -0A 0A8 00000002 80000001 00 = 80000003 00 -0A 0A9 00000002 80000001 01 = 80000003 00 -0A 0AA 00000002 80000001 10 = 80000003 00 -0A 0AB 00000002 80000001 11 = 80000003 00 +0A 0A0 00000000 80000001 00 = 80000001 10 +0A 0A1 00000000 80000001 01 = 80000001 10 +0A 0A2 00000000 80000001 10 = 80000001 10 +0A 0A3 00000000 80000001 11 = 80000001 10 +0A 0A4 00000001 80000001 00 = 80000002 10 +0A 0A5 00000001 80000001 01 = 80000002 10 +0A 0A6 00000001 80000001 10 = 80000002 10 +0A 0A7 00000001 80000001 11 = 80000002 10 +0A 0A8 00000002 80000001 00 = 80000003 10 +0A 0A9 00000002 80000001 01 = 80000003 10 +0A 0AA 00000002 80000001 10 = 80000003 10 +0A 0AB 00000002 80000001 11 = 80000003 10 0A 0AC 7FFFFFFF 80000001 00 = 00000000 01 0A 0AD 7FFFFFFF 80000001 01 = 00000000 01 0A 0AE 7FFFFFFF 80000001 10 = 00000000 01 @@ -2757,18 +2757,18 @@ adds ---D---- ---S---- CZ = ---Q---- CZ 0A 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 0A 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 0A 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 -0A 0BC FFFFFFFF 80000001 00 = 80000000 00 -0A 0BD FFFFFFFF 80000001 01 = 80000000 00 -0A 0BE FFFFFFFF 80000001 10 = 80000000 00 -0A 0BF FFFFFFFF 80000001 11 = 80000000 00 -0A 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 -0A 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 -0A 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 -0A 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 -0A 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 -0A 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 -0A 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 -0A 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +0A 0BC FFFFFFFF 80000001 00 = 80000000 10 +0A 0BD FFFFFFFF 80000001 01 = 80000000 10 +0A 0BE FFFFFFFF 80000001 10 = 80000000 10 +0A 0BF FFFFFFFF 80000001 11 = 80000000 10 +0A 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +0A 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +0A 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +0A 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 +0A 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 10 +0A 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 10 +0A 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 10 +0A 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 10 0A 0C8 00000002 FFFFFFFE 00 = 00000000 01 0A 0C9 00000002 FFFFFFFE 01 = 00000000 01 0A 0CA 00000002 FFFFFFFE 10 = 00000000 01 @@ -2785,18 +2785,18 @@ adds ---D---- ---S---- CZ = ---Q---- CZ 0A 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 0A 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 0A 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 -0A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 -0A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 -0A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 00 -0A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 00 -0A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 -0A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 -0A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 00 -0A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 00 -0A 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 -0A 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 -0A 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 -0A 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +0A 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 10 +0A 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 10 +0A 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 10 +0A 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 10 +0A 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 10 +0A 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 10 +0A 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 10 +0A 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 10 +0A 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 +0A 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 +0A 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +0A 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 0A 0E4 00000001 FFFFFFFF 00 = 00000000 01 0A 0E5 00000001 FFFFFFFF 01 = 00000000 01 0A 0E6 00000001 FFFFFFFF 10 = 00000000 01 @@ -2813,18 +2813,18 @@ adds ---D---- ---S---- CZ = ---Q---- CZ 0A 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 0A 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 0A 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 -0A 0F4 80000001 FFFFFFFF 00 = 80000000 00 -0A 0F5 80000001 FFFFFFFF 01 = 80000000 00 -0A 0F6 80000001 FFFFFFFF 10 = 80000000 00 -0A 0F7 80000001 FFFFFFFF 11 = 80000000 00 -0A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 -0A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 -0A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 -0A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 -0A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 -0A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 -0A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 -0A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 +0A 0F4 80000001 FFFFFFFF 00 = 80000000 10 +0A 0F5 80000001 FFFFFFFF 01 = 80000000 10 +0A 0F6 80000001 FFFFFFFF 10 = 80000000 10 +0A 0F7 80000001 FFFFFFFF 11 = 80000000 10 +0A 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 10 +0A 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 10 +0A 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 10 +0A 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 10 +0A 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 10 +0A 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 10 +0A 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 10 +0A 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 10 addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 000 00000000 00000000 00 = 00000000 00 0B 001 00000000 00000000 01 = 00000000 01 @@ -2840,22 +2840,22 @@ addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 00B 00000002 00000000 11 = 00000003 00 0B 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 00 0B 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 -0B 00E 7FFFFFFF 00000000 10 = 80000000 10 -0B 00F 7FFFFFFF 00000000 11 = 80000000 10 -0B 010 80000000 00000000 00 = 80000000 00 -0B 011 80000000 00000000 01 = 80000000 00 -0B 012 80000000 00000000 10 = 80000001 00 -0B 013 80000000 00000000 11 = 80000001 00 -0B 014 80000001 00000000 00 = 80000001 00 -0B 015 80000001 00000000 01 = 80000001 00 -0B 016 80000001 00000000 10 = 80000002 00 -0B 017 80000001 00000000 11 = 80000002 00 -0B 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 -0B 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 -0B 01A FFFFFFFE 00000000 10 = FFFFFFFF 00 -0B 01B FFFFFFFE 00000000 11 = FFFFFFFF 00 -0B 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 -0B 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 +0B 00E 7FFFFFFF 00000000 10 = 80000000 00 +0B 00F 7FFFFFFF 00000000 11 = 80000000 00 +0B 010 80000000 00000000 00 = 80000000 10 +0B 011 80000000 00000000 01 = 80000000 10 +0B 012 80000000 00000000 10 = 80000001 10 +0B 013 80000000 00000000 11 = 80000001 10 +0B 014 80000001 00000000 00 = 80000001 10 +0B 015 80000001 00000000 01 = 80000001 10 +0B 016 80000001 00000000 10 = 80000002 10 +0B 017 80000001 00000000 11 = 80000002 10 +0B 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +0B 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +0B 01A FFFFFFFE 00000000 10 = FFFFFFFF 10 +0B 01B FFFFFFFE 00000000 11 = FFFFFFFF 10 +0B 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +0B 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 0B 01E FFFFFFFF 00000000 10 = 00000000 00 0B 01F FFFFFFFF 00000000 11 = 00000000 01 0B 020 00000000 00000001 00 = 00000001 00 @@ -2870,20 +2870,20 @@ addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 029 00000002 00000001 01 = 00000003 00 0B 02A 00000002 00000001 10 = 00000004 00 0B 02B 00000002 00000001 11 = 00000004 00 -0B 02C 7FFFFFFF 00000001 00 = 80000000 10 -0B 02D 7FFFFFFF 00000001 01 = 80000000 10 -0B 02E 7FFFFFFF 00000001 10 = 80000001 10 -0B 02F 7FFFFFFF 00000001 11 = 80000001 10 -0B 030 80000000 00000001 00 = 80000001 00 -0B 031 80000000 00000001 01 = 80000001 00 -0B 032 80000000 00000001 10 = 80000002 00 -0B 033 80000000 00000001 11 = 80000002 00 -0B 034 80000001 00000001 00 = 80000002 00 -0B 035 80000001 00000001 01 = 80000002 00 -0B 036 80000001 00000001 10 = 80000003 00 -0B 037 80000001 00000001 11 = 80000003 00 -0B 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 -0B 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 +0B 02C 7FFFFFFF 00000001 00 = 80000000 00 +0B 02D 7FFFFFFF 00000001 01 = 80000000 00 +0B 02E 7FFFFFFF 00000001 10 = 80000001 00 +0B 02F 7FFFFFFF 00000001 11 = 80000001 00 +0B 030 80000000 00000001 00 = 80000001 10 +0B 031 80000000 00000001 01 = 80000001 10 +0B 032 80000000 00000001 10 = 80000002 10 +0B 033 80000000 00000001 11 = 80000002 10 +0B 034 80000001 00000001 00 = 80000002 10 +0B 035 80000001 00000001 01 = 80000002 10 +0B 036 80000001 00000001 10 = 80000003 10 +0B 037 80000001 00000001 11 = 80000003 10 +0B 038 FFFFFFFE 00000001 00 = FFFFFFFF 10 +0B 039 FFFFFFFE 00000001 01 = FFFFFFFF 10 0B 03A FFFFFFFE 00000001 10 = 00000000 00 0B 03B FFFFFFFE 00000001 11 = 00000000 01 0B 03C FFFFFFFF 00000001 00 = 00000000 00 @@ -2902,18 +2902,18 @@ addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 049 00000002 00000002 01 = 00000004 00 0B 04A 00000002 00000002 10 = 00000005 00 0B 04B 00000002 00000002 11 = 00000005 00 -0B 04C 7FFFFFFF 00000002 00 = 80000001 10 -0B 04D 7FFFFFFF 00000002 01 = 80000001 10 -0B 04E 7FFFFFFF 00000002 10 = 80000002 10 -0B 04F 7FFFFFFF 00000002 11 = 80000002 10 -0B 050 80000000 00000002 00 = 80000002 00 -0B 051 80000000 00000002 01 = 80000002 00 -0B 052 80000000 00000002 10 = 80000003 00 -0B 053 80000000 00000002 11 = 80000003 00 -0B 054 80000001 00000002 00 = 80000003 00 -0B 055 80000001 00000002 01 = 80000003 00 -0B 056 80000001 00000002 10 = 80000004 00 -0B 057 80000001 00000002 11 = 80000004 00 +0B 04C 7FFFFFFF 00000002 00 = 80000001 00 +0B 04D 7FFFFFFF 00000002 01 = 80000001 00 +0B 04E 7FFFFFFF 00000002 10 = 80000002 00 +0B 04F 7FFFFFFF 00000002 11 = 80000002 00 +0B 050 80000000 00000002 00 = 80000002 10 +0B 051 80000000 00000002 01 = 80000002 10 +0B 052 80000000 00000002 10 = 80000003 10 +0B 053 80000000 00000002 11 = 80000003 10 +0B 054 80000001 00000002 00 = 80000003 10 +0B 055 80000001 00000002 01 = 80000003 10 +0B 056 80000001 00000002 10 = 80000004 10 +0B 057 80000001 00000002 11 = 80000004 10 0B 058 FFFFFFFE 00000002 00 = 00000000 00 0B 059 FFFFFFFE 00000002 01 = 00000000 01 0B 05A FFFFFFFE 00000002 10 = 00000001 00 @@ -2924,22 +2924,22 @@ addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 05F FFFFFFFF 00000002 11 = 00000002 00 0B 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 0B 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 -0B 062 00000000 7FFFFFFF 10 = 80000000 10 -0B 063 00000000 7FFFFFFF 11 = 80000000 10 -0B 064 00000001 7FFFFFFF 00 = 80000000 10 -0B 065 00000001 7FFFFFFF 01 = 80000000 10 -0B 066 00000001 7FFFFFFF 10 = 80000001 10 -0B 067 00000001 7FFFFFFF 11 = 80000001 10 -0B 068 00000002 7FFFFFFF 00 = 80000001 10 -0B 069 00000002 7FFFFFFF 01 = 80000001 10 -0B 06A 00000002 7FFFFFFF 10 = 80000002 10 -0B 06B 00000002 7FFFFFFF 11 = 80000002 10 -0B 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 -0B 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 -0B 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 -0B 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 -0B 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 -0B 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +0B 062 00000000 7FFFFFFF 10 = 80000000 00 +0B 063 00000000 7FFFFFFF 11 = 80000000 00 +0B 064 00000001 7FFFFFFF 00 = 80000000 00 +0B 065 00000001 7FFFFFFF 01 = 80000000 00 +0B 066 00000001 7FFFFFFF 10 = 80000001 00 +0B 067 00000001 7FFFFFFF 11 = 80000001 00 +0B 068 00000002 7FFFFFFF 00 = 80000001 00 +0B 069 00000002 7FFFFFFF 01 = 80000001 00 +0B 06A 00000002 7FFFFFFF 10 = 80000002 00 +0B 06B 00000002 7FFFFFFF 11 = 80000002 00 +0B 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 00 +0B 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 00 +0B 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 +0B 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +0B 070 80000000 7FFFFFFF 00 = FFFFFFFF 10 +0B 071 80000000 7FFFFFFF 01 = FFFFFFFF 10 0B 072 80000000 7FFFFFFF 10 = 00000000 00 0B 073 80000000 7FFFFFFF 11 = 00000000 01 0B 074 80000001 7FFFFFFF 00 = 00000000 00 @@ -2954,20 +2954,20 @@ addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 0B 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 0B 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 -0B 080 00000000 80000000 00 = 80000000 00 -0B 081 00000000 80000000 01 = 80000000 00 -0B 082 00000000 80000000 10 = 80000001 00 -0B 083 00000000 80000000 11 = 80000001 00 -0B 084 00000001 80000000 00 = 80000001 00 -0B 085 00000001 80000000 01 = 80000001 00 -0B 086 00000001 80000000 10 = 80000002 00 -0B 087 00000001 80000000 11 = 80000002 00 -0B 088 00000002 80000000 00 = 80000002 00 -0B 089 00000002 80000000 01 = 80000002 00 -0B 08A 00000002 80000000 10 = 80000003 00 -0B 08B 00000002 80000000 11 = 80000003 00 -0B 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 -0B 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +0B 080 00000000 80000000 00 = 80000000 10 +0B 081 00000000 80000000 01 = 80000000 10 +0B 082 00000000 80000000 10 = 80000001 10 +0B 083 00000000 80000000 11 = 80000001 10 +0B 084 00000001 80000000 00 = 80000001 10 +0B 085 00000001 80000000 01 = 80000001 10 +0B 086 00000001 80000000 10 = 80000002 10 +0B 087 00000001 80000000 11 = 80000002 10 +0B 088 00000002 80000000 00 = 80000002 10 +0B 089 00000002 80000000 01 = 80000002 10 +0B 08A 00000002 80000000 10 = 80000003 10 +0B 08B 00000002 80000000 11 = 80000003 10 +0B 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +0B 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 0B 08E 7FFFFFFF 80000000 10 = 00000000 00 0B 08F 7FFFFFFF 80000000 11 = 00000000 01 0B 090 80000000 80000000 00 = 00000000 10 @@ -2984,20 +2984,20 @@ addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 09B FFFFFFFE 80000000 11 = 7FFFFFFF 10 0B 09C FFFFFFFF 80000000 00 = 7FFFFFFF 10 0B 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 -0B 09E FFFFFFFF 80000000 10 = 80000000 00 -0B 09F FFFFFFFF 80000000 11 = 80000000 00 -0B 0A0 00000000 80000001 00 = 80000001 00 -0B 0A1 00000000 80000001 01 = 80000001 00 -0B 0A2 00000000 80000001 10 = 80000002 00 -0B 0A3 00000000 80000001 11 = 80000002 00 -0B 0A4 00000001 80000001 00 = 80000002 00 -0B 0A5 00000001 80000001 01 = 80000002 00 -0B 0A6 00000001 80000001 10 = 80000003 00 -0B 0A7 00000001 80000001 11 = 80000003 00 -0B 0A8 00000002 80000001 00 = 80000003 00 -0B 0A9 00000002 80000001 01 = 80000003 00 -0B 0AA 00000002 80000001 10 = 80000004 00 -0B 0AB 00000002 80000001 11 = 80000004 00 +0B 09E FFFFFFFF 80000000 10 = 80000000 10 +0B 09F FFFFFFFF 80000000 11 = 80000000 10 +0B 0A0 00000000 80000001 00 = 80000001 10 +0B 0A1 00000000 80000001 01 = 80000001 10 +0B 0A2 00000000 80000001 10 = 80000002 10 +0B 0A3 00000000 80000001 11 = 80000002 10 +0B 0A4 00000001 80000001 00 = 80000002 10 +0B 0A5 00000001 80000001 01 = 80000002 10 +0B 0A6 00000001 80000001 10 = 80000003 10 +0B 0A7 00000001 80000001 11 = 80000003 10 +0B 0A8 00000002 80000001 00 = 80000003 10 +0B 0A9 00000002 80000001 01 = 80000003 10 +0B 0AA 00000002 80000001 10 = 80000004 10 +0B 0AB 00000002 80000001 11 = 80000004 10 0B 0AC 7FFFFFFF 80000001 00 = 00000000 00 0B 0AD 7FFFFFFF 80000001 01 = 00000000 01 0B 0AE 7FFFFFFF 80000001 10 = 00000001 00 @@ -3012,18 +3012,18 @@ addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 0B7 80000001 80000001 11 = 00000003 10 0B 0B8 FFFFFFFE 80000001 00 = 7FFFFFFF 10 0B 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 -0B 0BA FFFFFFFE 80000001 10 = 80000000 00 -0B 0BB FFFFFFFE 80000001 11 = 80000000 00 -0B 0BC FFFFFFFF 80000001 00 = 80000000 00 -0B 0BD FFFFFFFF 80000001 01 = 80000000 00 -0B 0BE FFFFFFFF 80000001 10 = 80000001 00 -0B 0BF FFFFFFFF 80000001 11 = 80000001 00 -0B 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 -0B 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 -0B 0C2 00000000 FFFFFFFE 10 = FFFFFFFF 00 -0B 0C3 00000000 FFFFFFFE 11 = FFFFFFFF 00 -0B 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 -0B 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +0B 0BA FFFFFFFE 80000001 10 = 80000000 10 +0B 0BB FFFFFFFE 80000001 11 = 80000000 10 +0B 0BC FFFFFFFF 80000001 00 = 80000000 10 +0B 0BD FFFFFFFF 80000001 01 = 80000000 10 +0B 0BE FFFFFFFF 80000001 10 = 80000001 10 +0B 0BF FFFFFFFF 80000001 11 = 80000001 10 +0B 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +0B 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 +0B 0C2 00000000 FFFFFFFE 10 = FFFFFFFF 10 +0B 0C3 00000000 FFFFFFFE 11 = FFFFFFFF 10 +0B 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 10 +0B 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 10 0B 0C6 00000001 FFFFFFFE 10 = 00000000 00 0B 0C7 00000001 FFFFFFFE 11 = 00000000 01 0B 0C8 00000002 FFFFFFFE 00 = 00000000 00 @@ -3040,18 +3040,18 @@ addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 0D3 80000000 FFFFFFFE 11 = 7FFFFFFF 10 0B 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 0B 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 -0B 0D6 80000001 FFFFFFFE 10 = 80000000 00 -0B 0D7 80000001 FFFFFFFE 11 = 80000000 00 -0B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 -0B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 -0B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFD 00 -0B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFD 00 -0B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 -0B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 -0B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 00 -0B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 00 -0B 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 -0B 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +0B 0D6 80000001 FFFFFFFE 10 = 80000000 10 +0B 0D7 80000001 FFFFFFFE 11 = 80000000 10 +0B 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 10 +0B 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 10 +0B 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFD 10 +0B 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFD 10 +0B 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 10 +0B 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 10 +0B 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFE 10 +0B 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFE 10 +0B 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 +0B 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 0B 0E2 00000000 FFFFFFFF 10 = 00000000 00 0B 0E3 00000000 FFFFFFFF 11 = 00000000 01 0B 0E4 00000001 FFFFFFFF 00 = 00000000 00 @@ -3068,20 +3068,20 @@ addsx ---D---- ---S---- CZ = ---Q---- CZ 0B 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 0B 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 0B 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 -0B 0F2 80000000 FFFFFFFF 10 = 80000000 00 -0B 0F3 80000000 FFFFFFFF 11 = 80000000 00 -0B 0F4 80000001 FFFFFFFF 00 = 80000000 00 -0B 0F5 80000001 FFFFFFFF 01 = 80000000 00 -0B 0F6 80000001 FFFFFFFF 10 = 80000001 00 -0B 0F7 80000001 FFFFFFFF 11 = 80000001 00 -0B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 -0B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 -0B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 -0B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 -0B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 -0B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 -0B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 -0B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +0B 0F2 80000000 FFFFFFFF 10 = 80000000 10 +0B 0F3 80000000 FFFFFFFF 11 = 80000000 10 +0B 0F4 80000001 FFFFFFFF 00 = 80000000 10 +0B 0F5 80000001 FFFFFFFF 01 = 80000000 10 +0B 0F6 80000001 FFFFFFFF 10 = 80000001 10 +0B 0F7 80000001 FFFFFFFF 11 = 80000001 10 +0B 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 10 +0B 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 10 +0B 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +0B 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +0B 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 10 +0B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 10 +0B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +0B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 sub ---D---- ---S---- CZ = ---Q---- CZ 0C 000 00000000 00000000 00 = 00000000 01 0C 001 00000000 00000000 01 = 00000000 01 @@ -3613,26 +3613,26 @@ subs ---D---- ---S---- CZ = ---Q---- CZ 0E 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 0E 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 0E 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 -0E 010 80000000 00000000 00 = 80000000 00 -0E 011 80000000 00000000 01 = 80000000 00 -0E 012 80000000 00000000 10 = 80000000 00 -0E 013 80000000 00000000 11 = 80000000 00 -0E 014 80000001 00000000 00 = 80000001 00 -0E 015 80000001 00000000 01 = 80000001 00 -0E 016 80000001 00000000 10 = 80000001 00 -0E 017 80000001 00000000 11 = 80000001 00 -0E 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 -0E 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 -0E 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 -0E 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 -0E 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 -0E 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 -0E 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 -0E 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 -0E 020 00000000 00000001 00 = FFFFFFFF 00 -0E 021 00000000 00000001 01 = FFFFFFFF 00 -0E 022 00000000 00000001 10 = FFFFFFFF 00 -0E 023 00000000 00000001 11 = FFFFFFFF 00 +0E 010 80000000 00000000 00 = 80000000 10 +0E 011 80000000 00000000 01 = 80000000 10 +0E 012 80000000 00000000 10 = 80000000 10 +0E 013 80000000 00000000 11 = 80000000 10 +0E 014 80000001 00000000 00 = 80000001 10 +0E 015 80000001 00000000 01 = 80000001 10 +0E 016 80000001 00000000 10 = 80000001 10 +0E 017 80000001 00000000 11 = 80000001 10 +0E 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +0E 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +0E 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +0E 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +0E 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +0E 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +0E 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +0E 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +0E 020 00000000 00000001 00 = FFFFFFFF 10 +0E 021 00000000 00000001 01 = FFFFFFFF 10 +0E 022 00000000 00000001 10 = FFFFFFFF 10 +0E 023 00000000 00000001 11 = FFFFFFFF 10 0E 024 00000001 00000001 00 = 00000000 01 0E 025 00000001 00000001 01 = 00000000 01 0E 026 00000001 00000001 10 = 00000000 01 @@ -3649,26 +3649,26 @@ subs ---D---- ---S---- CZ = ---Q---- CZ 0E 031 80000000 00000001 01 = 7FFFFFFF 10 0E 032 80000000 00000001 10 = 7FFFFFFF 10 0E 033 80000000 00000001 11 = 7FFFFFFF 10 -0E 034 80000001 00000001 00 = 80000000 00 -0E 035 80000001 00000001 01 = 80000000 00 -0E 036 80000001 00000001 10 = 80000000 00 -0E 037 80000001 00000001 11 = 80000000 00 -0E 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 -0E 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 -0E 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 -0E 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 -0E 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 -0E 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 -0E 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 -0E 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 -0E 040 00000000 00000002 00 = FFFFFFFE 00 -0E 041 00000000 00000002 01 = FFFFFFFE 00 -0E 042 00000000 00000002 10 = FFFFFFFE 00 -0E 043 00000000 00000002 11 = FFFFFFFE 00 -0E 044 00000001 00000002 00 = FFFFFFFF 00 -0E 045 00000001 00000002 01 = FFFFFFFF 00 -0E 046 00000001 00000002 10 = FFFFFFFF 00 -0E 047 00000001 00000002 11 = FFFFFFFF 00 +0E 034 80000001 00000001 00 = 80000000 10 +0E 035 80000001 00000001 01 = 80000000 10 +0E 036 80000001 00000001 10 = 80000000 10 +0E 037 80000001 00000001 11 = 80000000 10 +0E 038 FFFFFFFE 00000001 00 = FFFFFFFD 10 +0E 039 FFFFFFFE 00000001 01 = FFFFFFFD 10 +0E 03A FFFFFFFE 00000001 10 = FFFFFFFD 10 +0E 03B FFFFFFFE 00000001 11 = FFFFFFFD 10 +0E 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +0E 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 +0E 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 +0E 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 +0E 040 00000000 00000002 00 = FFFFFFFE 10 +0E 041 00000000 00000002 01 = FFFFFFFE 10 +0E 042 00000000 00000002 10 = FFFFFFFE 10 +0E 043 00000000 00000002 11 = FFFFFFFE 10 +0E 044 00000001 00000002 00 = FFFFFFFF 10 +0E 045 00000001 00000002 01 = FFFFFFFF 10 +0E 046 00000001 00000002 10 = FFFFFFFF 10 +0E 047 00000001 00000002 11 = FFFFFFFF 10 0E 048 00000002 00000002 00 = 00000000 01 0E 049 00000002 00000002 01 = 00000000 01 0E 04A 00000002 00000002 10 = 00000000 01 @@ -3685,26 +3685,26 @@ subs ---D---- ---S---- CZ = ---Q---- CZ 0E 055 80000001 00000002 01 = 7FFFFFFF 10 0E 056 80000001 00000002 10 = 7FFFFFFF 10 0E 057 80000001 00000002 11 = 7FFFFFFF 10 -0E 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 -0E 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 -0E 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 -0E 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 -0E 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 -0E 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 -0E 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 -0E 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 -0E 060 00000000 7FFFFFFF 00 = 80000001 00 -0E 061 00000000 7FFFFFFF 01 = 80000001 00 -0E 062 00000000 7FFFFFFF 10 = 80000001 00 -0E 063 00000000 7FFFFFFF 11 = 80000001 00 -0E 064 00000001 7FFFFFFF 00 = 80000002 00 -0E 065 00000001 7FFFFFFF 01 = 80000002 00 -0E 066 00000001 7FFFFFFF 10 = 80000002 00 -0E 067 00000001 7FFFFFFF 11 = 80000002 00 -0E 068 00000002 7FFFFFFF 00 = 80000003 00 -0E 069 00000002 7FFFFFFF 01 = 80000003 00 -0E 06A 00000002 7FFFFFFF 10 = 80000003 00 -0E 06B 00000002 7FFFFFFF 11 = 80000003 00 +0E 058 FFFFFFFE 00000002 00 = FFFFFFFC 10 +0E 059 FFFFFFFE 00000002 01 = FFFFFFFC 10 +0E 05A FFFFFFFE 00000002 10 = FFFFFFFC 10 +0E 05B FFFFFFFE 00000002 11 = FFFFFFFC 10 +0E 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 +0E 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 +0E 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 +0E 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 +0E 060 00000000 7FFFFFFF 00 = 80000001 10 +0E 061 00000000 7FFFFFFF 01 = 80000001 10 +0E 062 00000000 7FFFFFFF 10 = 80000001 10 +0E 063 00000000 7FFFFFFF 11 = 80000001 10 +0E 064 00000001 7FFFFFFF 00 = 80000002 10 +0E 065 00000001 7FFFFFFF 01 = 80000002 10 +0E 066 00000001 7FFFFFFF 10 = 80000002 10 +0E 067 00000001 7FFFFFFF 11 = 80000002 10 +0E 068 00000002 7FFFFFFF 00 = 80000003 10 +0E 069 00000002 7FFFFFFF 01 = 80000003 10 +0E 06A 00000002 7FFFFFFF 10 = 80000003 10 +0E 06B 00000002 7FFFFFFF 11 = 80000003 10 0E 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 0E 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 0E 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 @@ -3721,26 +3721,26 @@ subs ---D---- ---S---- CZ = ---Q---- CZ 0E 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 0E 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 0E 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 -0E 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 -0E 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 -0E 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 -0E 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 -0E 080 00000000 80000000 00 = 80000000 10 -0E 081 00000000 80000000 01 = 80000000 10 -0E 082 00000000 80000000 10 = 80000000 10 -0E 083 00000000 80000000 11 = 80000000 10 -0E 084 00000001 80000000 00 = 80000001 10 -0E 085 00000001 80000000 01 = 80000001 10 -0E 086 00000001 80000000 10 = 80000001 10 -0E 087 00000001 80000000 11 = 80000001 10 -0E 088 00000002 80000000 00 = 80000002 10 -0E 089 00000002 80000000 01 = 80000002 10 -0E 08A 00000002 80000000 10 = 80000002 10 -0E 08B 00000002 80000000 11 = 80000002 10 -0E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 -0E 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 -0E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 -0E 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 +0E 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +0E 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 +0E 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +0E 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +0E 080 00000000 80000000 00 = 80000000 00 +0E 081 00000000 80000000 01 = 80000000 00 +0E 082 00000000 80000000 10 = 80000000 00 +0E 083 00000000 80000000 11 = 80000000 00 +0E 084 00000001 80000000 00 = 80000001 00 +0E 085 00000001 80000000 01 = 80000001 00 +0E 086 00000001 80000000 10 = 80000001 00 +0E 087 00000001 80000000 11 = 80000001 00 +0E 088 00000002 80000000 00 = 80000002 00 +0E 089 00000002 80000000 01 = 80000002 00 +0E 08A 00000002 80000000 10 = 80000002 00 +0E 08B 00000002 80000000 11 = 80000002 00 +0E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +0E 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +0E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +0E 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 0E 090 80000000 80000000 00 = 00000000 01 0E 091 80000000 80000000 01 = 00000000 01 0E 092 80000000 80000000 10 = 00000000 01 @@ -3761,22 +3761,22 @@ subs ---D---- ---S---- CZ = ---Q---- CZ 0E 0A1 00000000 80000001 01 = 7FFFFFFF 00 0E 0A2 00000000 80000001 10 = 7FFFFFFF 00 0E 0A3 00000000 80000001 11 = 7FFFFFFF 00 -0E 0A4 00000001 80000001 00 = 80000000 10 -0E 0A5 00000001 80000001 01 = 80000000 10 -0E 0A6 00000001 80000001 10 = 80000000 10 -0E 0A7 00000001 80000001 11 = 80000000 10 -0E 0A8 00000002 80000001 00 = 80000001 10 -0E 0A9 00000002 80000001 01 = 80000001 10 -0E 0AA 00000002 80000001 10 = 80000001 10 -0E 0AB 00000002 80000001 11 = 80000001 10 -0E 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 -0E 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 -0E 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 -0E 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 -0E 0B0 80000000 80000001 00 = FFFFFFFF 00 -0E 0B1 80000000 80000001 01 = FFFFFFFF 00 -0E 0B2 80000000 80000001 10 = FFFFFFFF 00 -0E 0B3 80000000 80000001 11 = FFFFFFFF 00 +0E 0A4 00000001 80000001 00 = 80000000 00 +0E 0A5 00000001 80000001 01 = 80000000 00 +0E 0A6 00000001 80000001 10 = 80000000 00 +0E 0A7 00000001 80000001 11 = 80000000 00 +0E 0A8 00000002 80000001 00 = 80000001 00 +0E 0A9 00000002 80000001 01 = 80000001 00 +0E 0AA 00000002 80000001 10 = 80000001 00 +0E 0AB 00000002 80000001 11 = 80000001 00 +0E 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 00 +0E 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 00 +0E 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 00 +0E 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 00 +0E 0B0 80000000 80000001 00 = FFFFFFFF 10 +0E 0B1 80000000 80000001 01 = FFFFFFFF 10 +0E 0B2 80000000 80000001 10 = FFFFFFFF 10 +0E 0B3 80000000 80000001 11 = FFFFFFFF 10 0E 0B4 80000001 80000001 00 = 00000000 01 0E 0B5 80000001 80000001 01 = 00000000 01 0E 0B6 80000001 80000001 10 = 00000000 01 @@ -3801,18 +3801,18 @@ subs ---D---- ---S---- CZ = ---Q---- CZ 0E 0C9 00000002 FFFFFFFE 01 = 00000004 00 0E 0CA 00000002 FFFFFFFE 10 = 00000004 00 0E 0CB 00000002 FFFFFFFE 11 = 00000004 00 -0E 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 -0E 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 -0E 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 -0E 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 -0E 0D0 80000000 FFFFFFFE 00 = 80000002 00 -0E 0D1 80000000 FFFFFFFE 01 = 80000002 00 -0E 0D2 80000000 FFFFFFFE 10 = 80000002 00 -0E 0D3 80000000 FFFFFFFE 11 = 80000002 00 -0E 0D4 80000001 FFFFFFFE 00 = 80000003 00 -0E 0D5 80000001 FFFFFFFE 01 = 80000003 00 -0E 0D6 80000001 FFFFFFFE 10 = 80000003 00 -0E 0D7 80000001 FFFFFFFE 11 = 80000003 00 +0E 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 00 +0E 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 00 +0E 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 00 +0E 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 00 +0E 0D0 80000000 FFFFFFFE 00 = 80000002 10 +0E 0D1 80000000 FFFFFFFE 01 = 80000002 10 +0E 0D2 80000000 FFFFFFFE 10 = 80000002 10 +0E 0D3 80000000 FFFFFFFE 11 = 80000002 10 +0E 0D4 80000001 FFFFFFFE 00 = 80000003 10 +0E 0D5 80000001 FFFFFFFE 01 = 80000003 10 +0E 0D6 80000001 FFFFFFFE 10 = 80000003 10 +0E 0D7 80000001 FFFFFFFE 11 = 80000003 10 0E 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 0E 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 0E 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 @@ -3833,22 +3833,22 @@ subs ---D---- ---S---- CZ = ---Q---- CZ 0E 0E9 00000002 FFFFFFFF 01 = 00000003 00 0E 0EA 00000002 FFFFFFFF 10 = 00000003 00 0E 0EB 00000002 FFFFFFFF 11 = 00000003 00 -0E 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 -0E 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 -0E 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 -0E 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 -0E 0F0 80000000 FFFFFFFF 00 = 80000001 00 -0E 0F1 80000000 FFFFFFFF 01 = 80000001 00 -0E 0F2 80000000 FFFFFFFF 10 = 80000001 00 -0E 0F3 80000000 FFFFFFFF 11 = 80000001 00 -0E 0F4 80000001 FFFFFFFF 00 = 80000002 00 -0E 0F5 80000001 FFFFFFFF 01 = 80000002 00 -0E 0F6 80000001 FFFFFFFF 10 = 80000002 00 -0E 0F7 80000001 FFFFFFFF 11 = 80000002 00 -0E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 -0E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 -0E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 -0E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 +0E 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 00 +0E 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 00 +0E 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 00 +0E 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 00 +0E 0F0 80000000 FFFFFFFF 00 = 80000001 10 +0E 0F1 80000000 FFFFFFFF 01 = 80000001 10 +0E 0F2 80000000 FFFFFFFF 10 = 80000001 10 +0E 0F3 80000000 FFFFFFFF 11 = 80000001 10 +0E 0F4 80000001 FFFFFFFF 00 = 80000002 10 +0E 0F5 80000001 FFFFFFFF 01 = 80000002 10 +0E 0F6 80000001 FFFFFFFF 10 = 80000002 10 +0E 0F7 80000001 FFFFFFFF 11 = 80000002 10 +0E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +0E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +0E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +0E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 0E 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 0E 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 0E 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 @@ -3856,8 +3856,8 @@ subs ---D---- ---S---- CZ = ---Q---- CZ subsx ---D---- ---S---- CZ = ---Q---- CZ 0F 000 00000000 00000000 00 = 00000000 00 0F 001 00000000 00000000 01 = 00000000 01 -0F 002 00000000 00000000 10 = FFFFFFFF 00 -0F 003 00000000 00000000 11 = FFFFFFFF 00 +0F 002 00000000 00000000 10 = FFFFFFFF 10 +0F 003 00000000 00000000 11 = FFFFFFFF 10 0F 004 00000001 00000000 00 = 00000001 00 0F 005 00000001 00000000 01 = 00000001 00 0F 006 00000001 00000000 10 = 00000000 00 @@ -3870,30 +3870,30 @@ subsx ---D---- ---S---- CZ = ---Q---- CZ 0F 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 0F 00E 7FFFFFFF 00000000 10 = 7FFFFFFE 00 0F 00F 7FFFFFFF 00000000 11 = 7FFFFFFE 00 -0F 010 80000000 00000000 00 = 80000000 00 -0F 011 80000000 00000000 01 = 80000000 00 +0F 010 80000000 00000000 00 = 80000000 10 +0F 011 80000000 00000000 01 = 80000000 10 0F 012 80000000 00000000 10 = 7FFFFFFF 10 0F 013 80000000 00000000 11 = 7FFFFFFF 10 -0F 014 80000001 00000000 00 = 80000001 00 -0F 015 80000001 00000000 01 = 80000001 00 -0F 016 80000001 00000000 10 = 80000000 00 -0F 017 80000001 00000000 11 = 80000000 00 -0F 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 -0F 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 -0F 01A FFFFFFFE 00000000 10 = FFFFFFFD 00 -0F 01B FFFFFFFE 00000000 11 = FFFFFFFD 00 -0F 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 -0F 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 -0F 01E FFFFFFFF 00000000 10 = FFFFFFFE 00 -0F 01F FFFFFFFF 00000000 11 = FFFFFFFE 00 -0F 020 00000000 00000001 00 = FFFFFFFF 00 -0F 021 00000000 00000001 01 = FFFFFFFF 00 -0F 022 00000000 00000001 10 = FFFFFFFE 00 -0F 023 00000000 00000001 11 = FFFFFFFE 00 +0F 014 80000001 00000000 00 = 80000001 10 +0F 015 80000001 00000000 01 = 80000001 10 +0F 016 80000001 00000000 10 = 80000000 10 +0F 017 80000001 00000000 11 = 80000000 10 +0F 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +0F 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +0F 01A FFFFFFFE 00000000 10 = FFFFFFFD 10 +0F 01B FFFFFFFE 00000000 11 = FFFFFFFD 10 +0F 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +0F 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +0F 01E FFFFFFFF 00000000 10 = FFFFFFFE 10 +0F 01F FFFFFFFF 00000000 11 = FFFFFFFE 10 +0F 020 00000000 00000001 00 = FFFFFFFF 10 +0F 021 00000000 00000001 01 = FFFFFFFF 10 +0F 022 00000000 00000001 10 = FFFFFFFE 10 +0F 023 00000000 00000001 11 = FFFFFFFE 10 0F 024 00000001 00000001 00 = 00000000 00 0F 025 00000001 00000001 01 = 00000000 01 -0F 026 00000001 00000001 10 = FFFFFFFF 00 -0F 027 00000001 00000001 11 = FFFFFFFF 00 +0F 026 00000001 00000001 10 = FFFFFFFF 10 +0F 027 00000001 00000001 11 = FFFFFFFF 10 0F 028 00000002 00000001 00 = 00000001 00 0F 029 00000002 00000001 01 = 00000001 00 0F 02A 00000002 00000001 10 = 00000000 00 @@ -3906,30 +3906,30 @@ subsx ---D---- ---S---- CZ = ---Q---- CZ 0F 031 80000000 00000001 01 = 7FFFFFFF 10 0F 032 80000000 00000001 10 = 7FFFFFFE 10 0F 033 80000000 00000001 11 = 7FFFFFFE 10 -0F 034 80000001 00000001 00 = 80000000 00 -0F 035 80000001 00000001 01 = 80000000 00 +0F 034 80000001 00000001 00 = 80000000 10 +0F 035 80000001 00000001 01 = 80000000 10 0F 036 80000001 00000001 10 = 7FFFFFFF 10 0F 037 80000001 00000001 11 = 7FFFFFFF 10 -0F 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 -0F 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 -0F 03A FFFFFFFE 00000001 10 = FFFFFFFC 00 -0F 03B FFFFFFFE 00000001 11 = FFFFFFFC 00 -0F 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 -0F 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 -0F 03E FFFFFFFF 00000001 10 = FFFFFFFD 00 -0F 03F FFFFFFFF 00000001 11 = FFFFFFFD 00 -0F 040 00000000 00000002 00 = FFFFFFFE 00 -0F 041 00000000 00000002 01 = FFFFFFFE 00 -0F 042 00000000 00000002 10 = FFFFFFFD 00 -0F 043 00000000 00000002 11 = FFFFFFFD 00 -0F 044 00000001 00000002 00 = FFFFFFFF 00 -0F 045 00000001 00000002 01 = FFFFFFFF 00 -0F 046 00000001 00000002 10 = FFFFFFFE 00 -0F 047 00000001 00000002 11 = FFFFFFFE 00 +0F 038 FFFFFFFE 00000001 00 = FFFFFFFD 10 +0F 039 FFFFFFFE 00000001 01 = FFFFFFFD 10 +0F 03A FFFFFFFE 00000001 10 = FFFFFFFC 10 +0F 03B FFFFFFFE 00000001 11 = FFFFFFFC 10 +0F 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +0F 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 +0F 03E FFFFFFFF 00000001 10 = FFFFFFFD 10 +0F 03F FFFFFFFF 00000001 11 = FFFFFFFD 10 +0F 040 00000000 00000002 00 = FFFFFFFE 10 +0F 041 00000000 00000002 01 = FFFFFFFE 10 +0F 042 00000000 00000002 10 = FFFFFFFD 10 +0F 043 00000000 00000002 11 = FFFFFFFD 10 +0F 044 00000001 00000002 00 = FFFFFFFF 10 +0F 045 00000001 00000002 01 = FFFFFFFF 10 +0F 046 00000001 00000002 10 = FFFFFFFE 10 +0F 047 00000001 00000002 11 = FFFFFFFE 10 0F 048 00000002 00000002 00 = 00000000 00 0F 049 00000002 00000002 01 = 00000000 01 -0F 04A 00000002 00000002 10 = FFFFFFFF 00 -0F 04B 00000002 00000002 11 = FFFFFFFF 00 +0F 04A 00000002 00000002 10 = FFFFFFFF 10 +0F 04B 00000002 00000002 11 = FFFFFFFF 10 0F 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 0F 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 0F 04E 7FFFFFFF 00000002 10 = 7FFFFFFC 00 @@ -3942,30 +3942,30 @@ subsx ---D---- ---S---- CZ = ---Q---- CZ 0F 055 80000001 00000002 01 = 7FFFFFFF 10 0F 056 80000001 00000002 10 = 7FFFFFFE 10 0F 057 80000001 00000002 11 = 7FFFFFFE 10 -0F 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 -0F 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 -0F 05A FFFFFFFE 00000002 10 = FFFFFFFB 00 -0F 05B FFFFFFFE 00000002 11 = FFFFFFFB 00 -0F 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 -0F 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 -0F 05E FFFFFFFF 00000002 10 = FFFFFFFC 00 -0F 05F FFFFFFFF 00000002 11 = FFFFFFFC 00 -0F 060 00000000 7FFFFFFF 00 = 80000001 00 -0F 061 00000000 7FFFFFFF 01 = 80000001 00 -0F 062 00000000 7FFFFFFF 10 = 80000000 00 -0F 063 00000000 7FFFFFFF 11 = 80000000 00 -0F 064 00000001 7FFFFFFF 00 = 80000002 00 -0F 065 00000001 7FFFFFFF 01 = 80000002 00 -0F 066 00000001 7FFFFFFF 10 = 80000001 00 -0F 067 00000001 7FFFFFFF 11 = 80000001 00 -0F 068 00000002 7FFFFFFF 00 = 80000003 00 -0F 069 00000002 7FFFFFFF 01 = 80000003 00 -0F 06A 00000002 7FFFFFFF 10 = 80000002 00 -0F 06B 00000002 7FFFFFFF 11 = 80000002 00 +0F 058 FFFFFFFE 00000002 00 = FFFFFFFC 10 +0F 059 FFFFFFFE 00000002 01 = FFFFFFFC 10 +0F 05A FFFFFFFE 00000002 10 = FFFFFFFB 10 +0F 05B FFFFFFFE 00000002 11 = FFFFFFFB 10 +0F 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 +0F 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 +0F 05E FFFFFFFF 00000002 10 = FFFFFFFC 10 +0F 05F FFFFFFFF 00000002 11 = FFFFFFFC 10 +0F 060 00000000 7FFFFFFF 00 = 80000001 10 +0F 061 00000000 7FFFFFFF 01 = 80000001 10 +0F 062 00000000 7FFFFFFF 10 = 80000000 10 +0F 063 00000000 7FFFFFFF 11 = 80000000 10 +0F 064 00000001 7FFFFFFF 00 = 80000002 10 +0F 065 00000001 7FFFFFFF 01 = 80000002 10 +0F 066 00000001 7FFFFFFF 10 = 80000001 10 +0F 067 00000001 7FFFFFFF 11 = 80000001 10 +0F 068 00000002 7FFFFFFF 00 = 80000003 10 +0F 069 00000002 7FFFFFFF 01 = 80000003 10 +0F 06A 00000002 7FFFFFFF 10 = 80000002 10 +0F 06B 00000002 7FFFFFFF 11 = 80000002 10 0F 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 00 0F 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 -0F 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 -0F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 +0F 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 +0F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 0F 070 80000000 7FFFFFFF 00 = 00000001 10 0F 071 80000000 7FFFFFFF 01 = 00000001 10 0F 072 80000000 7FFFFFFF 10 = 00000000 10 @@ -3978,30 +3978,30 @@ subsx ---D---- ---S---- CZ = ---Q---- CZ 0F 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 0F 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFE 10 0F 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFE 10 -0F 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 -0F 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 +0F 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +0F 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 0F 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 0F 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 -0F 080 00000000 80000000 00 = 80000000 10 -0F 081 00000000 80000000 01 = 80000000 10 +0F 080 00000000 80000000 00 = 80000000 00 +0F 081 00000000 80000000 01 = 80000000 00 0F 082 00000000 80000000 10 = 7FFFFFFF 00 0F 083 00000000 80000000 11 = 7FFFFFFF 00 -0F 084 00000001 80000000 00 = 80000001 10 -0F 085 00000001 80000000 01 = 80000001 10 -0F 086 00000001 80000000 10 = 80000000 10 -0F 087 00000001 80000000 11 = 80000000 10 -0F 088 00000002 80000000 00 = 80000002 10 -0F 089 00000002 80000000 01 = 80000002 10 -0F 08A 00000002 80000000 10 = 80000001 10 -0F 08B 00000002 80000000 11 = 80000001 10 -0F 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 -0F 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 -0F 08E 7FFFFFFF 80000000 10 = FFFFFFFE 10 -0F 08F 7FFFFFFF 80000000 11 = FFFFFFFE 10 +0F 084 00000001 80000000 00 = 80000001 00 +0F 085 00000001 80000000 01 = 80000001 00 +0F 086 00000001 80000000 10 = 80000000 00 +0F 087 00000001 80000000 11 = 80000000 00 +0F 088 00000002 80000000 00 = 80000002 00 +0F 089 00000002 80000000 01 = 80000002 00 +0F 08A 00000002 80000000 10 = 80000001 00 +0F 08B 00000002 80000000 11 = 80000001 00 +0F 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +0F 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +0F 08E 7FFFFFFF 80000000 10 = FFFFFFFE 00 +0F 08F 7FFFFFFF 80000000 11 = FFFFFFFE 00 0F 090 80000000 80000000 00 = 00000000 00 0F 091 80000000 80000000 01 = 00000000 01 -0F 092 80000000 80000000 10 = FFFFFFFF 00 -0F 093 80000000 80000000 11 = FFFFFFFF 00 +0F 092 80000000 80000000 10 = FFFFFFFF 10 +0F 093 80000000 80000000 11 = FFFFFFFF 10 0F 094 80000001 80000000 00 = 00000001 00 0F 095 80000001 80000000 01 = 00000001 00 0F 096 80000001 80000000 10 = 00000000 00 @@ -4018,26 +4018,26 @@ subsx ---D---- ---S---- CZ = ---Q---- CZ 0F 0A1 00000000 80000001 01 = 7FFFFFFF 00 0F 0A2 00000000 80000001 10 = 7FFFFFFE 00 0F 0A3 00000000 80000001 11 = 7FFFFFFE 00 -0F 0A4 00000001 80000001 00 = 80000000 10 -0F 0A5 00000001 80000001 01 = 80000000 10 +0F 0A4 00000001 80000001 00 = 80000000 00 +0F 0A5 00000001 80000001 01 = 80000000 00 0F 0A6 00000001 80000001 10 = 7FFFFFFF 00 0F 0A7 00000001 80000001 11 = 7FFFFFFF 00 -0F 0A8 00000002 80000001 00 = 80000001 10 -0F 0A9 00000002 80000001 01 = 80000001 10 -0F 0AA 00000002 80000001 10 = 80000000 10 -0F 0AB 00000002 80000001 11 = 80000000 10 -0F 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 -0F 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 -0F 0AE 7FFFFFFF 80000001 10 = FFFFFFFD 10 -0F 0AF 7FFFFFFF 80000001 11 = FFFFFFFD 10 -0F 0B0 80000000 80000001 00 = FFFFFFFF 00 -0F 0B1 80000000 80000001 01 = FFFFFFFF 00 -0F 0B2 80000000 80000001 10 = FFFFFFFE 00 -0F 0B3 80000000 80000001 11 = FFFFFFFE 00 +0F 0A8 00000002 80000001 00 = 80000001 00 +0F 0A9 00000002 80000001 01 = 80000001 00 +0F 0AA 00000002 80000001 10 = 80000000 00 +0F 0AB 00000002 80000001 11 = 80000000 00 +0F 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 00 +0F 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 00 +0F 0AE 7FFFFFFF 80000001 10 = FFFFFFFD 00 +0F 0AF 7FFFFFFF 80000001 11 = FFFFFFFD 00 +0F 0B0 80000000 80000001 00 = FFFFFFFF 10 +0F 0B1 80000000 80000001 01 = FFFFFFFF 10 +0F 0B2 80000000 80000001 10 = FFFFFFFE 10 +0F 0B3 80000000 80000001 11 = FFFFFFFE 10 0F 0B4 80000001 80000001 00 = 00000000 00 0F 0B5 80000001 80000001 01 = 00000000 01 -0F 0B6 80000001 80000001 10 = FFFFFFFF 00 -0F 0B7 80000001 80000001 11 = FFFFFFFF 00 +0F 0B6 80000001 80000001 10 = FFFFFFFF 10 +0F 0B7 80000001 80000001 11 = FFFFFFFF 10 0F 0B8 FFFFFFFE 80000001 00 = 7FFFFFFD 00 0F 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 0F 0BA FFFFFFFE 80000001 10 = 7FFFFFFC 00 @@ -4058,22 +4058,22 @@ subsx ---D---- ---S---- CZ = ---Q---- CZ 0F 0C9 00000002 FFFFFFFE 01 = 00000004 00 0F 0CA 00000002 FFFFFFFE 10 = 00000003 00 0F 0CB 00000002 FFFFFFFE 11 = 00000003 00 -0F 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 -0F 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 -0F 0CE 7FFFFFFF FFFFFFFE 10 = 80000000 10 -0F 0CF 7FFFFFFF FFFFFFFE 11 = 80000000 10 -0F 0D0 80000000 FFFFFFFE 00 = 80000002 00 -0F 0D1 80000000 FFFFFFFE 01 = 80000002 00 -0F 0D2 80000000 FFFFFFFE 10 = 80000001 00 -0F 0D3 80000000 FFFFFFFE 11 = 80000001 00 -0F 0D4 80000001 FFFFFFFE 00 = 80000003 00 -0F 0D5 80000001 FFFFFFFE 01 = 80000003 00 -0F 0D6 80000001 FFFFFFFE 10 = 80000002 00 -0F 0D7 80000001 FFFFFFFE 11 = 80000002 00 +0F 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 00 +0F 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 00 +0F 0CE 7FFFFFFF FFFFFFFE 10 = 80000000 00 +0F 0CF 7FFFFFFF FFFFFFFE 11 = 80000000 00 +0F 0D0 80000000 FFFFFFFE 00 = 80000002 10 +0F 0D1 80000000 FFFFFFFE 01 = 80000002 10 +0F 0D2 80000000 FFFFFFFE 10 = 80000001 10 +0F 0D3 80000000 FFFFFFFE 11 = 80000001 10 +0F 0D4 80000001 FFFFFFFE 00 = 80000003 10 +0F 0D5 80000001 FFFFFFFE 01 = 80000003 10 +0F 0D6 80000001 FFFFFFFE 10 = 80000002 10 +0F 0D7 80000001 FFFFFFFE 11 = 80000002 10 0F 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 00 0F 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 -0F 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 00 -0F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 00 +0F 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFF 10 +0F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFF 10 0F 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 0F 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 0F 0DE FFFFFFFF FFFFFFFE 10 = 00000000 00 @@ -4090,26 +4090,26 @@ subsx ---D---- ---S---- CZ = ---Q---- CZ 0F 0E9 00000002 FFFFFFFF 01 = 00000003 00 0F 0EA 00000002 FFFFFFFF 10 = 00000002 00 0F 0EB 00000002 FFFFFFFF 11 = 00000002 00 -0F 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 -0F 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +0F 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 00 +0F 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 00 0F 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 0F 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 -0F 0F0 80000000 FFFFFFFF 00 = 80000001 00 -0F 0F1 80000000 FFFFFFFF 01 = 80000001 00 -0F 0F2 80000000 FFFFFFFF 10 = 80000000 00 -0F 0F3 80000000 FFFFFFFF 11 = 80000000 00 -0F 0F4 80000001 FFFFFFFF 00 = 80000002 00 -0F 0F5 80000001 FFFFFFFF 01 = 80000002 00 -0F 0F6 80000001 FFFFFFFF 10 = 80000001 00 -0F 0F7 80000001 FFFFFFFF 11 = 80000001 00 -0F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 -0F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 -0F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 -0F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 +0F 0F0 80000000 FFFFFFFF 00 = 80000001 10 +0F 0F1 80000000 FFFFFFFF 01 = 80000001 10 +0F 0F2 80000000 FFFFFFFF 10 = 80000000 10 +0F 0F3 80000000 FFFFFFFF 11 = 80000000 10 +0F 0F4 80000001 FFFFFFFF 00 = 80000002 10 +0F 0F5 80000001 FFFFFFFF 01 = 80000002 10 +0F 0F6 80000001 FFFFFFFF 10 = 80000001 10 +0F 0F7 80000001 FFFFFFFF 11 = 80000001 10 +0F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +0F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +0F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 +0F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 0F 0FC FFFFFFFF FFFFFFFF 00 = 00000000 00 0F 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 -0F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 -0F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +0F 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 +0F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 cmp ---D---- ---S---- CZ = ---Q---- CZ 10 000 00000000 00000000 00 = 00000000 01 10 001 00000000 00000000 01 = 00000000 01 @@ -7211,26 +7211,26 @@ sumc ---D---- ---S---- CZ = ---Q---- CZ 1C 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 1C 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 1C 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 -1C 010 80000000 00000000 00 = 80000000 00 -1C 011 80000000 00000000 01 = 80000000 00 -1C 012 80000000 00000000 10 = 80000000 00 -1C 013 80000000 00000000 11 = 80000000 00 -1C 014 80000001 00000000 00 = 80000001 00 -1C 015 80000001 00000000 01 = 80000001 00 -1C 016 80000001 00000000 10 = 80000001 00 -1C 017 80000001 00000000 11 = 80000001 00 -1C 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 -1C 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 -1C 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 -1C 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 -1C 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 -1C 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 -1C 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 -1C 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +1C 010 80000000 00000000 00 = 80000000 10 +1C 011 80000000 00000000 01 = 80000000 10 +1C 012 80000000 00000000 10 = 80000000 10 +1C 013 80000000 00000000 11 = 80000000 10 +1C 014 80000001 00000000 00 = 80000001 10 +1C 015 80000001 00000000 01 = 80000001 10 +1C 016 80000001 00000000 10 = 80000001 10 +1C 017 80000001 00000000 11 = 80000001 10 +1C 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +1C 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +1C 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +1C 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +1C 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +1C 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +1C 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +1C 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 1C 020 00000000 00000001 00 = 00000001 00 1C 021 00000000 00000001 01 = 00000001 00 -1C 022 00000000 00000001 10 = FFFFFFFF 00 -1C 023 00000000 00000001 11 = FFFFFFFF 00 +1C 022 00000000 00000001 10 = FFFFFFFF 10 +1C 023 00000000 00000001 11 = FFFFFFFF 10 1C 024 00000001 00000001 00 = 00000002 00 1C 025 00000001 00000001 01 = 00000002 00 1C 026 00000001 00000001 10 = 00000000 01 @@ -7239,76 +7239,76 @@ sumc ---D---- ---S---- CZ = ---Q---- CZ 1C 029 00000002 00000001 01 = 00000003 00 1C 02A 00000002 00000001 10 = 00000001 00 1C 02B 00000002 00000001 11 = 00000001 00 -1C 02C 7FFFFFFF 00000001 00 = 80000000 10 -1C 02D 7FFFFFFF 00000001 01 = 80000000 10 +1C 02C 7FFFFFFF 00000001 00 = 80000000 00 +1C 02D 7FFFFFFF 00000001 01 = 80000000 00 1C 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 1C 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 -1C 030 80000000 00000001 00 = 80000001 00 -1C 031 80000000 00000001 01 = 80000001 00 +1C 030 80000000 00000001 00 = 80000001 10 +1C 031 80000000 00000001 01 = 80000001 10 1C 032 80000000 00000001 10 = 7FFFFFFF 10 1C 033 80000000 00000001 11 = 7FFFFFFF 10 -1C 034 80000001 00000001 00 = 80000002 00 -1C 035 80000001 00000001 01 = 80000002 00 -1C 036 80000001 00000001 10 = 80000000 00 -1C 037 80000001 00000001 11 = 80000000 00 -1C 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 -1C 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 -1C 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 -1C 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 +1C 034 80000001 00000001 00 = 80000002 10 +1C 035 80000001 00000001 01 = 80000002 10 +1C 036 80000001 00000001 10 = 80000000 10 +1C 037 80000001 00000001 11 = 80000000 10 +1C 038 FFFFFFFE 00000001 00 = FFFFFFFF 10 +1C 039 FFFFFFFE 00000001 01 = FFFFFFFF 10 +1C 03A FFFFFFFE 00000001 10 = FFFFFFFD 10 +1C 03B FFFFFFFE 00000001 11 = FFFFFFFD 10 1C 03C FFFFFFFF 00000001 00 = 00000000 01 1C 03D FFFFFFFF 00000001 01 = 00000000 01 -1C 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 -1C 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 +1C 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 +1C 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 1C 040 00000000 00000002 00 = 00000002 00 1C 041 00000000 00000002 01 = 00000002 00 -1C 042 00000000 00000002 10 = FFFFFFFE 00 -1C 043 00000000 00000002 11 = FFFFFFFE 00 +1C 042 00000000 00000002 10 = FFFFFFFE 10 +1C 043 00000000 00000002 11 = FFFFFFFE 10 1C 044 00000001 00000002 00 = 00000003 00 1C 045 00000001 00000002 01 = 00000003 00 -1C 046 00000001 00000002 10 = FFFFFFFF 00 -1C 047 00000001 00000002 11 = FFFFFFFF 00 +1C 046 00000001 00000002 10 = FFFFFFFF 10 +1C 047 00000001 00000002 11 = FFFFFFFF 10 1C 048 00000002 00000002 00 = 00000004 00 1C 049 00000002 00000002 01 = 00000004 00 1C 04A 00000002 00000002 10 = 00000000 01 1C 04B 00000002 00000002 11 = 00000000 01 -1C 04C 7FFFFFFF 00000002 00 = 80000001 10 -1C 04D 7FFFFFFF 00000002 01 = 80000001 10 +1C 04C 7FFFFFFF 00000002 00 = 80000001 00 +1C 04D 7FFFFFFF 00000002 01 = 80000001 00 1C 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 1C 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 -1C 050 80000000 00000002 00 = 80000002 00 -1C 051 80000000 00000002 01 = 80000002 00 +1C 050 80000000 00000002 00 = 80000002 10 +1C 051 80000000 00000002 01 = 80000002 10 1C 052 80000000 00000002 10 = 7FFFFFFE 10 1C 053 80000000 00000002 11 = 7FFFFFFE 10 -1C 054 80000001 00000002 00 = 80000003 00 -1C 055 80000001 00000002 01 = 80000003 00 +1C 054 80000001 00000002 00 = 80000003 10 +1C 055 80000001 00000002 01 = 80000003 10 1C 056 80000001 00000002 10 = 7FFFFFFF 10 1C 057 80000001 00000002 11 = 7FFFFFFF 10 1C 058 FFFFFFFE 00000002 00 = 00000000 01 1C 059 FFFFFFFE 00000002 01 = 00000000 01 -1C 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 -1C 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 +1C 05A FFFFFFFE 00000002 10 = FFFFFFFC 10 +1C 05B FFFFFFFE 00000002 11 = FFFFFFFC 10 1C 05C FFFFFFFF 00000002 00 = 00000001 00 1C 05D FFFFFFFF 00000002 01 = 00000001 00 -1C 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 -1C 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 +1C 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 +1C 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 1C 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 1C 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 -1C 062 00000000 7FFFFFFF 10 = 80000001 00 -1C 063 00000000 7FFFFFFF 11 = 80000001 00 -1C 064 00000001 7FFFFFFF 00 = 80000000 10 -1C 065 00000001 7FFFFFFF 01 = 80000000 10 -1C 066 00000001 7FFFFFFF 10 = 80000002 00 -1C 067 00000001 7FFFFFFF 11 = 80000002 00 -1C 068 00000002 7FFFFFFF 00 = 80000001 10 -1C 069 00000002 7FFFFFFF 01 = 80000001 10 -1C 06A 00000002 7FFFFFFF 10 = 80000003 00 -1C 06B 00000002 7FFFFFFF 11 = 80000003 00 -1C 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 -1C 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 +1C 062 00000000 7FFFFFFF 10 = 80000001 10 +1C 063 00000000 7FFFFFFF 11 = 80000001 10 +1C 064 00000001 7FFFFFFF 00 = 80000000 00 +1C 065 00000001 7FFFFFFF 01 = 80000000 00 +1C 066 00000001 7FFFFFFF 10 = 80000002 10 +1C 067 00000001 7FFFFFFF 11 = 80000002 10 +1C 068 00000002 7FFFFFFF 00 = 80000001 00 +1C 069 00000002 7FFFFFFF 01 = 80000001 00 +1C 06A 00000002 7FFFFFFF 10 = 80000003 10 +1C 06B 00000002 7FFFFFFF 11 = 80000003 10 +1C 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 00 +1C 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 00 1C 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 1C 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 -1C 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 -1C 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +1C 070 80000000 7FFFFFFF 00 = FFFFFFFF 10 +1C 071 80000000 7FFFFFFF 01 = FFFFFFFF 10 1C 072 80000000 7FFFFFFF 10 = 00000001 10 1C 073 80000000 7FFFFFFF 11 = 00000001 10 1C 074 80000001 7FFFFFFF 00 = 00000000 01 @@ -7321,24 +7321,24 @@ sumc ---D---- ---S---- CZ = ---Q---- CZ 1C 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 1C 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 1C 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 -1C 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 -1C 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 -1C 080 00000000 80000000 00 = 80000000 00 -1C 081 00000000 80000000 01 = 80000000 00 -1C 082 00000000 80000000 10 = 80000000 10 -1C 083 00000000 80000000 11 = 80000000 10 -1C 084 00000001 80000000 00 = 80000001 00 -1C 085 00000001 80000000 01 = 80000001 00 -1C 086 00000001 80000000 10 = 80000001 10 -1C 087 00000001 80000000 11 = 80000001 10 -1C 088 00000002 80000000 00 = 80000002 00 -1C 089 00000002 80000000 01 = 80000002 00 -1C 08A 00000002 80000000 10 = 80000002 10 -1C 08B 00000002 80000000 11 = 80000002 10 -1C 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 -1C 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 -1C 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 -1C 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 +1C 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 +1C 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +1C 080 00000000 80000000 00 = 80000000 10 +1C 081 00000000 80000000 01 = 80000000 10 +1C 082 00000000 80000000 10 = 80000000 00 +1C 083 00000000 80000000 11 = 80000000 00 +1C 084 00000001 80000000 00 = 80000001 10 +1C 085 00000001 80000000 01 = 80000001 10 +1C 086 00000001 80000000 10 = 80000001 00 +1C 087 00000001 80000000 11 = 80000001 00 +1C 088 00000002 80000000 00 = 80000002 10 +1C 089 00000002 80000000 01 = 80000002 10 +1C 08A 00000002 80000000 10 = 80000002 00 +1C 08B 00000002 80000000 11 = 80000002 00 +1C 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +1C 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 +1C 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +1C 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 1C 090 80000000 80000000 00 = 00000000 11 1C 091 80000000 80000000 01 = 00000000 11 1C 092 80000000 80000000 10 = 00000000 01 @@ -7355,26 +7355,26 @@ sumc ---D---- ---S---- CZ = ---Q---- CZ 1C 09D FFFFFFFF 80000000 01 = 7FFFFFFF 10 1C 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 1C 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 -1C 0A0 00000000 80000001 00 = 80000001 00 -1C 0A1 00000000 80000001 01 = 80000001 00 +1C 0A0 00000000 80000001 00 = 80000001 10 +1C 0A1 00000000 80000001 01 = 80000001 10 1C 0A2 00000000 80000001 10 = 7FFFFFFF 00 1C 0A3 00000000 80000001 11 = 7FFFFFFF 00 -1C 0A4 00000001 80000001 00 = 80000002 00 -1C 0A5 00000001 80000001 01 = 80000002 00 -1C 0A6 00000001 80000001 10 = 80000000 10 -1C 0A7 00000001 80000001 11 = 80000000 10 -1C 0A8 00000002 80000001 00 = 80000003 00 -1C 0A9 00000002 80000001 01 = 80000003 00 -1C 0AA 00000002 80000001 10 = 80000001 10 -1C 0AB 00000002 80000001 11 = 80000001 10 +1C 0A4 00000001 80000001 00 = 80000002 10 +1C 0A5 00000001 80000001 01 = 80000002 10 +1C 0A6 00000001 80000001 10 = 80000000 00 +1C 0A7 00000001 80000001 11 = 80000000 00 +1C 0A8 00000002 80000001 00 = 80000003 10 +1C 0A9 00000002 80000001 01 = 80000003 10 +1C 0AA 00000002 80000001 10 = 80000001 00 +1C 0AB 00000002 80000001 11 = 80000001 00 1C 0AC 7FFFFFFF 80000001 00 = 00000000 01 1C 0AD 7FFFFFFF 80000001 01 = 00000000 01 -1C 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 -1C 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 +1C 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 00 +1C 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 00 1C 0B0 80000000 80000001 00 = 00000001 10 1C 0B1 80000000 80000001 01 = 00000001 10 -1C 0B2 80000000 80000001 10 = FFFFFFFF 00 -1C 0B3 80000000 80000001 11 = FFFFFFFF 00 +1C 0B2 80000000 80000001 10 = FFFFFFFF 10 +1C 0B3 80000000 80000001 11 = FFFFFFFF 10 1C 0B4 80000001 80000001 00 = 00000002 10 1C 0B5 80000001 80000001 01 = 00000002 10 1C 0B6 80000001 80000001 10 = 00000000 01 @@ -7383,16 +7383,16 @@ sumc ---D---- ---S---- CZ = ---Q---- CZ 1C 0B9 FFFFFFFE 80000001 01 = 7FFFFFFF 10 1C 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 00 1C 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 00 -1C 0BC FFFFFFFF 80000001 00 = 80000000 00 -1C 0BD FFFFFFFF 80000001 01 = 80000000 00 +1C 0BC FFFFFFFF 80000001 00 = 80000000 10 +1C 0BD FFFFFFFF 80000001 01 = 80000000 10 1C 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 1C 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 -1C 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 -1C 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 +1C 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 +1C 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 1C 0C2 00000000 FFFFFFFE 10 = 00000002 00 1C 0C3 00000000 FFFFFFFE 11 = 00000002 00 -1C 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 -1C 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +1C 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 10 +1C 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 10 1C 0C6 00000001 FFFFFFFE 10 = 00000003 00 1C 0C7 00000001 FFFFFFFE 11 = 00000003 00 1C 0C8 00000002 FFFFFFFE 00 = 00000000 01 @@ -7401,26 +7401,26 @@ sumc ---D---- ---S---- CZ = ---Q---- CZ 1C 0CB 00000002 FFFFFFFE 11 = 00000004 00 1C 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 00 1C 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 00 -1C 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 -1C 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 +1C 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 00 +1C 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 00 1C 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 1C 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 -1C 0D2 80000000 FFFFFFFE 10 = 80000002 00 -1C 0D3 80000000 FFFFFFFE 11 = 80000002 00 +1C 0D2 80000000 FFFFFFFE 10 = 80000002 10 +1C 0D3 80000000 FFFFFFFE 11 = 80000002 10 1C 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 1C 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 -1C 0D6 80000001 FFFFFFFE 10 = 80000003 00 -1C 0D7 80000001 FFFFFFFE 11 = 80000003 00 -1C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 -1C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 +1C 0D6 80000001 FFFFFFFE 10 = 80000003 10 +1C 0D7 80000001 FFFFFFFE 11 = 80000003 10 +1C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 10 +1C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 10 1C 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 1C 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 -1C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 -1C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 +1C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 10 +1C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 10 1C 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 1C 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 -1C 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 -1C 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +1C 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 +1C 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 1C 0E2 00000000 FFFFFFFF 10 = 00000001 00 1C 0E3 00000000 FFFFFFFF 11 = 00000001 00 1C 0E4 00000001 FFFFFFFF 00 = 00000000 01 @@ -7433,22 +7433,22 @@ sumc ---D---- ---S---- CZ = ---Q---- CZ 1C 0EB 00000002 FFFFFFFF 11 = 00000003 00 1C 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 1C 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 -1C 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 -1C 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 +1C 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 00 +1C 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 00 1C 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 1C 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 -1C 0F2 80000000 FFFFFFFF 10 = 80000001 00 -1C 0F3 80000000 FFFFFFFF 11 = 80000001 00 -1C 0F4 80000001 FFFFFFFF 00 = 80000000 00 -1C 0F5 80000001 FFFFFFFF 01 = 80000000 00 -1C 0F6 80000001 FFFFFFFF 10 = 80000002 00 -1C 0F7 80000001 FFFFFFFF 11 = 80000002 00 -1C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 -1C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 -1C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 -1C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 -1C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 -1C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 +1C 0F2 80000000 FFFFFFFF 10 = 80000001 10 +1C 0F3 80000000 FFFFFFFF 11 = 80000001 10 +1C 0F4 80000001 FFFFFFFF 00 = 80000000 10 +1C 0F5 80000001 FFFFFFFF 01 = 80000000 10 +1C 0F6 80000001 FFFFFFFF 10 = 80000002 10 +1C 0F7 80000001 FFFFFFFF 11 = 80000002 10 +1C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 10 +1C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 10 +1C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +1C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 +1C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 10 +1C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 10 1C 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 1C 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 sumnc ---D---- ---S---- CZ = ---Q---- CZ @@ -7468,24 +7468,24 @@ sumnc ---D---- ---S---- CZ = ---Q---- CZ 1D 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 1D 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 1D 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 -1D 010 80000000 00000000 00 = 80000000 00 -1D 011 80000000 00000000 01 = 80000000 00 -1D 012 80000000 00000000 10 = 80000000 00 -1D 013 80000000 00000000 11 = 80000000 00 -1D 014 80000001 00000000 00 = 80000001 00 -1D 015 80000001 00000000 01 = 80000001 00 -1D 016 80000001 00000000 10 = 80000001 00 -1D 017 80000001 00000000 11 = 80000001 00 -1D 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 -1D 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 -1D 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 -1D 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 -1D 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 -1D 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 -1D 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 -1D 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 -1D 020 00000000 00000001 00 = FFFFFFFF 00 -1D 021 00000000 00000001 01 = FFFFFFFF 00 +1D 010 80000000 00000000 00 = 80000000 10 +1D 011 80000000 00000000 01 = 80000000 10 +1D 012 80000000 00000000 10 = 80000000 10 +1D 013 80000000 00000000 11 = 80000000 10 +1D 014 80000001 00000000 00 = 80000001 10 +1D 015 80000001 00000000 01 = 80000001 10 +1D 016 80000001 00000000 10 = 80000001 10 +1D 017 80000001 00000000 11 = 80000001 10 +1D 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +1D 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +1D 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +1D 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +1D 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +1D 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +1D 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +1D 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +1D 020 00000000 00000001 00 = FFFFFFFF 10 +1D 021 00000000 00000001 01 = FFFFFFFF 10 1D 022 00000000 00000001 10 = 00000001 00 1D 023 00000000 00000001 11 = 00000001 00 1D 024 00000001 00000001 00 = 00000000 01 @@ -7498,30 +7498,30 @@ sumnc ---D---- ---S---- CZ = ---Q---- CZ 1D 02B 00000002 00000001 11 = 00000003 00 1D 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 1D 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 -1D 02E 7FFFFFFF 00000001 10 = 80000000 10 -1D 02F 7FFFFFFF 00000001 11 = 80000000 10 +1D 02E 7FFFFFFF 00000001 10 = 80000000 00 +1D 02F 7FFFFFFF 00000001 11 = 80000000 00 1D 030 80000000 00000001 00 = 7FFFFFFF 10 1D 031 80000000 00000001 01 = 7FFFFFFF 10 -1D 032 80000000 00000001 10 = 80000001 00 -1D 033 80000000 00000001 11 = 80000001 00 -1D 034 80000001 00000001 00 = 80000000 00 -1D 035 80000001 00000001 01 = 80000000 00 -1D 036 80000001 00000001 10 = 80000002 00 -1D 037 80000001 00000001 11 = 80000002 00 -1D 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 -1D 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 -1D 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 -1D 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 -1D 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 -1D 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 +1D 032 80000000 00000001 10 = 80000001 10 +1D 033 80000000 00000001 11 = 80000001 10 +1D 034 80000001 00000001 00 = 80000000 10 +1D 035 80000001 00000001 01 = 80000000 10 +1D 036 80000001 00000001 10 = 80000002 10 +1D 037 80000001 00000001 11 = 80000002 10 +1D 038 FFFFFFFE 00000001 00 = FFFFFFFD 10 +1D 039 FFFFFFFE 00000001 01 = FFFFFFFD 10 +1D 03A FFFFFFFE 00000001 10 = FFFFFFFF 10 +1D 03B FFFFFFFE 00000001 11 = FFFFFFFF 10 +1D 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 +1D 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 1D 03E FFFFFFFF 00000001 10 = 00000000 01 1D 03F FFFFFFFF 00000001 11 = 00000000 01 -1D 040 00000000 00000002 00 = FFFFFFFE 00 -1D 041 00000000 00000002 01 = FFFFFFFE 00 +1D 040 00000000 00000002 00 = FFFFFFFE 10 +1D 041 00000000 00000002 01 = FFFFFFFE 10 1D 042 00000000 00000002 10 = 00000002 00 1D 043 00000000 00000002 11 = 00000002 00 -1D 044 00000001 00000002 00 = FFFFFFFF 00 -1D 045 00000001 00000002 01 = FFFFFFFF 00 +1D 044 00000001 00000002 00 = FFFFFFFF 10 +1D 045 00000001 00000002 01 = FFFFFFFF 10 1D 046 00000001 00000002 10 = 00000003 00 1D 047 00000001 00000002 11 = 00000003 00 1D 048 00000002 00000002 00 = 00000000 01 @@ -7530,44 +7530,44 @@ sumnc ---D---- ---S---- CZ = ---Q---- CZ 1D 04B 00000002 00000002 11 = 00000004 00 1D 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 1D 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 -1D 04E 7FFFFFFF 00000002 10 = 80000001 10 -1D 04F 7FFFFFFF 00000002 11 = 80000001 10 +1D 04E 7FFFFFFF 00000002 10 = 80000001 00 +1D 04F 7FFFFFFF 00000002 11 = 80000001 00 1D 050 80000000 00000002 00 = 7FFFFFFE 10 1D 051 80000000 00000002 01 = 7FFFFFFE 10 -1D 052 80000000 00000002 10 = 80000002 00 -1D 053 80000000 00000002 11 = 80000002 00 +1D 052 80000000 00000002 10 = 80000002 10 +1D 053 80000000 00000002 11 = 80000002 10 1D 054 80000001 00000002 00 = 7FFFFFFF 10 1D 055 80000001 00000002 01 = 7FFFFFFF 10 -1D 056 80000001 00000002 10 = 80000003 00 -1D 057 80000001 00000002 11 = 80000003 00 -1D 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 -1D 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +1D 056 80000001 00000002 10 = 80000003 10 +1D 057 80000001 00000002 11 = 80000003 10 +1D 058 FFFFFFFE 00000002 00 = FFFFFFFC 10 +1D 059 FFFFFFFE 00000002 01 = FFFFFFFC 10 1D 05A FFFFFFFE 00000002 10 = 00000000 01 1D 05B FFFFFFFE 00000002 11 = 00000000 01 -1D 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 -1D 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 +1D 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 +1D 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 1D 05E FFFFFFFF 00000002 10 = 00000001 00 1D 05F FFFFFFFF 00000002 11 = 00000001 00 -1D 060 00000000 7FFFFFFF 00 = 80000001 00 -1D 061 00000000 7FFFFFFF 01 = 80000001 00 +1D 060 00000000 7FFFFFFF 00 = 80000001 10 +1D 061 00000000 7FFFFFFF 01 = 80000001 10 1D 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 1D 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 -1D 064 00000001 7FFFFFFF 00 = 80000002 00 -1D 065 00000001 7FFFFFFF 01 = 80000002 00 -1D 066 00000001 7FFFFFFF 10 = 80000000 10 -1D 067 00000001 7FFFFFFF 11 = 80000000 10 -1D 068 00000002 7FFFFFFF 00 = 80000003 00 -1D 069 00000002 7FFFFFFF 01 = 80000003 00 -1D 06A 00000002 7FFFFFFF 10 = 80000001 10 -1D 06B 00000002 7FFFFFFF 11 = 80000001 10 +1D 064 00000001 7FFFFFFF 00 = 80000002 10 +1D 065 00000001 7FFFFFFF 01 = 80000002 10 +1D 066 00000001 7FFFFFFF 10 = 80000000 00 +1D 067 00000001 7FFFFFFF 11 = 80000000 00 +1D 068 00000002 7FFFFFFF 00 = 80000003 10 +1D 069 00000002 7FFFFFFF 01 = 80000003 10 +1D 06A 00000002 7FFFFFFF 10 = 80000001 00 +1D 06B 00000002 7FFFFFFF 11 = 80000001 00 1D 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 1D 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 -1D 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 -1D 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 +1D 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 00 +1D 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 00 1D 070 80000000 7FFFFFFF 00 = 00000001 10 1D 071 80000000 7FFFFFFF 01 = 00000001 10 -1D 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 -1D 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +1D 072 80000000 7FFFFFFF 10 = FFFFFFFF 10 +1D 073 80000000 7FFFFFFF 11 = FFFFFFFF 10 1D 074 80000001 7FFFFFFF 00 = 00000002 10 1D 075 80000001 7FFFFFFF 01 = 00000002 10 1D 076 80000001 7FFFFFFF 10 = 00000000 01 @@ -7576,26 +7576,26 @@ sumnc ---D---- ---S---- CZ = ---Q---- CZ 1D 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFF 10 1D 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFD 00 1D 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFD 00 -1D 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 -1D 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 +1D 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 +1D 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 1D 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 1D 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 -1D 080 00000000 80000000 00 = 80000000 10 -1D 081 00000000 80000000 01 = 80000000 10 -1D 082 00000000 80000000 10 = 80000000 00 -1D 083 00000000 80000000 11 = 80000000 00 -1D 084 00000001 80000000 00 = 80000001 10 -1D 085 00000001 80000000 01 = 80000001 10 -1D 086 00000001 80000000 10 = 80000001 00 -1D 087 00000001 80000000 11 = 80000001 00 -1D 088 00000002 80000000 00 = 80000002 10 -1D 089 00000002 80000000 01 = 80000002 10 -1D 08A 00000002 80000000 10 = 80000002 00 -1D 08B 00000002 80000000 11 = 80000002 00 -1D 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 -1D 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 -1D 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 -1D 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +1D 080 00000000 80000000 00 = 80000000 00 +1D 081 00000000 80000000 01 = 80000000 00 +1D 082 00000000 80000000 10 = 80000000 10 +1D 083 00000000 80000000 11 = 80000000 10 +1D 084 00000001 80000000 00 = 80000001 00 +1D 085 00000001 80000000 01 = 80000001 00 +1D 086 00000001 80000000 10 = 80000001 10 +1D 087 00000001 80000000 11 = 80000001 10 +1D 088 00000002 80000000 00 = 80000002 00 +1D 089 00000002 80000000 01 = 80000002 00 +1D 08A 00000002 80000000 10 = 80000002 10 +1D 08B 00000002 80000000 11 = 80000002 10 +1D 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +1D 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +1D 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +1D 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 1D 090 80000000 80000000 00 = 00000000 01 1D 091 80000000 80000000 01 = 00000000 01 1D 092 80000000 80000000 10 = 00000000 11 @@ -7614,22 +7614,22 @@ sumnc ---D---- ---S---- CZ = ---Q---- CZ 1D 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 1D 0A0 00000000 80000001 00 = 7FFFFFFF 00 1D 0A1 00000000 80000001 01 = 7FFFFFFF 00 -1D 0A2 00000000 80000001 10 = 80000001 00 -1D 0A3 00000000 80000001 11 = 80000001 00 -1D 0A4 00000001 80000001 00 = 80000000 10 -1D 0A5 00000001 80000001 01 = 80000000 10 -1D 0A6 00000001 80000001 10 = 80000002 00 -1D 0A7 00000001 80000001 11 = 80000002 00 -1D 0A8 00000002 80000001 00 = 80000001 10 -1D 0A9 00000002 80000001 01 = 80000001 10 -1D 0AA 00000002 80000001 10 = 80000003 00 -1D 0AB 00000002 80000001 11 = 80000003 00 -1D 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 -1D 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 +1D 0A2 00000000 80000001 10 = 80000001 10 +1D 0A3 00000000 80000001 11 = 80000001 10 +1D 0A4 00000001 80000001 00 = 80000000 00 +1D 0A5 00000001 80000001 01 = 80000000 00 +1D 0A6 00000001 80000001 10 = 80000002 10 +1D 0A7 00000001 80000001 11 = 80000002 10 +1D 0A8 00000002 80000001 00 = 80000001 00 +1D 0A9 00000002 80000001 01 = 80000001 00 +1D 0AA 00000002 80000001 10 = 80000003 10 +1D 0AB 00000002 80000001 11 = 80000003 10 +1D 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 00 +1D 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 00 1D 0AE 7FFFFFFF 80000001 10 = 00000000 01 1D 0AF 7FFFFFFF 80000001 11 = 00000000 01 -1D 0B0 80000000 80000001 00 = FFFFFFFF 00 -1D 0B1 80000000 80000001 01 = FFFFFFFF 00 +1D 0B0 80000000 80000001 00 = FFFFFFFF 10 +1D 0B1 80000000 80000001 01 = FFFFFFFF 10 1D 0B2 80000000 80000001 10 = 00000001 10 1D 0B3 80000000 80000001 11 = 00000001 10 1D 0B4 80000001 80000001 00 = 00000000 01 @@ -7642,44 +7642,44 @@ sumnc ---D---- ---S---- CZ = ---Q---- CZ 1D 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 1D 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 1D 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 -1D 0BE FFFFFFFF 80000001 10 = 80000000 00 -1D 0BF FFFFFFFF 80000001 11 = 80000000 00 +1D 0BE FFFFFFFF 80000001 10 = 80000000 10 +1D 0BF FFFFFFFF 80000001 11 = 80000000 10 1D 0C0 00000000 FFFFFFFE 00 = 00000002 00 1D 0C1 00000000 FFFFFFFE 01 = 00000002 00 -1D 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 -1D 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 +1D 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 +1D 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 1D 0C4 00000001 FFFFFFFE 00 = 00000003 00 1D 0C5 00000001 FFFFFFFE 01 = 00000003 00 -1D 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 -1D 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +1D 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 10 +1D 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 10 1D 0C8 00000002 FFFFFFFE 00 = 00000004 00 1D 0C9 00000002 FFFFFFFE 01 = 00000004 00 1D 0CA 00000002 FFFFFFFE 10 = 00000000 01 1D 0CB 00000002 FFFFFFFE 11 = 00000000 01 -1D 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 -1D 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 +1D 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 00 +1D 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 00 1D 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFD 00 1D 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFD 00 -1D 0D0 80000000 FFFFFFFE 00 = 80000002 00 -1D 0D1 80000000 FFFFFFFE 01 = 80000002 00 +1D 0D0 80000000 FFFFFFFE 00 = 80000002 10 +1D 0D1 80000000 FFFFFFFE 01 = 80000002 10 1D 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 10 1D 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 10 -1D 0D4 80000001 FFFFFFFE 00 = 80000003 00 -1D 0D5 80000001 FFFFFFFE 01 = 80000003 00 +1D 0D4 80000001 FFFFFFFE 00 = 80000003 10 +1D 0D5 80000001 FFFFFFFE 01 = 80000003 10 1D 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 1D 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 1D 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 1D 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 -1D 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 00 -1D 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 00 +1D 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 10 +1D 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 10 1D 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 1D 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 -1D 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 00 -1D 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 00 +1D 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 10 +1D 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 10 1D 0E0 00000000 FFFFFFFF 00 = 00000001 00 1D 0E1 00000000 FFFFFFFF 01 = 00000001 00 -1D 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 -1D 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +1D 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 +1D 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 1D 0E4 00000001 FFFFFFFF 00 = 00000002 00 1D 0E5 00000001 FFFFFFFF 01 = 00000002 00 1D 0E6 00000001 FFFFFFFF 10 = 00000000 01 @@ -7688,26 +7688,26 @@ sumnc ---D---- ---S---- CZ = ---Q---- CZ 1D 0E9 00000002 FFFFFFFF 01 = 00000003 00 1D 0EA 00000002 FFFFFFFF 10 = 00000001 00 1D 0EB 00000002 FFFFFFFF 11 = 00000001 00 -1D 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 -1D 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +1D 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 00 +1D 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 00 1D 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 00 1D 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 00 -1D 0F0 80000000 FFFFFFFF 00 = 80000001 00 -1D 0F1 80000000 FFFFFFFF 01 = 80000001 00 +1D 0F0 80000000 FFFFFFFF 00 = 80000001 10 +1D 0F1 80000000 FFFFFFFF 01 = 80000001 10 1D 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 1D 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 -1D 0F4 80000001 FFFFFFFF 00 = 80000002 00 -1D 0F5 80000001 FFFFFFFF 01 = 80000002 00 -1D 0F6 80000001 FFFFFFFF 10 = 80000000 00 -1D 0F7 80000001 FFFFFFFF 11 = 80000000 00 -1D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 -1D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 -1D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 -1D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 +1D 0F4 80000001 FFFFFFFF 00 = 80000002 10 +1D 0F5 80000001 FFFFFFFF 01 = 80000002 10 +1D 0F6 80000001 FFFFFFFF 10 = 80000000 10 +1D 0F7 80000001 FFFFFFFF 11 = 80000000 10 +1D 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +1D 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +1D 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 10 +1D 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 10 1D 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 1D 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 -1D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 -1D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 +1D 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 10 +1D 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 10 sumz ---D---- ---S---- CZ = ---Q---- CZ 1E 000 00000000 00000000 00 = 00000000 01 1E 001 00000000 00000000 01 = 00000000 01 @@ -7725,26 +7725,26 @@ sumz ---D---- ---S---- CZ = ---Q---- CZ 1E 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 1E 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 1E 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 -1E 010 80000000 00000000 00 = 80000000 00 -1E 011 80000000 00000000 01 = 80000000 00 -1E 012 80000000 00000000 10 = 80000000 00 -1E 013 80000000 00000000 11 = 80000000 00 -1E 014 80000001 00000000 00 = 80000001 00 -1E 015 80000001 00000000 01 = 80000001 00 -1E 016 80000001 00000000 10 = 80000001 00 -1E 017 80000001 00000000 11 = 80000001 00 -1E 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 -1E 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 -1E 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 -1E 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 -1E 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 -1E 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 -1E 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 -1E 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 +1E 010 80000000 00000000 00 = 80000000 10 +1E 011 80000000 00000000 01 = 80000000 10 +1E 012 80000000 00000000 10 = 80000000 10 +1E 013 80000000 00000000 11 = 80000000 10 +1E 014 80000001 00000000 00 = 80000001 10 +1E 015 80000001 00000000 01 = 80000001 10 +1E 016 80000001 00000000 10 = 80000001 10 +1E 017 80000001 00000000 11 = 80000001 10 +1E 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +1E 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +1E 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +1E 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +1E 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +1E 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +1E 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +1E 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 1E 020 00000000 00000001 00 = 00000001 00 -1E 021 00000000 00000001 01 = FFFFFFFF 00 +1E 021 00000000 00000001 01 = FFFFFFFF 10 1E 022 00000000 00000001 10 = 00000001 00 -1E 023 00000000 00000001 11 = FFFFFFFF 00 +1E 023 00000000 00000001 11 = FFFFFFFF 10 1E 024 00000001 00000001 00 = 00000002 00 1E 025 00000001 00000001 01 = 00000000 01 1E 026 00000001 00000001 10 = 00000002 00 @@ -7753,77 +7753,77 @@ sumz ---D---- ---S---- CZ = ---Q---- CZ 1E 029 00000002 00000001 01 = 00000001 00 1E 02A 00000002 00000001 10 = 00000003 00 1E 02B 00000002 00000001 11 = 00000001 00 -1E 02C 7FFFFFFF 00000001 00 = 80000000 10 +1E 02C 7FFFFFFF 00000001 00 = 80000000 00 1E 02D 7FFFFFFF 00000001 01 = 7FFFFFFE 00 -1E 02E 7FFFFFFF 00000001 10 = 80000000 10 +1E 02E 7FFFFFFF 00000001 10 = 80000000 00 1E 02F 7FFFFFFF 00000001 11 = 7FFFFFFE 00 -1E 030 80000000 00000001 00 = 80000001 00 +1E 030 80000000 00000001 00 = 80000001 10 1E 031 80000000 00000001 01 = 7FFFFFFF 10 -1E 032 80000000 00000001 10 = 80000001 00 +1E 032 80000000 00000001 10 = 80000001 10 1E 033 80000000 00000001 11 = 7FFFFFFF 10 -1E 034 80000001 00000001 00 = 80000002 00 -1E 035 80000001 00000001 01 = 80000000 00 -1E 036 80000001 00000001 10 = 80000002 00 -1E 037 80000001 00000001 11 = 80000000 00 -1E 038 FFFFFFFE 00000001 00 = FFFFFFFF 00 -1E 039 FFFFFFFE 00000001 01 = FFFFFFFD 00 -1E 03A FFFFFFFE 00000001 10 = FFFFFFFF 00 -1E 03B FFFFFFFE 00000001 11 = FFFFFFFD 00 +1E 034 80000001 00000001 00 = 80000002 10 +1E 035 80000001 00000001 01 = 80000000 10 +1E 036 80000001 00000001 10 = 80000002 10 +1E 037 80000001 00000001 11 = 80000000 10 +1E 038 FFFFFFFE 00000001 00 = FFFFFFFF 10 +1E 039 FFFFFFFE 00000001 01 = FFFFFFFD 10 +1E 03A FFFFFFFE 00000001 10 = FFFFFFFF 10 +1E 03B FFFFFFFE 00000001 11 = FFFFFFFD 10 1E 03C FFFFFFFF 00000001 00 = 00000000 01 -1E 03D FFFFFFFF 00000001 01 = FFFFFFFE 00 +1E 03D FFFFFFFF 00000001 01 = FFFFFFFE 10 1E 03E FFFFFFFF 00000001 10 = 00000000 01 -1E 03F FFFFFFFF 00000001 11 = FFFFFFFE 00 +1E 03F FFFFFFFF 00000001 11 = FFFFFFFE 10 1E 040 00000000 00000002 00 = 00000002 00 -1E 041 00000000 00000002 01 = FFFFFFFE 00 +1E 041 00000000 00000002 01 = FFFFFFFE 10 1E 042 00000000 00000002 10 = 00000002 00 -1E 043 00000000 00000002 11 = FFFFFFFE 00 +1E 043 00000000 00000002 11 = FFFFFFFE 10 1E 044 00000001 00000002 00 = 00000003 00 -1E 045 00000001 00000002 01 = FFFFFFFF 00 +1E 045 00000001 00000002 01 = FFFFFFFF 10 1E 046 00000001 00000002 10 = 00000003 00 -1E 047 00000001 00000002 11 = FFFFFFFF 00 +1E 047 00000001 00000002 11 = FFFFFFFF 10 1E 048 00000002 00000002 00 = 00000004 00 1E 049 00000002 00000002 01 = 00000000 01 1E 04A 00000002 00000002 10 = 00000004 00 1E 04B 00000002 00000002 11 = 00000000 01 -1E 04C 7FFFFFFF 00000002 00 = 80000001 10 +1E 04C 7FFFFFFF 00000002 00 = 80000001 00 1E 04D 7FFFFFFF 00000002 01 = 7FFFFFFD 00 -1E 04E 7FFFFFFF 00000002 10 = 80000001 10 +1E 04E 7FFFFFFF 00000002 10 = 80000001 00 1E 04F 7FFFFFFF 00000002 11 = 7FFFFFFD 00 -1E 050 80000000 00000002 00 = 80000002 00 +1E 050 80000000 00000002 00 = 80000002 10 1E 051 80000000 00000002 01 = 7FFFFFFE 10 -1E 052 80000000 00000002 10 = 80000002 00 +1E 052 80000000 00000002 10 = 80000002 10 1E 053 80000000 00000002 11 = 7FFFFFFE 10 -1E 054 80000001 00000002 00 = 80000003 00 +1E 054 80000001 00000002 00 = 80000003 10 1E 055 80000001 00000002 01 = 7FFFFFFF 10 -1E 056 80000001 00000002 10 = 80000003 00 +1E 056 80000001 00000002 10 = 80000003 10 1E 057 80000001 00000002 11 = 7FFFFFFF 10 1E 058 FFFFFFFE 00000002 00 = 00000000 01 -1E 059 FFFFFFFE 00000002 01 = FFFFFFFC 00 +1E 059 FFFFFFFE 00000002 01 = FFFFFFFC 10 1E 05A FFFFFFFE 00000002 10 = 00000000 01 -1E 05B FFFFFFFE 00000002 11 = FFFFFFFC 00 +1E 05B FFFFFFFE 00000002 11 = FFFFFFFC 10 1E 05C FFFFFFFF 00000002 00 = 00000001 00 -1E 05D FFFFFFFF 00000002 01 = FFFFFFFD 00 +1E 05D FFFFFFFF 00000002 01 = FFFFFFFD 10 1E 05E FFFFFFFF 00000002 10 = 00000001 00 -1E 05F FFFFFFFF 00000002 11 = FFFFFFFD 00 +1E 05F FFFFFFFF 00000002 11 = FFFFFFFD 10 1E 060 00000000 7FFFFFFF 00 = 7FFFFFFF 00 -1E 061 00000000 7FFFFFFF 01 = 80000001 00 +1E 061 00000000 7FFFFFFF 01 = 80000001 10 1E 062 00000000 7FFFFFFF 10 = 7FFFFFFF 00 -1E 063 00000000 7FFFFFFF 11 = 80000001 00 -1E 064 00000001 7FFFFFFF 00 = 80000000 10 -1E 065 00000001 7FFFFFFF 01 = 80000002 00 -1E 066 00000001 7FFFFFFF 10 = 80000000 10 -1E 067 00000001 7FFFFFFF 11 = 80000002 00 -1E 068 00000002 7FFFFFFF 00 = 80000001 10 -1E 069 00000002 7FFFFFFF 01 = 80000003 00 -1E 06A 00000002 7FFFFFFF 10 = 80000001 10 -1E 06B 00000002 7FFFFFFF 11 = 80000003 00 -1E 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 10 +1E 063 00000000 7FFFFFFF 11 = 80000001 10 +1E 064 00000001 7FFFFFFF 00 = 80000000 00 +1E 065 00000001 7FFFFFFF 01 = 80000002 10 +1E 066 00000001 7FFFFFFF 10 = 80000000 00 +1E 067 00000001 7FFFFFFF 11 = 80000002 10 +1E 068 00000002 7FFFFFFF 00 = 80000001 00 +1E 069 00000002 7FFFFFFF 01 = 80000003 10 +1E 06A 00000002 7FFFFFFF 10 = 80000001 00 +1E 06B 00000002 7FFFFFFF 11 = 80000003 10 +1E 06C 7FFFFFFF 7FFFFFFF 00 = FFFFFFFE 00 1E 06D 7FFFFFFF 7FFFFFFF 01 = 00000000 01 -1E 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 10 +1E 06E 7FFFFFFF 7FFFFFFF 10 = FFFFFFFE 00 1E 06F 7FFFFFFF 7FFFFFFF 11 = 00000000 01 -1E 070 80000000 7FFFFFFF 00 = FFFFFFFF 00 +1E 070 80000000 7FFFFFFF 00 = FFFFFFFF 10 1E 071 80000000 7FFFFFFF 01 = 00000001 10 -1E 072 80000000 7FFFFFFF 10 = FFFFFFFF 00 +1E 072 80000000 7FFFFFFF 10 = FFFFFFFF 10 1E 073 80000000 7FFFFFFF 11 = 00000001 10 1E 074 80000001 7FFFFFFF 00 = 00000000 01 1E 075 80000001 7FFFFFFF 01 = 00000002 10 @@ -7834,25 +7834,25 @@ sumz ---D---- ---S---- CZ = ---Q---- CZ 1E 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFD 00 1E 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFF 10 1E 07C FFFFFFFF 7FFFFFFF 00 = 7FFFFFFE 00 -1E 07D FFFFFFFF 7FFFFFFF 01 = 80000000 00 +1E 07D FFFFFFFF 7FFFFFFF 01 = 80000000 10 1E 07E FFFFFFFF 7FFFFFFF 10 = 7FFFFFFE 00 -1E 07F FFFFFFFF 7FFFFFFF 11 = 80000000 00 -1E 080 00000000 80000000 00 = 80000000 00 -1E 081 00000000 80000000 01 = 80000000 10 -1E 082 00000000 80000000 10 = 80000000 00 -1E 083 00000000 80000000 11 = 80000000 10 -1E 084 00000001 80000000 00 = 80000001 00 -1E 085 00000001 80000000 01 = 80000001 10 -1E 086 00000001 80000000 10 = 80000001 00 -1E 087 00000001 80000000 11 = 80000001 10 -1E 088 00000002 80000000 00 = 80000002 00 -1E 089 00000002 80000000 01 = 80000002 10 -1E 08A 00000002 80000000 10 = 80000002 00 -1E 08B 00000002 80000000 11 = 80000002 10 -1E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 -1E 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 -1E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 -1E 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 +1E 07F FFFFFFFF 7FFFFFFF 11 = 80000000 10 +1E 080 00000000 80000000 00 = 80000000 10 +1E 081 00000000 80000000 01 = 80000000 00 +1E 082 00000000 80000000 10 = 80000000 10 +1E 083 00000000 80000000 11 = 80000000 00 +1E 084 00000001 80000000 00 = 80000001 10 +1E 085 00000001 80000000 01 = 80000001 00 +1E 086 00000001 80000000 10 = 80000001 10 +1E 087 00000001 80000000 11 = 80000001 00 +1E 088 00000002 80000000 00 = 80000002 10 +1E 089 00000002 80000000 01 = 80000002 00 +1E 08A 00000002 80000000 10 = 80000002 10 +1E 08B 00000002 80000000 11 = 80000002 00 +1E 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +1E 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 +1E 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 +1E 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 1E 090 80000000 80000000 00 = 00000000 11 1E 091 80000000 80000000 01 = 00000000 01 1E 092 80000000 80000000 10 = 00000000 11 @@ -7869,26 +7869,26 @@ sumz ---D---- ---S---- CZ = ---Q---- CZ 1E 09D FFFFFFFF 80000000 01 = 7FFFFFFF 00 1E 09E FFFFFFFF 80000000 10 = 7FFFFFFF 10 1E 09F FFFFFFFF 80000000 11 = 7FFFFFFF 00 -1E 0A0 00000000 80000001 00 = 80000001 00 +1E 0A0 00000000 80000001 00 = 80000001 10 1E 0A1 00000000 80000001 01 = 7FFFFFFF 00 -1E 0A2 00000000 80000001 10 = 80000001 00 +1E 0A2 00000000 80000001 10 = 80000001 10 1E 0A3 00000000 80000001 11 = 7FFFFFFF 00 -1E 0A4 00000001 80000001 00 = 80000002 00 -1E 0A5 00000001 80000001 01 = 80000000 10 -1E 0A6 00000001 80000001 10 = 80000002 00 -1E 0A7 00000001 80000001 11 = 80000000 10 -1E 0A8 00000002 80000001 00 = 80000003 00 -1E 0A9 00000002 80000001 01 = 80000001 10 -1E 0AA 00000002 80000001 10 = 80000003 00 -1E 0AB 00000002 80000001 11 = 80000001 10 +1E 0A4 00000001 80000001 00 = 80000002 10 +1E 0A5 00000001 80000001 01 = 80000000 00 +1E 0A6 00000001 80000001 10 = 80000002 10 +1E 0A7 00000001 80000001 11 = 80000000 00 +1E 0A8 00000002 80000001 00 = 80000003 10 +1E 0A9 00000002 80000001 01 = 80000001 00 +1E 0AA 00000002 80000001 10 = 80000003 10 +1E 0AB 00000002 80000001 11 = 80000001 00 1E 0AC 7FFFFFFF 80000001 00 = 00000000 01 -1E 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 10 +1E 0AD 7FFFFFFF 80000001 01 = FFFFFFFE 00 1E 0AE 7FFFFFFF 80000001 10 = 00000000 01 -1E 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 10 +1E 0AF 7FFFFFFF 80000001 11 = FFFFFFFE 00 1E 0B0 80000000 80000001 00 = 00000001 10 -1E 0B1 80000000 80000001 01 = FFFFFFFF 00 +1E 0B1 80000000 80000001 01 = FFFFFFFF 10 1E 0B2 80000000 80000001 10 = 00000001 10 -1E 0B3 80000000 80000001 11 = FFFFFFFF 00 +1E 0B3 80000000 80000001 11 = FFFFFFFF 10 1E 0B4 80000001 80000001 00 = 00000002 10 1E 0B5 80000001 80000001 01 = 00000000 01 1E 0B6 80000001 80000001 10 = 00000002 10 @@ -7897,45 +7897,45 @@ sumz ---D---- ---S---- CZ = ---Q---- CZ 1E 0B9 FFFFFFFE 80000001 01 = 7FFFFFFD 00 1E 0BA FFFFFFFE 80000001 10 = 7FFFFFFF 10 1E 0BB FFFFFFFE 80000001 11 = 7FFFFFFD 00 -1E 0BC FFFFFFFF 80000001 00 = 80000000 00 +1E 0BC FFFFFFFF 80000001 00 = 80000000 10 1E 0BD FFFFFFFF 80000001 01 = 7FFFFFFE 00 -1E 0BE FFFFFFFF 80000001 10 = 80000000 00 +1E 0BE FFFFFFFF 80000001 10 = 80000000 10 1E 0BF FFFFFFFF 80000001 11 = 7FFFFFFE 00 -1E 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 00 +1E 0C0 00000000 FFFFFFFE 00 = FFFFFFFE 10 1E 0C1 00000000 FFFFFFFE 01 = 00000002 00 -1E 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 00 +1E 0C2 00000000 FFFFFFFE 10 = FFFFFFFE 10 1E 0C3 00000000 FFFFFFFE 11 = 00000002 00 -1E 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 00 +1E 0C4 00000001 FFFFFFFE 00 = FFFFFFFF 10 1E 0C5 00000001 FFFFFFFE 01 = 00000003 00 -1E 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 00 +1E 0C6 00000001 FFFFFFFE 10 = FFFFFFFF 10 1E 0C7 00000001 FFFFFFFE 11 = 00000003 00 1E 0C8 00000002 FFFFFFFE 00 = 00000000 01 1E 0C9 00000002 FFFFFFFE 01 = 00000004 00 1E 0CA 00000002 FFFFFFFE 10 = 00000000 01 1E 0CB 00000002 FFFFFFFE 11 = 00000004 00 1E 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFD 00 -1E 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 10 +1E 0CD 7FFFFFFF FFFFFFFE 01 = 80000001 00 1E 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFD 00 -1E 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 10 +1E 0CF 7FFFFFFF FFFFFFFE 11 = 80000001 00 1E 0D0 80000000 FFFFFFFE 00 = 7FFFFFFE 10 -1E 0D1 80000000 FFFFFFFE 01 = 80000002 00 +1E 0D1 80000000 FFFFFFFE 01 = 80000002 10 1E 0D2 80000000 FFFFFFFE 10 = 7FFFFFFE 10 -1E 0D3 80000000 FFFFFFFE 11 = 80000002 00 +1E 0D3 80000000 FFFFFFFE 11 = 80000002 10 1E 0D4 80000001 FFFFFFFE 00 = 7FFFFFFF 10 -1E 0D5 80000001 FFFFFFFE 01 = 80000003 00 +1E 0D5 80000001 FFFFFFFE 01 = 80000003 10 1E 0D6 80000001 FFFFFFFE 10 = 7FFFFFFF 10 -1E 0D7 80000001 FFFFFFFE 11 = 80000003 00 -1E 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 00 +1E 0D7 80000001 FFFFFFFE 11 = 80000003 10 +1E 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFC 10 1E 0D9 FFFFFFFE FFFFFFFE 01 = 00000000 01 -1E 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 00 +1E 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFC 10 1E 0DB FFFFFFFE FFFFFFFE 11 = 00000000 01 -1E 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 00 +1E 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFD 10 1E 0DD FFFFFFFF FFFFFFFE 01 = 00000001 00 -1E 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 00 +1E 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFD 10 1E 0DF FFFFFFFF FFFFFFFE 11 = 00000001 00 -1E 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 00 +1E 0E0 00000000 FFFFFFFF 00 = FFFFFFFF 10 1E 0E1 00000000 FFFFFFFF 01 = 00000001 00 -1E 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 00 +1E 0E2 00000000 FFFFFFFF 10 = FFFFFFFF 10 1E 0E3 00000000 FFFFFFFF 11 = 00000001 00 1E 0E4 00000001 FFFFFFFF 00 = 00000000 01 1E 0E5 00000001 FFFFFFFF 01 = 00000002 00 @@ -7946,24 +7946,24 @@ sumz ---D---- ---S---- CZ = ---Q---- CZ 1E 0EA 00000002 FFFFFFFF 10 = 00000001 00 1E 0EB 00000002 FFFFFFFF 11 = 00000003 00 1E 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFE 00 -1E 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 10 +1E 0ED 7FFFFFFF FFFFFFFF 01 = 80000000 00 1E 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFE 00 -1E 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 10 +1E 0EF 7FFFFFFF FFFFFFFF 11 = 80000000 00 1E 0F0 80000000 FFFFFFFF 00 = 7FFFFFFF 10 -1E 0F1 80000000 FFFFFFFF 01 = 80000001 00 +1E 0F1 80000000 FFFFFFFF 01 = 80000001 10 1E 0F2 80000000 FFFFFFFF 10 = 7FFFFFFF 10 -1E 0F3 80000000 FFFFFFFF 11 = 80000001 00 -1E 0F4 80000001 FFFFFFFF 00 = 80000000 00 -1E 0F5 80000001 FFFFFFFF 01 = 80000002 00 -1E 0F6 80000001 FFFFFFFF 10 = 80000000 00 -1E 0F7 80000001 FFFFFFFF 11 = 80000002 00 -1E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 00 -1E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 00 -1E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 00 -1E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 00 -1E 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 00 +1E 0F3 80000000 FFFFFFFF 11 = 80000001 10 +1E 0F4 80000001 FFFFFFFF 00 = 80000000 10 +1E 0F5 80000001 FFFFFFFF 01 = 80000002 10 +1E 0F6 80000001 FFFFFFFF 10 = 80000000 10 +1E 0F7 80000001 FFFFFFFF 11 = 80000002 10 +1E 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFD 10 +1E 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFF 10 +1E 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFD 10 +1E 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFF 10 +1E 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFE 10 1E 0FD FFFFFFFF FFFFFFFF 01 = 00000000 01 -1E 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 +1E 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 10 1E 0FF FFFFFFFF FFFFFFFF 11 = 00000000 01 sumnz ---D---- ---S---- CZ = ---Q---- CZ 1F 000 00000000 00000000 00 = 00000000 01 @@ -7982,25 +7982,25 @@ sumnz ---D---- ---S---- CZ = ---Q---- CZ 1F 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 00 1F 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 00 1F 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 00 -1F 010 80000000 00000000 00 = 80000000 00 -1F 011 80000000 00000000 01 = 80000000 00 -1F 012 80000000 00000000 10 = 80000000 00 -1F 013 80000000 00000000 11 = 80000000 00 -1F 014 80000001 00000000 00 = 80000001 00 -1F 015 80000001 00000000 01 = 80000001 00 -1F 016 80000001 00000000 10 = 80000001 00 -1F 017 80000001 00000000 11 = 80000001 00 -1F 018 FFFFFFFE 00000000 00 = FFFFFFFE 00 -1F 019 FFFFFFFE 00000000 01 = FFFFFFFE 00 -1F 01A FFFFFFFE 00000000 10 = FFFFFFFE 00 -1F 01B FFFFFFFE 00000000 11 = FFFFFFFE 00 -1F 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 -1F 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 -1F 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 -1F 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 -1F 020 00000000 00000001 00 = FFFFFFFF 00 +1F 010 80000000 00000000 00 = 80000000 10 +1F 011 80000000 00000000 01 = 80000000 10 +1F 012 80000000 00000000 10 = 80000000 10 +1F 013 80000000 00000000 11 = 80000000 10 +1F 014 80000001 00000000 00 = 80000001 10 +1F 015 80000001 00000000 01 = 80000001 10 +1F 016 80000001 00000000 10 = 80000001 10 +1F 017 80000001 00000000 11 = 80000001 10 +1F 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 +1F 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 +1F 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 +1F 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 +1F 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +1F 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 +1F 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 +1F 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +1F 020 00000000 00000001 00 = FFFFFFFF 10 1F 021 00000000 00000001 01 = 00000001 00 -1F 022 00000000 00000001 10 = FFFFFFFF 00 +1F 022 00000000 00000001 10 = FFFFFFFF 10 1F 023 00000000 00000001 11 = 00000001 00 1F 024 00000001 00000001 00 = 00000000 01 1F 025 00000001 00000001 01 = 00000002 00 @@ -8011,77 +8011,77 @@ sumnz ---D---- ---S---- CZ = ---Q---- CZ 1F 02A 00000002 00000001 10 = 00000001 00 1F 02B 00000002 00000001 11 = 00000003 00 1F 02C 7FFFFFFF 00000001 00 = 7FFFFFFE 00 -1F 02D 7FFFFFFF 00000001 01 = 80000000 10 +1F 02D 7FFFFFFF 00000001 01 = 80000000 00 1F 02E 7FFFFFFF 00000001 10 = 7FFFFFFE 00 -1F 02F 7FFFFFFF 00000001 11 = 80000000 10 +1F 02F 7FFFFFFF 00000001 11 = 80000000 00 1F 030 80000000 00000001 00 = 7FFFFFFF 10 -1F 031 80000000 00000001 01 = 80000001 00 +1F 031 80000000 00000001 01 = 80000001 10 1F 032 80000000 00000001 10 = 7FFFFFFF 10 -1F 033 80000000 00000001 11 = 80000001 00 -1F 034 80000001 00000001 00 = 80000000 00 -1F 035 80000001 00000001 01 = 80000002 00 -1F 036 80000001 00000001 10 = 80000000 00 -1F 037 80000001 00000001 11 = 80000002 00 -1F 038 FFFFFFFE 00000001 00 = FFFFFFFD 00 -1F 039 FFFFFFFE 00000001 01 = FFFFFFFF 00 -1F 03A FFFFFFFE 00000001 10 = FFFFFFFD 00 -1F 03B FFFFFFFE 00000001 11 = FFFFFFFF 00 -1F 03C FFFFFFFF 00000001 00 = FFFFFFFE 00 +1F 033 80000000 00000001 11 = 80000001 10 +1F 034 80000001 00000001 00 = 80000000 10 +1F 035 80000001 00000001 01 = 80000002 10 +1F 036 80000001 00000001 10 = 80000000 10 +1F 037 80000001 00000001 11 = 80000002 10 +1F 038 FFFFFFFE 00000001 00 = FFFFFFFD 10 +1F 039 FFFFFFFE 00000001 01 = FFFFFFFF 10 +1F 03A FFFFFFFE 00000001 10 = FFFFFFFD 10 +1F 03B FFFFFFFE 00000001 11 = FFFFFFFF 10 +1F 03C FFFFFFFF 00000001 00 = FFFFFFFE 10 1F 03D FFFFFFFF 00000001 01 = 00000000 01 -1F 03E FFFFFFFF 00000001 10 = FFFFFFFE 00 +1F 03E FFFFFFFF 00000001 10 = FFFFFFFE 10 1F 03F FFFFFFFF 00000001 11 = 00000000 01 -1F 040 00000000 00000002 00 = FFFFFFFE 00 +1F 040 00000000 00000002 00 = FFFFFFFE 10 1F 041 00000000 00000002 01 = 00000002 00 -1F 042 00000000 00000002 10 = FFFFFFFE 00 +1F 042 00000000 00000002 10 = FFFFFFFE 10 1F 043 00000000 00000002 11 = 00000002 00 -1F 044 00000001 00000002 00 = FFFFFFFF 00 +1F 044 00000001 00000002 00 = FFFFFFFF 10 1F 045 00000001 00000002 01 = 00000003 00 -1F 046 00000001 00000002 10 = FFFFFFFF 00 +1F 046 00000001 00000002 10 = FFFFFFFF 10 1F 047 00000001 00000002 11 = 00000003 00 1F 048 00000002 00000002 00 = 00000000 01 1F 049 00000002 00000002 01 = 00000004 00 1F 04A 00000002 00000002 10 = 00000000 01 1F 04B 00000002 00000002 11 = 00000004 00 1F 04C 7FFFFFFF 00000002 00 = 7FFFFFFD 00 -1F 04D 7FFFFFFF 00000002 01 = 80000001 10 +1F 04D 7FFFFFFF 00000002 01 = 80000001 00 1F 04E 7FFFFFFF 00000002 10 = 7FFFFFFD 00 -1F 04F 7FFFFFFF 00000002 11 = 80000001 10 +1F 04F 7FFFFFFF 00000002 11 = 80000001 00 1F 050 80000000 00000002 00 = 7FFFFFFE 10 -1F 051 80000000 00000002 01 = 80000002 00 +1F 051 80000000 00000002 01 = 80000002 10 1F 052 80000000 00000002 10 = 7FFFFFFE 10 -1F 053 80000000 00000002 11 = 80000002 00 +1F 053 80000000 00000002 11 = 80000002 10 1F 054 80000001 00000002 00 = 7FFFFFFF 10 -1F 055 80000001 00000002 01 = 80000003 00 +1F 055 80000001 00000002 01 = 80000003 10 1F 056 80000001 00000002 10 = 7FFFFFFF 10 -1F 057 80000001 00000002 11 = 80000003 00 -1F 058 FFFFFFFE 00000002 00 = FFFFFFFC 00 +1F 057 80000001 00000002 11 = 80000003 10 +1F 058 FFFFFFFE 00000002 00 = FFFFFFFC 10 1F 059 FFFFFFFE 00000002 01 = 00000000 01 -1F 05A FFFFFFFE 00000002 10 = FFFFFFFC 00 +1F 05A FFFFFFFE 00000002 10 = FFFFFFFC 10 1F 05B FFFFFFFE 00000002 11 = 00000000 01 -1F 05C FFFFFFFF 00000002 00 = FFFFFFFD 00 +1F 05C FFFFFFFF 00000002 00 = FFFFFFFD 10 1F 05D FFFFFFFF 00000002 01 = 00000001 00 -1F 05E FFFFFFFF 00000002 10 = FFFFFFFD 00 +1F 05E FFFFFFFF 00000002 10 = FFFFFFFD 10 1F 05F FFFFFFFF 00000002 11 = 00000001 00 -1F 060 00000000 7FFFFFFF 00 = 80000001 00 +1F 060 00000000 7FFFFFFF 00 = 80000001 10 1F 061 00000000 7FFFFFFF 01 = 7FFFFFFF 00 -1F 062 00000000 7FFFFFFF 10 = 80000001 00 +1F 062 00000000 7FFFFFFF 10 = 80000001 10 1F 063 00000000 7FFFFFFF 11 = 7FFFFFFF 00 -1F 064 00000001 7FFFFFFF 00 = 80000002 00 -1F 065 00000001 7FFFFFFF 01 = 80000000 10 -1F 066 00000001 7FFFFFFF 10 = 80000002 00 -1F 067 00000001 7FFFFFFF 11 = 80000000 10 -1F 068 00000002 7FFFFFFF 00 = 80000003 00 -1F 069 00000002 7FFFFFFF 01 = 80000001 10 -1F 06A 00000002 7FFFFFFF 10 = 80000003 00 -1F 06B 00000002 7FFFFFFF 11 = 80000001 10 +1F 064 00000001 7FFFFFFF 00 = 80000002 10 +1F 065 00000001 7FFFFFFF 01 = 80000000 00 +1F 066 00000001 7FFFFFFF 10 = 80000002 10 +1F 067 00000001 7FFFFFFF 11 = 80000000 00 +1F 068 00000002 7FFFFFFF 00 = 80000003 10 +1F 069 00000002 7FFFFFFF 01 = 80000001 00 +1F 06A 00000002 7FFFFFFF 10 = 80000003 10 +1F 06B 00000002 7FFFFFFF 11 = 80000001 00 1F 06C 7FFFFFFF 7FFFFFFF 00 = 00000000 01 -1F 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 10 +1F 06D 7FFFFFFF 7FFFFFFF 01 = FFFFFFFE 00 1F 06E 7FFFFFFF 7FFFFFFF 10 = 00000000 01 -1F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 10 +1F 06F 7FFFFFFF 7FFFFFFF 11 = FFFFFFFE 00 1F 070 80000000 7FFFFFFF 00 = 00000001 10 -1F 071 80000000 7FFFFFFF 01 = FFFFFFFF 00 +1F 071 80000000 7FFFFFFF 01 = FFFFFFFF 10 1F 072 80000000 7FFFFFFF 10 = 00000001 10 -1F 073 80000000 7FFFFFFF 11 = FFFFFFFF 00 +1F 073 80000000 7FFFFFFF 11 = FFFFFFFF 10 1F 074 80000001 7FFFFFFF 00 = 00000002 10 1F 075 80000001 7FFFFFFF 01 = 00000000 01 1F 076 80000001 7FFFFFFF 10 = 00000002 10 @@ -8090,26 +8090,26 @@ sumnz ---D---- ---S---- CZ = ---Q---- CZ 1F 079 FFFFFFFE 7FFFFFFF 01 = 7FFFFFFD 00 1F 07A FFFFFFFE 7FFFFFFF 10 = 7FFFFFFF 10 1F 07B FFFFFFFE 7FFFFFFF 11 = 7FFFFFFD 00 -1F 07C FFFFFFFF 7FFFFFFF 00 = 80000000 00 +1F 07C FFFFFFFF 7FFFFFFF 00 = 80000000 10 1F 07D FFFFFFFF 7FFFFFFF 01 = 7FFFFFFE 00 -1F 07E FFFFFFFF 7FFFFFFF 10 = 80000000 00 +1F 07E FFFFFFFF 7FFFFFFF 10 = 80000000 10 1F 07F FFFFFFFF 7FFFFFFF 11 = 7FFFFFFE 00 -1F 080 00000000 80000000 00 = 80000000 10 -1F 081 00000000 80000000 01 = 80000000 00 -1F 082 00000000 80000000 10 = 80000000 10 -1F 083 00000000 80000000 11 = 80000000 00 -1F 084 00000001 80000000 00 = 80000001 10 -1F 085 00000001 80000000 01 = 80000001 00 -1F 086 00000001 80000000 10 = 80000001 10 -1F 087 00000001 80000000 11 = 80000001 00 -1F 088 00000002 80000000 00 = 80000002 10 -1F 089 00000002 80000000 01 = 80000002 00 -1F 08A 00000002 80000000 10 = 80000002 10 -1F 08B 00000002 80000000 11 = 80000002 00 -1F 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 -1F 08D 7FFFFFFF 80000000 01 = FFFFFFFF 00 -1F 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 -1F 08F 7FFFFFFF 80000000 11 = FFFFFFFF 00 +1F 080 00000000 80000000 00 = 80000000 00 +1F 081 00000000 80000000 01 = 80000000 10 +1F 082 00000000 80000000 10 = 80000000 00 +1F 083 00000000 80000000 11 = 80000000 10 +1F 084 00000001 80000000 00 = 80000001 00 +1F 085 00000001 80000000 01 = 80000001 10 +1F 086 00000001 80000000 10 = 80000001 00 +1F 087 00000001 80000000 11 = 80000001 10 +1F 088 00000002 80000000 00 = 80000002 00 +1F 089 00000002 80000000 01 = 80000002 10 +1F 08A 00000002 80000000 10 = 80000002 00 +1F 08B 00000002 80000000 11 = 80000002 10 +1F 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 +1F 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 +1F 08E 7FFFFFFF 80000000 10 = FFFFFFFF 00 +1F 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 1F 090 80000000 80000000 00 = 00000000 01 1F 091 80000000 80000000 01 = 00000000 11 1F 092 80000000 80000000 10 = 00000000 01 @@ -8127,24 +8127,24 @@ sumnz ---D---- ---S---- CZ = ---Q---- CZ 1F 09E FFFFFFFF 80000000 10 = 7FFFFFFF 00 1F 09F FFFFFFFF 80000000 11 = 7FFFFFFF 10 1F 0A0 00000000 80000001 00 = 7FFFFFFF 00 -1F 0A1 00000000 80000001 01 = 80000001 00 +1F 0A1 00000000 80000001 01 = 80000001 10 1F 0A2 00000000 80000001 10 = 7FFFFFFF 00 -1F 0A3 00000000 80000001 11 = 80000001 00 -1F 0A4 00000001 80000001 00 = 80000000 10 -1F 0A5 00000001 80000001 01 = 80000002 00 -1F 0A6 00000001 80000001 10 = 80000000 10 -1F 0A7 00000001 80000001 11 = 80000002 00 -1F 0A8 00000002 80000001 00 = 80000001 10 -1F 0A9 00000002 80000001 01 = 80000003 00 -1F 0AA 00000002 80000001 10 = 80000001 10 -1F 0AB 00000002 80000001 11 = 80000003 00 -1F 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 10 +1F 0A3 00000000 80000001 11 = 80000001 10 +1F 0A4 00000001 80000001 00 = 80000000 00 +1F 0A5 00000001 80000001 01 = 80000002 10 +1F 0A6 00000001 80000001 10 = 80000000 00 +1F 0A7 00000001 80000001 11 = 80000002 10 +1F 0A8 00000002 80000001 00 = 80000001 00 +1F 0A9 00000002 80000001 01 = 80000003 10 +1F 0AA 00000002 80000001 10 = 80000001 00 +1F 0AB 00000002 80000001 11 = 80000003 10 +1F 0AC 7FFFFFFF 80000001 00 = FFFFFFFE 00 1F 0AD 7FFFFFFF 80000001 01 = 00000000 01 -1F 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 10 +1F 0AE 7FFFFFFF 80000001 10 = FFFFFFFE 00 1F 0AF 7FFFFFFF 80000001 11 = 00000000 01 -1F 0B0 80000000 80000001 00 = FFFFFFFF 00 +1F 0B0 80000000 80000001 00 = FFFFFFFF 10 1F 0B1 80000000 80000001 01 = 00000001 10 -1F 0B2 80000000 80000001 10 = FFFFFFFF 00 +1F 0B2 80000000 80000001 10 = FFFFFFFF 10 1F 0B3 80000000 80000001 11 = 00000001 10 1F 0B4 80000001 80000001 00 = 00000000 01 1F 0B5 80000001 80000001 01 = 00000002 10 @@ -8155,45 +8155,45 @@ sumnz ---D---- ---S---- CZ = ---Q---- CZ 1F 0BA FFFFFFFE 80000001 10 = 7FFFFFFD 00 1F 0BB FFFFFFFE 80000001 11 = 7FFFFFFF 10 1F 0BC FFFFFFFF 80000001 00 = 7FFFFFFE 00 -1F 0BD FFFFFFFF 80000001 01 = 80000000 00 +1F 0BD FFFFFFFF 80000001 01 = 80000000 10 1F 0BE FFFFFFFF 80000001 10 = 7FFFFFFE 00 -1F 0BF FFFFFFFF 80000001 11 = 80000000 00 +1F 0BF FFFFFFFF 80000001 11 = 80000000 10 1F 0C0 00000000 FFFFFFFE 00 = 00000002 00 -1F 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 00 +1F 0C1 00000000 FFFFFFFE 01 = FFFFFFFE 10 1F 0C2 00000000 FFFFFFFE 10 = 00000002 00 -1F 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 00 +1F 0C3 00000000 FFFFFFFE 11 = FFFFFFFE 10 1F 0C4 00000001 FFFFFFFE 00 = 00000003 00 -1F 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 00 +1F 0C5 00000001 FFFFFFFE 01 = FFFFFFFF 10 1F 0C6 00000001 FFFFFFFE 10 = 00000003 00 -1F 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 00 +1F 0C7 00000001 FFFFFFFE 11 = FFFFFFFF 10 1F 0C8 00000002 FFFFFFFE 00 = 00000004 00 1F 0C9 00000002 FFFFFFFE 01 = 00000000 01 1F 0CA 00000002 FFFFFFFE 10 = 00000004 00 1F 0CB 00000002 FFFFFFFE 11 = 00000000 01 -1F 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 10 +1F 0CC 7FFFFFFF FFFFFFFE 00 = 80000001 00 1F 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFD 00 -1F 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 10 +1F 0CE 7FFFFFFF FFFFFFFE 10 = 80000001 00 1F 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFD 00 -1F 0D0 80000000 FFFFFFFE 00 = 80000002 00 +1F 0D0 80000000 FFFFFFFE 00 = 80000002 10 1F 0D1 80000000 FFFFFFFE 01 = 7FFFFFFE 10 -1F 0D2 80000000 FFFFFFFE 10 = 80000002 00 +1F 0D2 80000000 FFFFFFFE 10 = 80000002 10 1F 0D3 80000000 FFFFFFFE 11 = 7FFFFFFE 10 -1F 0D4 80000001 FFFFFFFE 00 = 80000003 00 +1F 0D4 80000001 FFFFFFFE 00 = 80000003 10 1F 0D5 80000001 FFFFFFFE 01 = 7FFFFFFF 10 -1F 0D6 80000001 FFFFFFFE 10 = 80000003 00 +1F 0D6 80000001 FFFFFFFE 10 = 80000003 10 1F 0D7 80000001 FFFFFFFE 11 = 7FFFFFFF 10 1F 0D8 FFFFFFFE FFFFFFFE 00 = 00000000 01 -1F 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 00 +1F 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFC 10 1F 0DA FFFFFFFE FFFFFFFE 10 = 00000000 01 -1F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 00 +1F 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFC 10 1F 0DC FFFFFFFF FFFFFFFE 00 = 00000001 00 -1F 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 00 +1F 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFD 10 1F 0DE FFFFFFFF FFFFFFFE 10 = 00000001 00 -1F 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 00 +1F 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFD 10 1F 0E0 00000000 FFFFFFFF 00 = 00000001 00 -1F 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 00 +1F 0E1 00000000 FFFFFFFF 01 = FFFFFFFF 10 1F 0E2 00000000 FFFFFFFF 10 = 00000001 00 -1F 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 00 +1F 0E3 00000000 FFFFFFFF 11 = FFFFFFFF 10 1F 0E4 00000001 FFFFFFFF 00 = 00000002 00 1F 0E5 00000001 FFFFFFFF 01 = 00000000 01 1F 0E6 00000001 FFFFFFFF 10 = 00000002 00 @@ -8202,26 +8202,26 @@ sumnz ---D---- ---S---- CZ = ---Q---- CZ 1F 0E9 00000002 FFFFFFFF 01 = 00000001 00 1F 0EA 00000002 FFFFFFFF 10 = 00000003 00 1F 0EB 00000002 FFFFFFFF 11 = 00000001 00 -1F 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 10 +1F 0EC 7FFFFFFF FFFFFFFF 00 = 80000000 00 1F 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFE 00 -1F 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 10 +1F 0EE 7FFFFFFF FFFFFFFF 10 = 80000000 00 1F 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFE 00 -1F 0F0 80000000 FFFFFFFF 00 = 80000001 00 +1F 0F0 80000000 FFFFFFFF 00 = 80000001 10 1F 0F1 80000000 FFFFFFFF 01 = 7FFFFFFF 10 -1F 0F2 80000000 FFFFFFFF 10 = 80000001 00 +1F 0F2 80000000 FFFFFFFF 10 = 80000001 10 1F 0F3 80000000 FFFFFFFF 11 = 7FFFFFFF 10 -1F 0F4 80000001 FFFFFFFF 00 = 80000002 00 -1F 0F5 80000001 FFFFFFFF 01 = 80000000 00 -1F 0F6 80000001 FFFFFFFF 10 = 80000002 00 -1F 0F7 80000001 FFFFFFFF 11 = 80000000 00 -1F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 00 -1F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 00 -1F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 00 -1F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 00 +1F 0F4 80000001 FFFFFFFF 00 = 80000002 10 +1F 0F5 80000001 FFFFFFFF 01 = 80000000 10 +1F 0F6 80000001 FFFFFFFF 10 = 80000002 10 +1F 0F7 80000001 FFFFFFFF 11 = 80000000 10 +1F 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFF 10 +1F 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFD 10 +1F 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFF 10 +1F 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFD 10 1F 0FC FFFFFFFF FFFFFFFF 00 = 00000000 01 -1F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 00 +1F 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFE 10 1F 0FE FFFFFFFF FFFFFFFF 10 = 00000000 01 -1F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 +1F 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 10 bitl ---D---- ---S---- CZ = ---Q---- CZ 20 000 00000000 00000000 00 = 00000000 00 20 001 00000000 00000000 01 = 00000000 00 @@ -14648,262 +14648,262 @@ decmod ---D---- ---S---- CZ = ---Q---- CZ 38 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFE 00 38 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFE 00 encod ---D---- ---S---- CZ = ---Q---- CZ -39 000 00000000 00000000 00 = 00000000 11 -39 001 00000000 00000000 01 = 00000000 11 -39 002 00000000 00000000 10 = 00000000 11 -39 003 00000000 00000000 11 = 00000000 11 -39 004 00000001 00000000 00 = 00000000 11 -39 005 00000001 00000000 01 = 00000000 11 -39 006 00000001 00000000 10 = 00000000 11 -39 007 00000001 00000000 11 = 00000000 11 -39 008 00000002 00000000 00 = 00000000 11 -39 009 00000002 00000000 01 = 00000000 11 -39 00A 00000002 00000000 10 = 00000000 11 -39 00B 00000002 00000000 11 = 00000000 11 -39 00C 7FFFFFFF 00000000 00 = 00000000 11 -39 00D 7FFFFFFF 00000000 01 = 00000000 11 -39 00E 7FFFFFFF 00000000 10 = 00000000 11 -39 00F 7FFFFFFF 00000000 11 = 00000000 11 -39 010 80000000 00000000 00 = 00000000 11 -39 011 80000000 00000000 01 = 00000000 11 -39 012 80000000 00000000 10 = 00000000 11 -39 013 80000000 00000000 11 = 00000000 11 -39 014 80000001 00000000 00 = 00000000 11 -39 015 80000001 00000000 01 = 00000000 11 -39 016 80000001 00000000 10 = 00000000 11 -39 017 80000001 00000000 11 = 00000000 11 -39 018 FFFFFFFE 00000000 00 = 00000000 11 -39 019 FFFFFFFE 00000000 01 = 00000000 11 -39 01A FFFFFFFE 00000000 10 = 00000000 11 -39 01B FFFFFFFE 00000000 11 = 00000000 11 -39 01C FFFFFFFF 00000000 00 = 00000000 11 -39 01D FFFFFFFF 00000000 01 = 00000000 11 -39 01E FFFFFFFF 00000000 10 = 00000000 11 -39 01F FFFFFFFF 00000000 11 = 00000000 11 -39 020 00000000 00000001 00 = 00000000 01 -39 021 00000000 00000001 01 = 00000000 01 -39 022 00000000 00000001 10 = 00000000 01 -39 023 00000000 00000001 11 = 00000000 01 -39 024 00000001 00000001 00 = 00000000 01 -39 025 00000001 00000001 01 = 00000000 01 -39 026 00000001 00000001 10 = 00000000 01 -39 027 00000001 00000001 11 = 00000000 01 -39 028 00000002 00000001 00 = 00000000 01 -39 029 00000002 00000001 01 = 00000000 01 -39 02A 00000002 00000001 10 = 00000000 01 -39 02B 00000002 00000001 11 = 00000000 01 -39 02C 7FFFFFFF 00000001 00 = 00000000 01 -39 02D 7FFFFFFF 00000001 01 = 00000000 01 -39 02E 7FFFFFFF 00000001 10 = 00000000 01 -39 02F 7FFFFFFF 00000001 11 = 00000000 01 -39 030 80000000 00000001 00 = 00000000 01 -39 031 80000000 00000001 01 = 00000000 01 -39 032 80000000 00000001 10 = 00000000 01 -39 033 80000000 00000001 11 = 00000000 01 -39 034 80000001 00000001 00 = 00000000 01 -39 035 80000001 00000001 01 = 00000000 01 -39 036 80000001 00000001 10 = 00000000 01 -39 037 80000001 00000001 11 = 00000000 01 -39 038 FFFFFFFE 00000001 00 = 00000000 01 -39 039 FFFFFFFE 00000001 01 = 00000000 01 -39 03A FFFFFFFE 00000001 10 = 00000000 01 -39 03B FFFFFFFE 00000001 11 = 00000000 01 -39 03C FFFFFFFF 00000001 00 = 00000000 01 -39 03D FFFFFFFF 00000001 01 = 00000000 01 -39 03E FFFFFFFF 00000001 10 = 00000000 01 -39 03F FFFFFFFF 00000001 11 = 00000000 01 -39 040 00000000 00000002 00 = 00000001 00 -39 041 00000000 00000002 01 = 00000001 00 -39 042 00000000 00000002 10 = 00000001 00 -39 043 00000000 00000002 11 = 00000001 00 -39 044 00000001 00000002 00 = 00000001 00 -39 045 00000001 00000002 01 = 00000001 00 -39 046 00000001 00000002 10 = 00000001 00 -39 047 00000001 00000002 11 = 00000001 00 -39 048 00000002 00000002 00 = 00000001 00 -39 049 00000002 00000002 01 = 00000001 00 -39 04A 00000002 00000002 10 = 00000001 00 -39 04B 00000002 00000002 11 = 00000001 00 -39 04C 7FFFFFFF 00000002 00 = 00000001 00 -39 04D 7FFFFFFF 00000002 01 = 00000001 00 -39 04E 7FFFFFFF 00000002 10 = 00000001 00 -39 04F 7FFFFFFF 00000002 11 = 00000001 00 -39 050 80000000 00000002 00 = 00000001 00 -39 051 80000000 00000002 01 = 00000001 00 -39 052 80000000 00000002 10 = 00000001 00 -39 053 80000000 00000002 11 = 00000001 00 -39 054 80000001 00000002 00 = 00000001 00 -39 055 80000001 00000002 01 = 00000001 00 -39 056 80000001 00000002 10 = 00000001 00 -39 057 80000001 00000002 11 = 00000001 00 -39 058 FFFFFFFE 00000002 00 = 00000001 00 -39 059 FFFFFFFE 00000002 01 = 00000001 00 -39 05A FFFFFFFE 00000002 10 = 00000001 00 -39 05B FFFFFFFE 00000002 11 = 00000001 00 -39 05C FFFFFFFF 00000002 00 = 00000001 00 -39 05D FFFFFFFF 00000002 01 = 00000001 00 -39 05E FFFFFFFF 00000002 10 = 00000001 00 -39 05F FFFFFFFF 00000002 11 = 00000001 00 -39 060 00000000 7FFFFFFF 00 = 0000001E 00 -39 061 00000000 7FFFFFFF 01 = 0000001E 00 -39 062 00000000 7FFFFFFF 10 = 0000001E 00 -39 063 00000000 7FFFFFFF 11 = 0000001E 00 -39 064 00000001 7FFFFFFF 00 = 0000001E 00 -39 065 00000001 7FFFFFFF 01 = 0000001E 00 -39 066 00000001 7FFFFFFF 10 = 0000001E 00 -39 067 00000001 7FFFFFFF 11 = 0000001E 00 -39 068 00000002 7FFFFFFF 00 = 0000001E 00 -39 069 00000002 7FFFFFFF 01 = 0000001E 00 -39 06A 00000002 7FFFFFFF 10 = 0000001E 00 -39 06B 00000002 7FFFFFFF 11 = 0000001E 00 -39 06C 7FFFFFFF 7FFFFFFF 00 = 0000001E 00 -39 06D 7FFFFFFF 7FFFFFFF 01 = 0000001E 00 -39 06E 7FFFFFFF 7FFFFFFF 10 = 0000001E 00 -39 06F 7FFFFFFF 7FFFFFFF 11 = 0000001E 00 -39 070 80000000 7FFFFFFF 00 = 0000001E 00 -39 071 80000000 7FFFFFFF 01 = 0000001E 00 -39 072 80000000 7FFFFFFF 10 = 0000001E 00 -39 073 80000000 7FFFFFFF 11 = 0000001E 00 -39 074 80000001 7FFFFFFF 00 = 0000001E 00 -39 075 80000001 7FFFFFFF 01 = 0000001E 00 -39 076 80000001 7FFFFFFF 10 = 0000001E 00 -39 077 80000001 7FFFFFFF 11 = 0000001E 00 -39 078 FFFFFFFE 7FFFFFFF 00 = 0000001E 00 -39 079 FFFFFFFE 7FFFFFFF 01 = 0000001E 00 -39 07A FFFFFFFE 7FFFFFFF 10 = 0000001E 00 -39 07B FFFFFFFE 7FFFFFFF 11 = 0000001E 00 -39 07C FFFFFFFF 7FFFFFFF 00 = 0000001E 00 -39 07D FFFFFFFF 7FFFFFFF 01 = 0000001E 00 -39 07E FFFFFFFF 7FFFFFFF 10 = 0000001E 00 -39 07F FFFFFFFF 7FFFFFFF 11 = 0000001E 00 -39 080 00000000 80000000 00 = 0000001F 00 -39 081 00000000 80000000 01 = 0000001F 00 -39 082 00000000 80000000 10 = 0000001F 00 -39 083 00000000 80000000 11 = 0000001F 00 -39 084 00000001 80000000 00 = 0000001F 00 -39 085 00000001 80000000 01 = 0000001F 00 -39 086 00000001 80000000 10 = 0000001F 00 -39 087 00000001 80000000 11 = 0000001F 00 -39 088 00000002 80000000 00 = 0000001F 00 -39 089 00000002 80000000 01 = 0000001F 00 -39 08A 00000002 80000000 10 = 0000001F 00 -39 08B 00000002 80000000 11 = 0000001F 00 -39 08C 7FFFFFFF 80000000 00 = 0000001F 00 -39 08D 7FFFFFFF 80000000 01 = 0000001F 00 -39 08E 7FFFFFFF 80000000 10 = 0000001F 00 -39 08F 7FFFFFFF 80000000 11 = 0000001F 00 -39 090 80000000 80000000 00 = 0000001F 00 -39 091 80000000 80000000 01 = 0000001F 00 -39 092 80000000 80000000 10 = 0000001F 00 -39 093 80000000 80000000 11 = 0000001F 00 -39 094 80000001 80000000 00 = 0000001F 00 -39 095 80000001 80000000 01 = 0000001F 00 -39 096 80000001 80000000 10 = 0000001F 00 -39 097 80000001 80000000 11 = 0000001F 00 -39 098 FFFFFFFE 80000000 00 = 0000001F 00 -39 099 FFFFFFFE 80000000 01 = 0000001F 00 -39 09A FFFFFFFE 80000000 10 = 0000001F 00 -39 09B FFFFFFFE 80000000 11 = 0000001F 00 -39 09C FFFFFFFF 80000000 00 = 0000001F 00 -39 09D FFFFFFFF 80000000 01 = 0000001F 00 -39 09E FFFFFFFF 80000000 10 = 0000001F 00 -39 09F FFFFFFFF 80000000 11 = 0000001F 00 -39 0A0 00000000 80000001 00 = 0000001F 00 -39 0A1 00000000 80000001 01 = 0000001F 00 -39 0A2 00000000 80000001 10 = 0000001F 00 -39 0A3 00000000 80000001 11 = 0000001F 00 -39 0A4 00000001 80000001 00 = 0000001F 00 -39 0A5 00000001 80000001 01 = 0000001F 00 -39 0A6 00000001 80000001 10 = 0000001F 00 -39 0A7 00000001 80000001 11 = 0000001F 00 -39 0A8 00000002 80000001 00 = 0000001F 00 -39 0A9 00000002 80000001 01 = 0000001F 00 -39 0AA 00000002 80000001 10 = 0000001F 00 -39 0AB 00000002 80000001 11 = 0000001F 00 -39 0AC 7FFFFFFF 80000001 00 = 0000001F 00 -39 0AD 7FFFFFFF 80000001 01 = 0000001F 00 -39 0AE 7FFFFFFF 80000001 10 = 0000001F 00 -39 0AF 7FFFFFFF 80000001 11 = 0000001F 00 -39 0B0 80000000 80000001 00 = 0000001F 00 -39 0B1 80000000 80000001 01 = 0000001F 00 -39 0B2 80000000 80000001 10 = 0000001F 00 -39 0B3 80000000 80000001 11 = 0000001F 00 -39 0B4 80000001 80000001 00 = 0000001F 00 -39 0B5 80000001 80000001 01 = 0000001F 00 -39 0B6 80000001 80000001 10 = 0000001F 00 -39 0B7 80000001 80000001 11 = 0000001F 00 -39 0B8 FFFFFFFE 80000001 00 = 0000001F 00 -39 0B9 FFFFFFFE 80000001 01 = 0000001F 00 -39 0BA FFFFFFFE 80000001 10 = 0000001F 00 -39 0BB FFFFFFFE 80000001 11 = 0000001F 00 -39 0BC FFFFFFFF 80000001 00 = 0000001F 00 -39 0BD FFFFFFFF 80000001 01 = 0000001F 00 -39 0BE FFFFFFFF 80000001 10 = 0000001F 00 -39 0BF FFFFFFFF 80000001 11 = 0000001F 00 -39 0C0 00000000 FFFFFFFE 00 = 0000001F 00 -39 0C1 00000000 FFFFFFFE 01 = 0000001F 00 -39 0C2 00000000 FFFFFFFE 10 = 0000001F 00 -39 0C3 00000000 FFFFFFFE 11 = 0000001F 00 -39 0C4 00000001 FFFFFFFE 00 = 0000001F 00 -39 0C5 00000001 FFFFFFFE 01 = 0000001F 00 -39 0C6 00000001 FFFFFFFE 10 = 0000001F 00 -39 0C7 00000001 FFFFFFFE 11 = 0000001F 00 -39 0C8 00000002 FFFFFFFE 00 = 0000001F 00 -39 0C9 00000002 FFFFFFFE 01 = 0000001F 00 -39 0CA 00000002 FFFFFFFE 10 = 0000001F 00 -39 0CB 00000002 FFFFFFFE 11 = 0000001F 00 -39 0CC 7FFFFFFF FFFFFFFE 00 = 0000001F 00 -39 0CD 7FFFFFFF FFFFFFFE 01 = 0000001F 00 -39 0CE 7FFFFFFF FFFFFFFE 10 = 0000001F 00 -39 0CF 7FFFFFFF FFFFFFFE 11 = 0000001F 00 -39 0D0 80000000 FFFFFFFE 00 = 0000001F 00 -39 0D1 80000000 FFFFFFFE 01 = 0000001F 00 -39 0D2 80000000 FFFFFFFE 10 = 0000001F 00 -39 0D3 80000000 FFFFFFFE 11 = 0000001F 00 -39 0D4 80000001 FFFFFFFE 00 = 0000001F 00 -39 0D5 80000001 FFFFFFFE 01 = 0000001F 00 -39 0D6 80000001 FFFFFFFE 10 = 0000001F 00 -39 0D7 80000001 FFFFFFFE 11 = 0000001F 00 -39 0D8 FFFFFFFE FFFFFFFE 00 = 0000001F 00 -39 0D9 FFFFFFFE FFFFFFFE 01 = 0000001F 00 -39 0DA FFFFFFFE FFFFFFFE 10 = 0000001F 00 -39 0DB FFFFFFFE FFFFFFFE 11 = 0000001F 00 -39 0DC FFFFFFFF FFFFFFFE 00 = 0000001F 00 -39 0DD FFFFFFFF FFFFFFFE 01 = 0000001F 00 -39 0DE FFFFFFFF FFFFFFFE 10 = 0000001F 00 -39 0DF FFFFFFFF FFFFFFFE 11 = 0000001F 00 -39 0E0 00000000 FFFFFFFF 00 = 0000001F 00 -39 0E1 00000000 FFFFFFFF 01 = 0000001F 00 -39 0E2 00000000 FFFFFFFF 10 = 0000001F 00 -39 0E3 00000000 FFFFFFFF 11 = 0000001F 00 -39 0E4 00000001 FFFFFFFF 00 = 0000001F 00 -39 0E5 00000001 FFFFFFFF 01 = 0000001F 00 -39 0E6 00000001 FFFFFFFF 10 = 0000001F 00 -39 0E7 00000001 FFFFFFFF 11 = 0000001F 00 -39 0E8 00000002 FFFFFFFF 00 = 0000001F 00 -39 0E9 00000002 FFFFFFFF 01 = 0000001F 00 -39 0EA 00000002 FFFFFFFF 10 = 0000001F 00 -39 0EB 00000002 FFFFFFFF 11 = 0000001F 00 -39 0EC 7FFFFFFF FFFFFFFF 00 = 0000001F 00 -39 0ED 7FFFFFFF FFFFFFFF 01 = 0000001F 00 -39 0EE 7FFFFFFF FFFFFFFF 10 = 0000001F 00 -39 0EF 7FFFFFFF FFFFFFFF 11 = 0000001F 00 -39 0F0 80000000 FFFFFFFF 00 = 0000001F 00 -39 0F1 80000000 FFFFFFFF 01 = 0000001F 00 -39 0F2 80000000 FFFFFFFF 10 = 0000001F 00 -39 0F3 80000000 FFFFFFFF 11 = 0000001F 00 -39 0F4 80000001 FFFFFFFF 00 = 0000001F 00 -39 0F5 80000001 FFFFFFFF 01 = 0000001F 00 -39 0F6 80000001 FFFFFFFF 10 = 0000001F 00 -39 0F7 80000001 FFFFFFFF 11 = 0000001F 00 -39 0F8 FFFFFFFE FFFFFFFF 00 = 0000001F 00 -39 0F9 FFFFFFFE FFFFFFFF 01 = 0000001F 00 -39 0FA FFFFFFFE FFFFFFFF 10 = 0000001F 00 -39 0FB FFFFFFFE FFFFFFFF 11 = 0000001F 00 -39 0FC FFFFFFFF FFFFFFFF 00 = 0000001F 00 -39 0FD FFFFFFFF FFFFFFFF 01 = 0000001F 00 -39 0FE FFFFFFFF FFFFFFFF 10 = 0000001F 00 -39 0FF FFFFFFFF FFFFFFFF 11 = 0000001F 00 +39 000 00000000 00000000 00 = 00000000 01 +39 001 00000000 00000000 01 = 00000000 01 +39 002 00000000 00000000 10 = 00000000 01 +39 003 00000000 00000000 11 = 00000000 01 +39 004 00000001 00000000 00 = 00000000 01 +39 005 00000001 00000000 01 = 00000000 01 +39 006 00000001 00000000 10 = 00000000 01 +39 007 00000001 00000000 11 = 00000000 01 +39 008 00000002 00000000 00 = 00000000 01 +39 009 00000002 00000000 01 = 00000000 01 +39 00A 00000002 00000000 10 = 00000000 01 +39 00B 00000002 00000000 11 = 00000000 01 +39 00C 7FFFFFFF 00000000 00 = 00000000 01 +39 00D 7FFFFFFF 00000000 01 = 00000000 01 +39 00E 7FFFFFFF 00000000 10 = 00000000 01 +39 00F 7FFFFFFF 00000000 11 = 00000000 01 +39 010 80000000 00000000 00 = 00000000 01 +39 011 80000000 00000000 01 = 00000000 01 +39 012 80000000 00000000 10 = 00000000 01 +39 013 80000000 00000000 11 = 00000000 01 +39 014 80000001 00000000 00 = 00000000 01 +39 015 80000001 00000000 01 = 00000000 01 +39 016 80000001 00000000 10 = 00000000 01 +39 017 80000001 00000000 11 = 00000000 01 +39 018 FFFFFFFE 00000000 00 = 00000000 01 +39 019 FFFFFFFE 00000000 01 = 00000000 01 +39 01A FFFFFFFE 00000000 10 = 00000000 01 +39 01B FFFFFFFE 00000000 11 = 00000000 01 +39 01C FFFFFFFF 00000000 00 = 00000000 01 +39 01D FFFFFFFF 00000000 01 = 00000000 01 +39 01E FFFFFFFF 00000000 10 = 00000000 01 +39 01F FFFFFFFF 00000000 11 = 00000000 01 +39 020 00000000 00000001 00 = 00000000 11 +39 021 00000000 00000001 01 = 00000000 11 +39 022 00000000 00000001 10 = 00000000 11 +39 023 00000000 00000001 11 = 00000000 11 +39 024 00000001 00000001 00 = 00000000 11 +39 025 00000001 00000001 01 = 00000000 11 +39 026 00000001 00000001 10 = 00000000 11 +39 027 00000001 00000001 11 = 00000000 11 +39 028 00000002 00000001 00 = 00000000 11 +39 029 00000002 00000001 01 = 00000000 11 +39 02A 00000002 00000001 10 = 00000000 11 +39 02B 00000002 00000001 11 = 00000000 11 +39 02C 7FFFFFFF 00000001 00 = 00000000 11 +39 02D 7FFFFFFF 00000001 01 = 00000000 11 +39 02E 7FFFFFFF 00000001 10 = 00000000 11 +39 02F 7FFFFFFF 00000001 11 = 00000000 11 +39 030 80000000 00000001 00 = 00000000 11 +39 031 80000000 00000001 01 = 00000000 11 +39 032 80000000 00000001 10 = 00000000 11 +39 033 80000000 00000001 11 = 00000000 11 +39 034 80000001 00000001 00 = 00000000 11 +39 035 80000001 00000001 01 = 00000000 11 +39 036 80000001 00000001 10 = 00000000 11 +39 037 80000001 00000001 11 = 00000000 11 +39 038 FFFFFFFE 00000001 00 = 00000000 11 +39 039 FFFFFFFE 00000001 01 = 00000000 11 +39 03A FFFFFFFE 00000001 10 = 00000000 11 +39 03B FFFFFFFE 00000001 11 = 00000000 11 +39 03C FFFFFFFF 00000001 00 = 00000000 11 +39 03D FFFFFFFF 00000001 01 = 00000000 11 +39 03E FFFFFFFF 00000001 10 = 00000000 11 +39 03F FFFFFFFF 00000001 11 = 00000000 11 +39 040 00000000 00000002 00 = 00000001 10 +39 041 00000000 00000002 01 = 00000001 10 +39 042 00000000 00000002 10 = 00000001 10 +39 043 00000000 00000002 11 = 00000001 10 +39 044 00000001 00000002 00 = 00000001 10 +39 045 00000001 00000002 01 = 00000001 10 +39 046 00000001 00000002 10 = 00000001 10 +39 047 00000001 00000002 11 = 00000001 10 +39 048 00000002 00000002 00 = 00000001 10 +39 049 00000002 00000002 01 = 00000001 10 +39 04A 00000002 00000002 10 = 00000001 10 +39 04B 00000002 00000002 11 = 00000001 10 +39 04C 7FFFFFFF 00000002 00 = 00000001 10 +39 04D 7FFFFFFF 00000002 01 = 00000001 10 +39 04E 7FFFFFFF 00000002 10 = 00000001 10 +39 04F 7FFFFFFF 00000002 11 = 00000001 10 +39 050 80000000 00000002 00 = 00000001 10 +39 051 80000000 00000002 01 = 00000001 10 +39 052 80000000 00000002 10 = 00000001 10 +39 053 80000000 00000002 11 = 00000001 10 +39 054 80000001 00000002 00 = 00000001 10 +39 055 80000001 00000002 01 = 00000001 10 +39 056 80000001 00000002 10 = 00000001 10 +39 057 80000001 00000002 11 = 00000001 10 +39 058 FFFFFFFE 00000002 00 = 00000001 10 +39 059 FFFFFFFE 00000002 01 = 00000001 10 +39 05A FFFFFFFE 00000002 10 = 00000001 10 +39 05B FFFFFFFE 00000002 11 = 00000001 10 +39 05C FFFFFFFF 00000002 00 = 00000001 10 +39 05D FFFFFFFF 00000002 01 = 00000001 10 +39 05E FFFFFFFF 00000002 10 = 00000001 10 +39 05F FFFFFFFF 00000002 11 = 00000001 10 +39 060 00000000 7FFFFFFF 00 = 0000001E 10 +39 061 00000000 7FFFFFFF 01 = 0000001E 10 +39 062 00000000 7FFFFFFF 10 = 0000001E 10 +39 063 00000000 7FFFFFFF 11 = 0000001E 10 +39 064 00000001 7FFFFFFF 00 = 0000001E 10 +39 065 00000001 7FFFFFFF 01 = 0000001E 10 +39 066 00000001 7FFFFFFF 10 = 0000001E 10 +39 067 00000001 7FFFFFFF 11 = 0000001E 10 +39 068 00000002 7FFFFFFF 00 = 0000001E 10 +39 069 00000002 7FFFFFFF 01 = 0000001E 10 +39 06A 00000002 7FFFFFFF 10 = 0000001E 10 +39 06B 00000002 7FFFFFFF 11 = 0000001E 10 +39 06C 7FFFFFFF 7FFFFFFF 00 = 0000001E 10 +39 06D 7FFFFFFF 7FFFFFFF 01 = 0000001E 10 +39 06E 7FFFFFFF 7FFFFFFF 10 = 0000001E 10 +39 06F 7FFFFFFF 7FFFFFFF 11 = 0000001E 10 +39 070 80000000 7FFFFFFF 00 = 0000001E 10 +39 071 80000000 7FFFFFFF 01 = 0000001E 10 +39 072 80000000 7FFFFFFF 10 = 0000001E 10 +39 073 80000000 7FFFFFFF 11 = 0000001E 10 +39 074 80000001 7FFFFFFF 00 = 0000001E 10 +39 075 80000001 7FFFFFFF 01 = 0000001E 10 +39 076 80000001 7FFFFFFF 10 = 0000001E 10 +39 077 80000001 7FFFFFFF 11 = 0000001E 10 +39 078 FFFFFFFE 7FFFFFFF 00 = 0000001E 10 +39 079 FFFFFFFE 7FFFFFFF 01 = 0000001E 10 +39 07A FFFFFFFE 7FFFFFFF 10 = 0000001E 10 +39 07B FFFFFFFE 7FFFFFFF 11 = 0000001E 10 +39 07C FFFFFFFF 7FFFFFFF 00 = 0000001E 10 +39 07D FFFFFFFF 7FFFFFFF 01 = 0000001E 10 +39 07E FFFFFFFF 7FFFFFFF 10 = 0000001E 10 +39 07F FFFFFFFF 7FFFFFFF 11 = 0000001E 10 +39 080 00000000 80000000 00 = 0000001F 10 +39 081 00000000 80000000 01 = 0000001F 10 +39 082 00000000 80000000 10 = 0000001F 10 +39 083 00000000 80000000 11 = 0000001F 10 +39 084 00000001 80000000 00 = 0000001F 10 +39 085 00000001 80000000 01 = 0000001F 10 +39 086 00000001 80000000 10 = 0000001F 10 +39 087 00000001 80000000 11 = 0000001F 10 +39 088 00000002 80000000 00 = 0000001F 10 +39 089 00000002 80000000 01 = 0000001F 10 +39 08A 00000002 80000000 10 = 0000001F 10 +39 08B 00000002 80000000 11 = 0000001F 10 +39 08C 7FFFFFFF 80000000 00 = 0000001F 10 +39 08D 7FFFFFFF 80000000 01 = 0000001F 10 +39 08E 7FFFFFFF 80000000 10 = 0000001F 10 +39 08F 7FFFFFFF 80000000 11 = 0000001F 10 +39 090 80000000 80000000 00 = 0000001F 10 +39 091 80000000 80000000 01 = 0000001F 10 +39 092 80000000 80000000 10 = 0000001F 10 +39 093 80000000 80000000 11 = 0000001F 10 +39 094 80000001 80000000 00 = 0000001F 10 +39 095 80000001 80000000 01 = 0000001F 10 +39 096 80000001 80000000 10 = 0000001F 10 +39 097 80000001 80000000 11 = 0000001F 10 +39 098 FFFFFFFE 80000000 00 = 0000001F 10 +39 099 FFFFFFFE 80000000 01 = 0000001F 10 +39 09A FFFFFFFE 80000000 10 = 0000001F 10 +39 09B FFFFFFFE 80000000 11 = 0000001F 10 +39 09C FFFFFFFF 80000000 00 = 0000001F 10 +39 09D FFFFFFFF 80000000 01 = 0000001F 10 +39 09E FFFFFFFF 80000000 10 = 0000001F 10 +39 09F FFFFFFFF 80000000 11 = 0000001F 10 +39 0A0 00000000 80000001 00 = 0000001F 10 +39 0A1 00000000 80000001 01 = 0000001F 10 +39 0A2 00000000 80000001 10 = 0000001F 10 +39 0A3 00000000 80000001 11 = 0000001F 10 +39 0A4 00000001 80000001 00 = 0000001F 10 +39 0A5 00000001 80000001 01 = 0000001F 10 +39 0A6 00000001 80000001 10 = 0000001F 10 +39 0A7 00000001 80000001 11 = 0000001F 10 +39 0A8 00000002 80000001 00 = 0000001F 10 +39 0A9 00000002 80000001 01 = 0000001F 10 +39 0AA 00000002 80000001 10 = 0000001F 10 +39 0AB 00000002 80000001 11 = 0000001F 10 +39 0AC 7FFFFFFF 80000001 00 = 0000001F 10 +39 0AD 7FFFFFFF 80000001 01 = 0000001F 10 +39 0AE 7FFFFFFF 80000001 10 = 0000001F 10 +39 0AF 7FFFFFFF 80000001 11 = 0000001F 10 +39 0B0 80000000 80000001 00 = 0000001F 10 +39 0B1 80000000 80000001 01 = 0000001F 10 +39 0B2 80000000 80000001 10 = 0000001F 10 +39 0B3 80000000 80000001 11 = 0000001F 10 +39 0B4 80000001 80000001 00 = 0000001F 10 +39 0B5 80000001 80000001 01 = 0000001F 10 +39 0B6 80000001 80000001 10 = 0000001F 10 +39 0B7 80000001 80000001 11 = 0000001F 10 +39 0B8 FFFFFFFE 80000001 00 = 0000001F 10 +39 0B9 FFFFFFFE 80000001 01 = 0000001F 10 +39 0BA FFFFFFFE 80000001 10 = 0000001F 10 +39 0BB FFFFFFFE 80000001 11 = 0000001F 10 +39 0BC FFFFFFFF 80000001 00 = 0000001F 10 +39 0BD FFFFFFFF 80000001 01 = 0000001F 10 +39 0BE FFFFFFFF 80000001 10 = 0000001F 10 +39 0BF FFFFFFFF 80000001 11 = 0000001F 10 +39 0C0 00000000 FFFFFFFE 00 = 0000001F 10 +39 0C1 00000000 FFFFFFFE 01 = 0000001F 10 +39 0C2 00000000 FFFFFFFE 10 = 0000001F 10 +39 0C3 00000000 FFFFFFFE 11 = 0000001F 10 +39 0C4 00000001 FFFFFFFE 00 = 0000001F 10 +39 0C5 00000001 FFFFFFFE 01 = 0000001F 10 +39 0C6 00000001 FFFFFFFE 10 = 0000001F 10 +39 0C7 00000001 FFFFFFFE 11 = 0000001F 10 +39 0C8 00000002 FFFFFFFE 00 = 0000001F 10 +39 0C9 00000002 FFFFFFFE 01 = 0000001F 10 +39 0CA 00000002 FFFFFFFE 10 = 0000001F 10 +39 0CB 00000002 FFFFFFFE 11 = 0000001F 10 +39 0CC 7FFFFFFF FFFFFFFE 00 = 0000001F 10 +39 0CD 7FFFFFFF FFFFFFFE 01 = 0000001F 10 +39 0CE 7FFFFFFF FFFFFFFE 10 = 0000001F 10 +39 0CF 7FFFFFFF FFFFFFFE 11 = 0000001F 10 +39 0D0 80000000 FFFFFFFE 00 = 0000001F 10 +39 0D1 80000000 FFFFFFFE 01 = 0000001F 10 +39 0D2 80000000 FFFFFFFE 10 = 0000001F 10 +39 0D3 80000000 FFFFFFFE 11 = 0000001F 10 +39 0D4 80000001 FFFFFFFE 00 = 0000001F 10 +39 0D5 80000001 FFFFFFFE 01 = 0000001F 10 +39 0D6 80000001 FFFFFFFE 10 = 0000001F 10 +39 0D7 80000001 FFFFFFFE 11 = 0000001F 10 +39 0D8 FFFFFFFE FFFFFFFE 00 = 0000001F 10 +39 0D9 FFFFFFFE FFFFFFFE 01 = 0000001F 10 +39 0DA FFFFFFFE FFFFFFFE 10 = 0000001F 10 +39 0DB FFFFFFFE FFFFFFFE 11 = 0000001F 10 +39 0DC FFFFFFFF FFFFFFFE 00 = 0000001F 10 +39 0DD FFFFFFFF FFFFFFFE 01 = 0000001F 10 +39 0DE FFFFFFFF FFFFFFFE 10 = 0000001F 10 +39 0DF FFFFFFFF FFFFFFFE 11 = 0000001F 10 +39 0E0 00000000 FFFFFFFF 00 = 0000001F 10 +39 0E1 00000000 FFFFFFFF 01 = 0000001F 10 +39 0E2 00000000 FFFFFFFF 10 = 0000001F 10 +39 0E3 00000000 FFFFFFFF 11 = 0000001F 10 +39 0E4 00000001 FFFFFFFF 00 = 0000001F 10 +39 0E5 00000001 FFFFFFFF 01 = 0000001F 10 +39 0E6 00000001 FFFFFFFF 10 = 0000001F 10 +39 0E7 00000001 FFFFFFFF 11 = 0000001F 10 +39 0E8 00000002 FFFFFFFF 00 = 0000001F 10 +39 0E9 00000002 FFFFFFFF 01 = 0000001F 10 +39 0EA 00000002 FFFFFFFF 10 = 0000001F 10 +39 0EB 00000002 FFFFFFFF 11 = 0000001F 10 +39 0EC 7FFFFFFF FFFFFFFF 00 = 0000001F 10 +39 0ED 7FFFFFFF FFFFFFFF 01 = 0000001F 10 +39 0EE 7FFFFFFF FFFFFFFF 10 = 0000001F 10 +39 0EF 7FFFFFFF FFFFFFFF 11 = 0000001F 10 +39 0F0 80000000 FFFFFFFF 00 = 0000001F 10 +39 0F1 80000000 FFFFFFFF 01 = 0000001F 10 +39 0F2 80000000 FFFFFFFF 10 = 0000001F 10 +39 0F3 80000000 FFFFFFFF 11 = 0000001F 10 +39 0F4 80000001 FFFFFFFF 00 = 0000001F 10 +39 0F5 80000001 FFFFFFFF 01 = 0000001F 10 +39 0F6 80000001 FFFFFFFF 10 = 0000001F 10 +39 0F7 80000001 FFFFFFFF 11 = 0000001F 10 +39 0F8 FFFFFFFE FFFFFFFF 00 = 0000001F 10 +39 0F9 FFFFFFFE FFFFFFFF 01 = 0000001F 10 +39 0FA FFFFFFFE FFFFFFFF 10 = 0000001F 10 +39 0FB FFFFFFFE FFFFFFFF 11 = 0000001F 10 +39 0FC FFFFFFFF FFFFFFFF 00 = 0000001F 10 +39 0FD FFFFFFFF FFFFFFFF 01 = 0000001F 10 +39 0FE FFFFFFFF FFFFFFFF 10 = 0000001F 10 +39 0FF FFFFFFFF FFFFFFFF 11 = 0000001F 10 testn ---D---- ---S---- CZ = ---Q---- CZ 3A 000 00000000 00000000 00 = 00000000 01 3A 001 00000000 00000000 01 = 00000000 01 @@ -15418,263 +15418,263 @@ test ---D---- ---S---- CZ = ---Q---- CZ 3B 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 3B 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 3B 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 -anyb ---D---- ---S---- CZ = ---Q---- CZ +ones ---D---- ---S---- CZ = ---Q---- CZ 3C 000 00000000 00000000 00 = 00000000 01 3C 001 00000000 00000000 01 = 00000000 01 3C 002 00000000 00000000 10 = 00000000 01 3C 003 00000000 00000000 11 = 00000000 01 -3C 004 00000001 00000000 00 = 00000001 10 -3C 005 00000001 00000000 01 = 00000001 10 -3C 006 00000001 00000000 10 = 00000001 10 -3C 007 00000001 00000000 11 = 00000001 10 -3C 008 00000002 00000000 00 = 00000002 10 -3C 009 00000002 00000000 01 = 00000002 10 -3C 00A 00000002 00000000 10 = 00000002 10 -3C 00B 00000002 00000000 11 = 00000002 10 -3C 00C 7FFFFFFF 00000000 00 = 7FFFFFFF 10 -3C 00D 7FFFFFFF 00000000 01 = 7FFFFFFF 10 -3C 00E 7FFFFFFF 00000000 10 = 7FFFFFFF 10 -3C 00F 7FFFFFFF 00000000 11 = 7FFFFFFF 10 -3C 010 80000000 00000000 00 = 80000000 10 -3C 011 80000000 00000000 01 = 80000000 10 -3C 012 80000000 00000000 10 = 80000000 10 -3C 013 80000000 00000000 11 = 80000000 10 -3C 014 80000001 00000000 00 = 80000001 00 -3C 015 80000001 00000000 01 = 80000001 00 -3C 016 80000001 00000000 10 = 80000001 00 -3C 017 80000001 00000000 11 = 80000001 00 -3C 018 FFFFFFFE 00000000 00 = FFFFFFFE 10 -3C 019 FFFFFFFE 00000000 01 = FFFFFFFE 10 -3C 01A FFFFFFFE 00000000 10 = FFFFFFFE 10 -3C 01B FFFFFFFE 00000000 11 = FFFFFFFE 10 -3C 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 -3C 01D FFFFFFFF 00000000 01 = FFFFFFFF 00 -3C 01E FFFFFFFF 00000000 10 = FFFFFFFF 00 -3C 01F FFFFFFFF 00000000 11 = FFFFFFFF 00 -3C 020 00000000 00000001 00 = 00000000 10 -3C 021 00000000 00000001 01 = 00000000 10 -3C 022 00000000 00000001 10 = 00000000 10 -3C 023 00000000 00000001 11 = 00000000 10 +3C 004 00000001 00000000 00 = 00000000 01 +3C 005 00000001 00000000 01 = 00000000 01 +3C 006 00000001 00000000 10 = 00000000 01 +3C 007 00000001 00000000 11 = 00000000 01 +3C 008 00000002 00000000 00 = 00000000 01 +3C 009 00000002 00000000 01 = 00000000 01 +3C 00A 00000002 00000000 10 = 00000000 01 +3C 00B 00000002 00000000 11 = 00000000 01 +3C 00C 7FFFFFFF 00000000 00 = 00000000 01 +3C 00D 7FFFFFFF 00000000 01 = 00000000 01 +3C 00E 7FFFFFFF 00000000 10 = 00000000 01 +3C 00F 7FFFFFFF 00000000 11 = 00000000 01 +3C 010 80000000 00000000 00 = 00000000 01 +3C 011 80000000 00000000 01 = 00000000 01 +3C 012 80000000 00000000 10 = 00000000 01 +3C 013 80000000 00000000 11 = 00000000 01 +3C 014 80000001 00000000 00 = 00000000 01 +3C 015 80000001 00000000 01 = 00000000 01 +3C 016 80000001 00000000 10 = 00000000 01 +3C 017 80000001 00000000 11 = 00000000 01 +3C 018 FFFFFFFE 00000000 00 = 00000000 01 +3C 019 FFFFFFFE 00000000 01 = 00000000 01 +3C 01A FFFFFFFE 00000000 10 = 00000000 01 +3C 01B FFFFFFFE 00000000 11 = 00000000 01 +3C 01C FFFFFFFF 00000000 00 = 00000000 01 +3C 01D FFFFFFFF 00000000 01 = 00000000 01 +3C 01E FFFFFFFF 00000000 10 = 00000000 01 +3C 01F FFFFFFFF 00000000 11 = 00000000 01 +3C 020 00000000 00000001 00 = 00000001 10 +3C 021 00000000 00000001 01 = 00000001 10 +3C 022 00000000 00000001 10 = 00000001 10 +3C 023 00000000 00000001 11 = 00000001 10 3C 024 00000001 00000001 00 = 00000001 10 3C 025 00000001 00000001 01 = 00000001 10 3C 026 00000001 00000001 10 = 00000001 10 3C 027 00000001 00000001 11 = 00000001 10 -3C 028 00000002 00000001 00 = 00000002 00 -3C 029 00000002 00000001 01 = 00000002 00 -3C 02A 00000002 00000001 10 = 00000002 00 -3C 02B 00000002 00000001 11 = 00000002 00 -3C 02C 7FFFFFFF 00000001 00 = 7FFFFFFF 10 -3C 02D 7FFFFFFF 00000001 01 = 7FFFFFFF 10 -3C 02E 7FFFFFFF 00000001 10 = 7FFFFFFF 10 -3C 02F 7FFFFFFF 00000001 11 = 7FFFFFFF 10 -3C 030 80000000 00000001 00 = 80000000 00 -3C 031 80000000 00000001 01 = 80000000 00 -3C 032 80000000 00000001 10 = 80000000 00 -3C 033 80000000 00000001 11 = 80000000 00 -3C 034 80000001 00000001 00 = 80000001 00 -3C 035 80000001 00000001 01 = 80000001 00 -3C 036 80000001 00000001 10 = 80000001 00 -3C 037 80000001 00000001 11 = 80000001 00 -3C 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 -3C 039 FFFFFFFE 00000001 01 = FFFFFFFE 00 -3C 03A FFFFFFFE 00000001 10 = FFFFFFFE 00 -3C 03B FFFFFFFE 00000001 11 = FFFFFFFE 00 -3C 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 -3C 03D FFFFFFFF 00000001 01 = FFFFFFFF 00 -3C 03E FFFFFFFF 00000001 10 = FFFFFFFF 00 -3C 03F FFFFFFFF 00000001 11 = FFFFFFFF 00 -3C 040 00000000 00000002 00 = 00000000 10 -3C 041 00000000 00000002 01 = 00000000 10 -3C 042 00000000 00000002 10 = 00000000 10 -3C 043 00000000 00000002 11 = 00000000 10 -3C 044 00000001 00000002 00 = 00000001 00 -3C 045 00000001 00000002 01 = 00000001 00 -3C 046 00000001 00000002 10 = 00000001 00 -3C 047 00000001 00000002 11 = 00000001 00 -3C 048 00000002 00000002 00 = 00000002 10 -3C 049 00000002 00000002 01 = 00000002 10 -3C 04A 00000002 00000002 10 = 00000002 10 -3C 04B 00000002 00000002 11 = 00000002 10 -3C 04C 7FFFFFFF 00000002 00 = 7FFFFFFF 10 -3C 04D 7FFFFFFF 00000002 01 = 7FFFFFFF 10 -3C 04E 7FFFFFFF 00000002 10 = 7FFFFFFF 10 -3C 04F 7FFFFFFF 00000002 11 = 7FFFFFFF 10 -3C 050 80000000 00000002 00 = 80000000 00 -3C 051 80000000 00000002 01 = 80000000 00 -3C 052 80000000 00000002 10 = 80000000 00 -3C 053 80000000 00000002 11 = 80000000 00 -3C 054 80000001 00000002 00 = 80000001 10 -3C 055 80000001 00000002 01 = 80000001 10 -3C 056 80000001 00000002 10 = 80000001 10 -3C 057 80000001 00000002 11 = 80000001 10 -3C 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 -3C 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 -3C 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 -3C 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 -3C 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 -3C 05D FFFFFFFF 00000002 01 = FFFFFFFF 00 -3C 05E FFFFFFFF 00000002 10 = FFFFFFFF 00 -3C 05F FFFFFFFF 00000002 11 = FFFFFFFF 00 -3C 060 00000000 7FFFFFFF 00 = 00000000 10 -3C 061 00000000 7FFFFFFF 01 = 00000000 10 -3C 062 00000000 7FFFFFFF 10 = 00000000 10 -3C 063 00000000 7FFFFFFF 11 = 00000000 10 -3C 064 00000001 7FFFFFFF 00 = 00000001 10 -3C 065 00000001 7FFFFFFF 01 = 00000001 10 -3C 066 00000001 7FFFFFFF 10 = 00000001 10 -3C 067 00000001 7FFFFFFF 11 = 00000001 10 -3C 068 00000002 7FFFFFFF 00 = 00000002 10 -3C 069 00000002 7FFFFFFF 01 = 00000002 10 -3C 06A 00000002 7FFFFFFF 10 = 00000002 10 -3C 06B 00000002 7FFFFFFF 11 = 00000002 10 -3C 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 10 -3C 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 10 -3C 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 -3C 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 10 -3C 070 80000000 7FFFFFFF 00 = 80000000 00 -3C 071 80000000 7FFFFFFF 01 = 80000000 00 -3C 072 80000000 7FFFFFFF 10 = 80000000 00 -3C 073 80000000 7FFFFFFF 11 = 80000000 00 -3C 074 80000001 7FFFFFFF 00 = 80000001 00 -3C 075 80000001 7FFFFFFF 01 = 80000001 00 -3C 076 80000001 7FFFFFFF 10 = 80000001 00 -3C 077 80000001 7FFFFFFF 11 = 80000001 00 -3C 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 -3C 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 00 -3C 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 00 -3C 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 00 -3C 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 -3C 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 00 -3C 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 00 -3C 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 00 -3C 080 00000000 80000000 00 = 00000000 10 -3C 081 00000000 80000000 01 = 00000000 10 -3C 082 00000000 80000000 10 = 00000000 10 -3C 083 00000000 80000000 11 = 00000000 10 -3C 084 00000001 80000000 00 = 00000001 00 -3C 085 00000001 80000000 01 = 00000001 00 -3C 086 00000001 80000000 10 = 00000001 00 -3C 087 00000001 80000000 11 = 00000001 00 -3C 088 00000002 80000000 00 = 00000002 00 -3C 089 00000002 80000000 01 = 00000002 00 -3C 08A 00000002 80000000 10 = 00000002 00 -3C 08B 00000002 80000000 11 = 00000002 00 -3C 08C 7FFFFFFF 80000000 00 = 7FFFFFFF 00 -3C 08D 7FFFFFFF 80000000 01 = 7FFFFFFF 00 -3C 08E 7FFFFFFF 80000000 10 = 7FFFFFFF 00 -3C 08F 7FFFFFFF 80000000 11 = 7FFFFFFF 00 -3C 090 80000000 80000000 00 = 80000000 10 -3C 091 80000000 80000000 01 = 80000000 10 -3C 092 80000000 80000000 10 = 80000000 10 -3C 093 80000000 80000000 11 = 80000000 10 -3C 094 80000001 80000000 00 = 80000001 00 -3C 095 80000001 80000000 01 = 80000001 00 -3C 096 80000001 80000000 10 = 80000001 00 -3C 097 80000001 80000000 11 = 80000001 00 -3C 098 FFFFFFFE 80000000 00 = FFFFFFFE 10 -3C 099 FFFFFFFE 80000000 01 = FFFFFFFE 10 -3C 09A FFFFFFFE 80000000 10 = FFFFFFFE 10 -3C 09B FFFFFFFE 80000000 11 = FFFFFFFE 10 -3C 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 -3C 09D FFFFFFFF 80000000 01 = FFFFFFFF 00 -3C 09E FFFFFFFF 80000000 10 = FFFFFFFF 00 -3C 09F FFFFFFFF 80000000 11 = FFFFFFFF 00 -3C 0A0 00000000 80000001 00 = 00000000 00 -3C 0A1 00000000 80000001 01 = 00000000 00 -3C 0A2 00000000 80000001 10 = 00000000 00 -3C 0A3 00000000 80000001 11 = 00000000 00 -3C 0A4 00000001 80000001 00 = 00000001 00 -3C 0A5 00000001 80000001 01 = 00000001 00 -3C 0A6 00000001 80000001 10 = 00000001 00 -3C 0A7 00000001 80000001 11 = 00000001 00 -3C 0A8 00000002 80000001 00 = 00000002 10 -3C 0A9 00000002 80000001 01 = 00000002 10 -3C 0AA 00000002 80000001 10 = 00000002 10 -3C 0AB 00000002 80000001 11 = 00000002 10 -3C 0AC 7FFFFFFF 80000001 00 = 7FFFFFFF 00 -3C 0AD 7FFFFFFF 80000001 01 = 7FFFFFFF 00 -3C 0AE 7FFFFFFF 80000001 10 = 7FFFFFFF 00 -3C 0AF 7FFFFFFF 80000001 11 = 7FFFFFFF 00 -3C 0B0 80000000 80000001 00 = 80000000 00 -3C 0B1 80000000 80000001 01 = 80000000 00 -3C 0B2 80000000 80000001 10 = 80000000 00 -3C 0B3 80000000 80000001 11 = 80000000 00 -3C 0B4 80000001 80000001 00 = 80000001 00 -3C 0B5 80000001 80000001 01 = 80000001 00 -3C 0B6 80000001 80000001 10 = 80000001 00 -3C 0B7 80000001 80000001 11 = 80000001 00 -3C 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 -3C 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 00 -3C 0BA FFFFFFFE 80000001 10 = FFFFFFFE 00 -3C 0BB FFFFFFFE 80000001 11 = FFFFFFFE 00 -3C 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 -3C 0BD FFFFFFFF 80000001 01 = FFFFFFFF 00 -3C 0BE FFFFFFFF 80000001 10 = FFFFFFFF 00 -3C 0BF FFFFFFFF 80000001 11 = FFFFFFFF 00 -3C 0C0 00000000 FFFFFFFE 00 = 00000000 10 -3C 0C1 00000000 FFFFFFFE 01 = 00000000 10 -3C 0C2 00000000 FFFFFFFE 10 = 00000000 10 -3C 0C3 00000000 FFFFFFFE 11 = 00000000 10 -3C 0C4 00000001 FFFFFFFE 00 = 00000001 00 -3C 0C5 00000001 FFFFFFFE 01 = 00000001 00 -3C 0C6 00000001 FFFFFFFE 10 = 00000001 00 -3C 0C7 00000001 FFFFFFFE 11 = 00000001 00 -3C 0C8 00000002 FFFFFFFE 00 = 00000002 10 -3C 0C9 00000002 FFFFFFFE 01 = 00000002 10 -3C 0CA 00000002 FFFFFFFE 10 = 00000002 10 -3C 0CB 00000002 FFFFFFFE 11 = 00000002 10 -3C 0CC 7FFFFFFF FFFFFFFE 00 = 7FFFFFFF 00 -3C 0CD 7FFFFFFF FFFFFFFE 01 = 7FFFFFFF 00 -3C 0CE 7FFFFFFF FFFFFFFE 10 = 7FFFFFFF 00 -3C 0CF 7FFFFFFF FFFFFFFE 11 = 7FFFFFFF 00 -3C 0D0 80000000 FFFFFFFE 00 = 80000000 10 -3C 0D1 80000000 FFFFFFFE 01 = 80000000 10 -3C 0D2 80000000 FFFFFFFE 10 = 80000000 10 -3C 0D3 80000000 FFFFFFFE 11 = 80000000 10 -3C 0D4 80000001 FFFFFFFE 00 = 80000001 00 -3C 0D5 80000001 FFFFFFFE 01 = 80000001 00 -3C 0D6 80000001 FFFFFFFE 10 = 80000001 00 -3C 0D7 80000001 FFFFFFFE 11 = 80000001 00 -3C 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 -3C 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 -3C 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 -3C 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 -3C 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 -3C 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 00 -3C 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 00 -3C 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 00 -3C 0E0 00000000 FFFFFFFF 00 = 00000000 00 -3C 0E1 00000000 FFFFFFFF 01 = 00000000 00 -3C 0E2 00000000 FFFFFFFF 10 = 00000000 00 -3C 0E3 00000000 FFFFFFFF 11 = 00000000 00 -3C 0E4 00000001 FFFFFFFF 00 = 00000001 00 -3C 0E5 00000001 FFFFFFFF 01 = 00000001 00 -3C 0E6 00000001 FFFFFFFF 10 = 00000001 00 -3C 0E7 00000001 FFFFFFFF 11 = 00000001 00 -3C 0E8 00000002 FFFFFFFF 00 = 00000002 00 -3C 0E9 00000002 FFFFFFFF 01 = 00000002 00 -3C 0EA 00000002 FFFFFFFF 10 = 00000002 00 -3C 0EB 00000002 FFFFFFFF 11 = 00000002 00 -3C 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 -3C 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 -3C 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 -3C 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 -3C 0F0 80000000 FFFFFFFF 00 = 80000000 00 -3C 0F1 80000000 FFFFFFFF 01 = 80000000 00 -3C 0F2 80000000 FFFFFFFF 10 = 80000000 00 -3C 0F3 80000000 FFFFFFFF 11 = 80000000 00 -3C 0F4 80000001 FFFFFFFF 00 = 80000001 00 -3C 0F5 80000001 FFFFFFFF 01 = 80000001 00 -3C 0F6 80000001 FFFFFFFF 10 = 80000001 00 -3C 0F7 80000001 FFFFFFFF 11 = 80000001 00 -3C 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 -3C 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 00 -3C 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 00 -3C 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 00 -3C 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 -3C 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 00 -3C 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 00 -3C 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 00 +3C 028 00000002 00000001 00 = 00000001 10 +3C 029 00000002 00000001 01 = 00000001 10 +3C 02A 00000002 00000001 10 = 00000001 10 +3C 02B 00000002 00000001 11 = 00000001 10 +3C 02C 7FFFFFFF 00000001 00 = 00000001 10 +3C 02D 7FFFFFFF 00000001 01 = 00000001 10 +3C 02E 7FFFFFFF 00000001 10 = 00000001 10 +3C 02F 7FFFFFFF 00000001 11 = 00000001 10 +3C 030 80000000 00000001 00 = 00000001 10 +3C 031 80000000 00000001 01 = 00000001 10 +3C 032 80000000 00000001 10 = 00000001 10 +3C 033 80000000 00000001 11 = 00000001 10 +3C 034 80000001 00000001 00 = 00000001 10 +3C 035 80000001 00000001 01 = 00000001 10 +3C 036 80000001 00000001 10 = 00000001 10 +3C 037 80000001 00000001 11 = 00000001 10 +3C 038 FFFFFFFE 00000001 00 = 00000001 10 +3C 039 FFFFFFFE 00000001 01 = 00000001 10 +3C 03A FFFFFFFE 00000001 10 = 00000001 10 +3C 03B FFFFFFFE 00000001 11 = 00000001 10 +3C 03C FFFFFFFF 00000001 00 = 00000001 10 +3C 03D FFFFFFFF 00000001 01 = 00000001 10 +3C 03E FFFFFFFF 00000001 10 = 00000001 10 +3C 03F FFFFFFFF 00000001 11 = 00000001 10 +3C 040 00000000 00000002 00 = 00000001 10 +3C 041 00000000 00000002 01 = 00000001 10 +3C 042 00000000 00000002 10 = 00000001 10 +3C 043 00000000 00000002 11 = 00000001 10 +3C 044 00000001 00000002 00 = 00000001 10 +3C 045 00000001 00000002 01 = 00000001 10 +3C 046 00000001 00000002 10 = 00000001 10 +3C 047 00000001 00000002 11 = 00000001 10 +3C 048 00000002 00000002 00 = 00000001 10 +3C 049 00000002 00000002 01 = 00000001 10 +3C 04A 00000002 00000002 10 = 00000001 10 +3C 04B 00000002 00000002 11 = 00000001 10 +3C 04C 7FFFFFFF 00000002 00 = 00000001 10 +3C 04D 7FFFFFFF 00000002 01 = 00000001 10 +3C 04E 7FFFFFFF 00000002 10 = 00000001 10 +3C 04F 7FFFFFFF 00000002 11 = 00000001 10 +3C 050 80000000 00000002 00 = 00000001 10 +3C 051 80000000 00000002 01 = 00000001 10 +3C 052 80000000 00000002 10 = 00000001 10 +3C 053 80000000 00000002 11 = 00000001 10 +3C 054 80000001 00000002 00 = 00000001 10 +3C 055 80000001 00000002 01 = 00000001 10 +3C 056 80000001 00000002 10 = 00000001 10 +3C 057 80000001 00000002 11 = 00000001 10 +3C 058 FFFFFFFE 00000002 00 = 00000001 10 +3C 059 FFFFFFFE 00000002 01 = 00000001 10 +3C 05A FFFFFFFE 00000002 10 = 00000001 10 +3C 05B FFFFFFFE 00000002 11 = 00000001 10 +3C 05C FFFFFFFF 00000002 00 = 00000001 10 +3C 05D FFFFFFFF 00000002 01 = 00000001 10 +3C 05E FFFFFFFF 00000002 10 = 00000001 10 +3C 05F FFFFFFFF 00000002 11 = 00000001 10 +3C 060 00000000 7FFFFFFF 00 = 0000001F 10 +3C 061 00000000 7FFFFFFF 01 = 0000001F 10 +3C 062 00000000 7FFFFFFF 10 = 0000001F 10 +3C 063 00000000 7FFFFFFF 11 = 0000001F 10 +3C 064 00000001 7FFFFFFF 00 = 0000001F 10 +3C 065 00000001 7FFFFFFF 01 = 0000001F 10 +3C 066 00000001 7FFFFFFF 10 = 0000001F 10 +3C 067 00000001 7FFFFFFF 11 = 0000001F 10 +3C 068 00000002 7FFFFFFF 00 = 0000001F 10 +3C 069 00000002 7FFFFFFF 01 = 0000001F 10 +3C 06A 00000002 7FFFFFFF 10 = 0000001F 10 +3C 06B 00000002 7FFFFFFF 11 = 0000001F 10 +3C 06C 7FFFFFFF 7FFFFFFF 00 = 0000001F 10 +3C 06D 7FFFFFFF 7FFFFFFF 01 = 0000001F 10 +3C 06E 7FFFFFFF 7FFFFFFF 10 = 0000001F 10 +3C 06F 7FFFFFFF 7FFFFFFF 11 = 0000001F 10 +3C 070 80000000 7FFFFFFF 00 = 0000001F 10 +3C 071 80000000 7FFFFFFF 01 = 0000001F 10 +3C 072 80000000 7FFFFFFF 10 = 0000001F 10 +3C 073 80000000 7FFFFFFF 11 = 0000001F 10 +3C 074 80000001 7FFFFFFF 00 = 0000001F 10 +3C 075 80000001 7FFFFFFF 01 = 0000001F 10 +3C 076 80000001 7FFFFFFF 10 = 0000001F 10 +3C 077 80000001 7FFFFFFF 11 = 0000001F 10 +3C 078 FFFFFFFE 7FFFFFFF 00 = 0000001F 10 +3C 079 FFFFFFFE 7FFFFFFF 01 = 0000001F 10 +3C 07A FFFFFFFE 7FFFFFFF 10 = 0000001F 10 +3C 07B FFFFFFFE 7FFFFFFF 11 = 0000001F 10 +3C 07C FFFFFFFF 7FFFFFFF 00 = 0000001F 10 +3C 07D FFFFFFFF 7FFFFFFF 01 = 0000001F 10 +3C 07E FFFFFFFF 7FFFFFFF 10 = 0000001F 10 +3C 07F FFFFFFFF 7FFFFFFF 11 = 0000001F 10 +3C 080 00000000 80000000 00 = 00000001 10 +3C 081 00000000 80000000 01 = 00000001 10 +3C 082 00000000 80000000 10 = 00000001 10 +3C 083 00000000 80000000 11 = 00000001 10 +3C 084 00000001 80000000 00 = 00000001 10 +3C 085 00000001 80000000 01 = 00000001 10 +3C 086 00000001 80000000 10 = 00000001 10 +3C 087 00000001 80000000 11 = 00000001 10 +3C 088 00000002 80000000 00 = 00000001 10 +3C 089 00000002 80000000 01 = 00000001 10 +3C 08A 00000002 80000000 10 = 00000001 10 +3C 08B 00000002 80000000 11 = 00000001 10 +3C 08C 7FFFFFFF 80000000 00 = 00000001 10 +3C 08D 7FFFFFFF 80000000 01 = 00000001 10 +3C 08E 7FFFFFFF 80000000 10 = 00000001 10 +3C 08F 7FFFFFFF 80000000 11 = 00000001 10 +3C 090 80000000 80000000 00 = 00000001 10 +3C 091 80000000 80000000 01 = 00000001 10 +3C 092 80000000 80000000 10 = 00000001 10 +3C 093 80000000 80000000 11 = 00000001 10 +3C 094 80000001 80000000 00 = 00000001 10 +3C 095 80000001 80000000 01 = 00000001 10 +3C 096 80000001 80000000 10 = 00000001 10 +3C 097 80000001 80000000 11 = 00000001 10 +3C 098 FFFFFFFE 80000000 00 = 00000001 10 +3C 099 FFFFFFFE 80000000 01 = 00000001 10 +3C 09A FFFFFFFE 80000000 10 = 00000001 10 +3C 09B FFFFFFFE 80000000 11 = 00000001 10 +3C 09C FFFFFFFF 80000000 00 = 00000001 10 +3C 09D FFFFFFFF 80000000 01 = 00000001 10 +3C 09E FFFFFFFF 80000000 10 = 00000001 10 +3C 09F FFFFFFFF 80000000 11 = 00000001 10 +3C 0A0 00000000 80000001 00 = 00000002 00 +3C 0A1 00000000 80000001 01 = 00000002 00 +3C 0A2 00000000 80000001 10 = 00000002 00 +3C 0A3 00000000 80000001 11 = 00000002 00 +3C 0A4 00000001 80000001 00 = 00000002 00 +3C 0A5 00000001 80000001 01 = 00000002 00 +3C 0A6 00000001 80000001 10 = 00000002 00 +3C 0A7 00000001 80000001 11 = 00000002 00 +3C 0A8 00000002 80000001 00 = 00000002 00 +3C 0A9 00000002 80000001 01 = 00000002 00 +3C 0AA 00000002 80000001 10 = 00000002 00 +3C 0AB 00000002 80000001 11 = 00000002 00 +3C 0AC 7FFFFFFF 80000001 00 = 00000002 00 +3C 0AD 7FFFFFFF 80000001 01 = 00000002 00 +3C 0AE 7FFFFFFF 80000001 10 = 00000002 00 +3C 0AF 7FFFFFFF 80000001 11 = 00000002 00 +3C 0B0 80000000 80000001 00 = 00000002 00 +3C 0B1 80000000 80000001 01 = 00000002 00 +3C 0B2 80000000 80000001 10 = 00000002 00 +3C 0B3 80000000 80000001 11 = 00000002 00 +3C 0B4 80000001 80000001 00 = 00000002 00 +3C 0B5 80000001 80000001 01 = 00000002 00 +3C 0B6 80000001 80000001 10 = 00000002 00 +3C 0B7 80000001 80000001 11 = 00000002 00 +3C 0B8 FFFFFFFE 80000001 00 = 00000002 00 +3C 0B9 FFFFFFFE 80000001 01 = 00000002 00 +3C 0BA FFFFFFFE 80000001 10 = 00000002 00 +3C 0BB FFFFFFFE 80000001 11 = 00000002 00 +3C 0BC FFFFFFFF 80000001 00 = 00000002 00 +3C 0BD FFFFFFFF 80000001 01 = 00000002 00 +3C 0BE FFFFFFFF 80000001 10 = 00000002 00 +3C 0BF FFFFFFFF 80000001 11 = 00000002 00 +3C 0C0 00000000 FFFFFFFE 00 = 0000001F 10 +3C 0C1 00000000 FFFFFFFE 01 = 0000001F 10 +3C 0C2 00000000 FFFFFFFE 10 = 0000001F 10 +3C 0C3 00000000 FFFFFFFE 11 = 0000001F 10 +3C 0C4 00000001 FFFFFFFE 00 = 0000001F 10 +3C 0C5 00000001 FFFFFFFE 01 = 0000001F 10 +3C 0C6 00000001 FFFFFFFE 10 = 0000001F 10 +3C 0C7 00000001 FFFFFFFE 11 = 0000001F 10 +3C 0C8 00000002 FFFFFFFE 00 = 0000001F 10 +3C 0C9 00000002 FFFFFFFE 01 = 0000001F 10 +3C 0CA 00000002 FFFFFFFE 10 = 0000001F 10 +3C 0CB 00000002 FFFFFFFE 11 = 0000001F 10 +3C 0CC 7FFFFFFF FFFFFFFE 00 = 0000001F 10 +3C 0CD 7FFFFFFF FFFFFFFE 01 = 0000001F 10 +3C 0CE 7FFFFFFF FFFFFFFE 10 = 0000001F 10 +3C 0CF 7FFFFFFF FFFFFFFE 11 = 0000001F 10 +3C 0D0 80000000 FFFFFFFE 00 = 0000001F 10 +3C 0D1 80000000 FFFFFFFE 01 = 0000001F 10 +3C 0D2 80000000 FFFFFFFE 10 = 0000001F 10 +3C 0D3 80000000 FFFFFFFE 11 = 0000001F 10 +3C 0D4 80000001 FFFFFFFE 00 = 0000001F 10 +3C 0D5 80000001 FFFFFFFE 01 = 0000001F 10 +3C 0D6 80000001 FFFFFFFE 10 = 0000001F 10 +3C 0D7 80000001 FFFFFFFE 11 = 0000001F 10 +3C 0D8 FFFFFFFE FFFFFFFE 00 = 0000001F 10 +3C 0D9 FFFFFFFE FFFFFFFE 01 = 0000001F 10 +3C 0DA FFFFFFFE FFFFFFFE 10 = 0000001F 10 +3C 0DB FFFFFFFE FFFFFFFE 11 = 0000001F 10 +3C 0DC FFFFFFFF FFFFFFFE 00 = 0000001F 10 +3C 0DD FFFFFFFF FFFFFFFE 01 = 0000001F 10 +3C 0DE FFFFFFFF FFFFFFFE 10 = 0000001F 10 +3C 0DF FFFFFFFF FFFFFFFE 11 = 0000001F 10 +3C 0E0 00000000 FFFFFFFF 00 = 00000020 00 +3C 0E1 00000000 FFFFFFFF 01 = 00000020 00 +3C 0E2 00000000 FFFFFFFF 10 = 00000020 00 +3C 0E3 00000000 FFFFFFFF 11 = 00000020 00 +3C 0E4 00000001 FFFFFFFF 00 = 00000020 00 +3C 0E5 00000001 FFFFFFFF 01 = 00000020 00 +3C 0E6 00000001 FFFFFFFF 10 = 00000020 00 +3C 0E7 00000001 FFFFFFFF 11 = 00000020 00 +3C 0E8 00000002 FFFFFFFF 00 = 00000020 00 +3C 0E9 00000002 FFFFFFFF 01 = 00000020 00 +3C 0EA 00000002 FFFFFFFF 10 = 00000020 00 +3C 0EB 00000002 FFFFFFFF 11 = 00000020 00 +3C 0EC 7FFFFFFF FFFFFFFF 00 = 00000020 00 +3C 0ED 7FFFFFFF FFFFFFFF 01 = 00000020 00 +3C 0EE 7FFFFFFF FFFFFFFF 10 = 00000020 00 +3C 0EF 7FFFFFFF FFFFFFFF 11 = 00000020 00 +3C 0F0 80000000 FFFFFFFF 00 = 00000020 00 +3C 0F1 80000000 FFFFFFFF 01 = 00000020 00 +3C 0F2 80000000 FFFFFFFF 10 = 00000020 00 +3C 0F3 80000000 FFFFFFFF 11 = 00000020 00 +3C 0F4 80000001 FFFFFFFF 00 = 00000020 00 +3C 0F5 80000001 FFFFFFFF 01 = 00000020 00 +3C 0F6 80000001 FFFFFFFF 10 = 00000020 00 +3C 0F7 80000001 FFFFFFFF 11 = 00000020 00 +3C 0F8 FFFFFFFE FFFFFFFF 00 = 00000020 00 +3C 0F9 FFFFFFFE FFFFFFFF 01 = 00000020 00 +3C 0FA FFFFFFFE FFFFFFFF 10 = 00000020 00 +3C 0FB FFFFFFFE FFFFFFFF 11 = 00000020 00 +3C 0FC FFFFFFFF FFFFFFFF 00 = 00000020 00 +3C 0FD FFFFFFFF FFFFFFFF 01 = 00000020 00 +3C 0FE FFFFFFFF FFFFFFFF 10 = 00000020 00 +3C 0FF FFFFFFFF FFFFFFFF 11 = 00000020 00 setnib ---D---- ---S---- CZ = ---Q---- CZ 3D 000 00000000 00000000 00 = 00000000 00 3D 001 00000000 00000000 01 = 00000000 01 @@ -17732,262 +17732,262 @@ sets ---D---- ---S---- CZ = ---Q---- CZ 44 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 44 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 signx ---D---- ---S---- CZ = ---Q---- CZ -45 000 00000000 00000000 00 = 00000000 00 +45 000 00000000 00000000 00 = 00000000 01 45 001 00000000 00000000 01 = 00000000 01 -45 002 00000000 00000000 10 = 00000000 10 -45 003 00000000 00000000 11 = 00000000 11 -45 004 00000001 00000000 00 = FFFFFFFF 00 -45 005 00000001 00000000 01 = FFFFFFFF 01 +45 002 00000000 00000000 10 = 00000000 01 +45 003 00000000 00000000 11 = 00000000 01 +45 004 00000001 00000000 00 = FFFFFFFF 10 +45 005 00000001 00000000 01 = FFFFFFFF 10 45 006 00000001 00000000 10 = FFFFFFFF 10 -45 007 00000001 00000000 11 = FFFFFFFF 11 -45 008 00000002 00000000 00 = 00000000 00 +45 007 00000001 00000000 11 = FFFFFFFF 10 +45 008 00000002 00000000 00 = 00000000 01 45 009 00000002 00000000 01 = 00000000 01 -45 00A 00000002 00000000 10 = 00000000 10 -45 00B 00000002 00000000 11 = 00000000 11 -45 00C 7FFFFFFF 00000000 00 = FFFFFFFF 00 -45 00D 7FFFFFFF 00000000 01 = FFFFFFFF 01 +45 00A 00000002 00000000 10 = 00000000 01 +45 00B 00000002 00000000 11 = 00000000 01 +45 00C 7FFFFFFF 00000000 00 = FFFFFFFF 10 +45 00D 7FFFFFFF 00000000 01 = FFFFFFFF 10 45 00E 7FFFFFFF 00000000 10 = FFFFFFFF 10 -45 00F 7FFFFFFF 00000000 11 = FFFFFFFF 11 -45 010 80000000 00000000 00 = 00000000 00 +45 00F 7FFFFFFF 00000000 11 = FFFFFFFF 10 +45 010 80000000 00000000 00 = 00000000 01 45 011 80000000 00000000 01 = 00000000 01 -45 012 80000000 00000000 10 = 00000000 10 -45 013 80000000 00000000 11 = 00000000 11 -45 014 80000001 00000000 00 = FFFFFFFF 00 -45 015 80000001 00000000 01 = FFFFFFFF 01 +45 012 80000000 00000000 10 = 00000000 01 +45 013 80000000 00000000 11 = 00000000 01 +45 014 80000001 00000000 00 = FFFFFFFF 10 +45 015 80000001 00000000 01 = FFFFFFFF 10 45 016 80000001 00000000 10 = FFFFFFFF 10 -45 017 80000001 00000000 11 = FFFFFFFF 11 -45 018 FFFFFFFE 00000000 00 = 00000000 00 +45 017 80000001 00000000 11 = FFFFFFFF 10 +45 018 FFFFFFFE 00000000 00 = 00000000 01 45 019 FFFFFFFE 00000000 01 = 00000000 01 -45 01A FFFFFFFE 00000000 10 = 00000000 10 -45 01B FFFFFFFE 00000000 11 = 00000000 11 -45 01C FFFFFFFF 00000000 00 = FFFFFFFF 00 -45 01D FFFFFFFF 00000000 01 = FFFFFFFF 01 +45 01A FFFFFFFE 00000000 10 = 00000000 01 +45 01B FFFFFFFE 00000000 11 = 00000000 01 +45 01C FFFFFFFF 00000000 00 = FFFFFFFF 10 +45 01D FFFFFFFF 00000000 01 = FFFFFFFF 10 45 01E FFFFFFFF 00000000 10 = FFFFFFFF 10 -45 01F FFFFFFFF 00000000 11 = FFFFFFFF 11 -45 020 00000000 00000001 00 = 00000000 00 +45 01F FFFFFFFF 00000000 11 = FFFFFFFF 10 +45 020 00000000 00000001 00 = 00000000 01 45 021 00000000 00000001 01 = 00000000 01 -45 022 00000000 00000001 10 = 00000000 10 -45 023 00000000 00000001 11 = 00000000 11 +45 022 00000000 00000001 10 = 00000000 01 +45 023 00000000 00000001 11 = 00000000 01 45 024 00000001 00000001 00 = 00000001 00 -45 025 00000001 00000001 01 = 00000001 01 -45 026 00000001 00000001 10 = 00000001 10 -45 027 00000001 00000001 11 = 00000001 11 -45 028 00000002 00000001 00 = FFFFFFFE 00 -45 029 00000002 00000001 01 = FFFFFFFE 01 +45 025 00000001 00000001 01 = 00000001 00 +45 026 00000001 00000001 10 = 00000001 00 +45 027 00000001 00000001 11 = 00000001 00 +45 028 00000002 00000001 00 = FFFFFFFE 10 +45 029 00000002 00000001 01 = FFFFFFFE 10 45 02A 00000002 00000001 10 = FFFFFFFE 10 -45 02B 00000002 00000001 11 = FFFFFFFE 11 -45 02C 7FFFFFFF 00000001 00 = FFFFFFFF 00 -45 02D 7FFFFFFF 00000001 01 = FFFFFFFF 01 +45 02B 00000002 00000001 11 = FFFFFFFE 10 +45 02C 7FFFFFFF 00000001 00 = FFFFFFFF 10 +45 02D 7FFFFFFF 00000001 01 = FFFFFFFF 10 45 02E 7FFFFFFF 00000001 10 = FFFFFFFF 10 -45 02F 7FFFFFFF 00000001 11 = FFFFFFFF 11 -45 030 80000000 00000001 00 = 00000000 00 +45 02F 7FFFFFFF 00000001 11 = FFFFFFFF 10 +45 030 80000000 00000001 00 = 00000000 01 45 031 80000000 00000001 01 = 00000000 01 -45 032 80000000 00000001 10 = 00000000 10 -45 033 80000000 00000001 11 = 00000000 11 +45 032 80000000 00000001 10 = 00000000 01 +45 033 80000000 00000001 11 = 00000000 01 45 034 80000001 00000001 00 = 00000001 00 -45 035 80000001 00000001 01 = 00000001 01 -45 036 80000001 00000001 10 = 00000001 10 -45 037 80000001 00000001 11 = 00000001 11 -45 038 FFFFFFFE 00000001 00 = FFFFFFFE 00 -45 039 FFFFFFFE 00000001 01 = FFFFFFFE 01 +45 035 80000001 00000001 01 = 00000001 00 +45 036 80000001 00000001 10 = 00000001 00 +45 037 80000001 00000001 11 = 00000001 00 +45 038 FFFFFFFE 00000001 00 = FFFFFFFE 10 +45 039 FFFFFFFE 00000001 01 = FFFFFFFE 10 45 03A FFFFFFFE 00000001 10 = FFFFFFFE 10 -45 03B FFFFFFFE 00000001 11 = FFFFFFFE 11 -45 03C FFFFFFFF 00000001 00 = FFFFFFFF 00 -45 03D FFFFFFFF 00000001 01 = FFFFFFFF 01 +45 03B FFFFFFFE 00000001 11 = FFFFFFFE 10 +45 03C FFFFFFFF 00000001 00 = FFFFFFFF 10 +45 03D FFFFFFFF 00000001 01 = FFFFFFFF 10 45 03E FFFFFFFF 00000001 10 = FFFFFFFF 10 -45 03F FFFFFFFF 00000001 11 = FFFFFFFF 11 -45 040 00000000 00000002 00 = 00000000 00 +45 03F FFFFFFFF 00000001 11 = FFFFFFFF 10 +45 040 00000000 00000002 00 = 00000000 01 45 041 00000000 00000002 01 = 00000000 01 -45 042 00000000 00000002 10 = 00000000 10 -45 043 00000000 00000002 11 = 00000000 11 +45 042 00000000 00000002 10 = 00000000 01 +45 043 00000000 00000002 11 = 00000000 01 45 044 00000001 00000002 00 = 00000001 00 -45 045 00000001 00000002 01 = 00000001 01 -45 046 00000001 00000002 10 = 00000001 10 -45 047 00000001 00000002 11 = 00000001 11 +45 045 00000001 00000002 01 = 00000001 00 +45 046 00000001 00000002 10 = 00000001 00 +45 047 00000001 00000002 11 = 00000001 00 45 048 00000002 00000002 00 = 00000002 00 -45 049 00000002 00000002 01 = 00000002 01 -45 04A 00000002 00000002 10 = 00000002 10 -45 04B 00000002 00000002 11 = 00000002 11 -45 04C 7FFFFFFF 00000002 00 = FFFFFFFF 00 -45 04D 7FFFFFFF 00000002 01 = FFFFFFFF 01 +45 049 00000002 00000002 01 = 00000002 00 +45 04A 00000002 00000002 10 = 00000002 00 +45 04B 00000002 00000002 11 = 00000002 00 +45 04C 7FFFFFFF 00000002 00 = FFFFFFFF 10 +45 04D 7FFFFFFF 00000002 01 = FFFFFFFF 10 45 04E 7FFFFFFF 00000002 10 = FFFFFFFF 10 -45 04F 7FFFFFFF 00000002 11 = FFFFFFFF 11 -45 050 80000000 00000002 00 = 00000000 00 +45 04F 7FFFFFFF 00000002 11 = FFFFFFFF 10 +45 050 80000000 00000002 00 = 00000000 01 45 051 80000000 00000002 01 = 00000000 01 -45 052 80000000 00000002 10 = 00000000 10 -45 053 80000000 00000002 11 = 00000000 11 +45 052 80000000 00000002 10 = 00000000 01 +45 053 80000000 00000002 11 = 00000000 01 45 054 80000001 00000002 00 = 00000001 00 -45 055 80000001 00000002 01 = 00000001 01 -45 056 80000001 00000002 10 = 00000001 10 -45 057 80000001 00000002 11 = 00000001 11 -45 058 FFFFFFFE 00000002 00 = FFFFFFFE 00 -45 059 FFFFFFFE 00000002 01 = FFFFFFFE 01 +45 055 80000001 00000002 01 = 00000001 00 +45 056 80000001 00000002 10 = 00000001 00 +45 057 80000001 00000002 11 = 00000001 00 +45 058 FFFFFFFE 00000002 00 = FFFFFFFE 10 +45 059 FFFFFFFE 00000002 01 = FFFFFFFE 10 45 05A FFFFFFFE 00000002 10 = FFFFFFFE 10 -45 05B FFFFFFFE 00000002 11 = FFFFFFFE 11 -45 05C FFFFFFFF 00000002 00 = FFFFFFFF 00 -45 05D FFFFFFFF 00000002 01 = FFFFFFFF 01 +45 05B FFFFFFFE 00000002 11 = FFFFFFFE 10 +45 05C FFFFFFFF 00000002 00 = FFFFFFFF 10 +45 05D FFFFFFFF 00000002 01 = FFFFFFFF 10 45 05E FFFFFFFF 00000002 10 = FFFFFFFF 10 -45 05F FFFFFFFF 00000002 11 = FFFFFFFF 11 -45 060 00000000 7FFFFFFF 00 = 00000000 00 +45 05F FFFFFFFF 00000002 11 = FFFFFFFF 10 +45 060 00000000 7FFFFFFF 00 = 00000000 01 45 061 00000000 7FFFFFFF 01 = 00000000 01 -45 062 00000000 7FFFFFFF 10 = 00000000 10 -45 063 00000000 7FFFFFFF 11 = 00000000 11 +45 062 00000000 7FFFFFFF 10 = 00000000 01 +45 063 00000000 7FFFFFFF 11 = 00000000 01 45 064 00000001 7FFFFFFF 00 = 00000001 00 -45 065 00000001 7FFFFFFF 01 = 00000001 01 -45 066 00000001 7FFFFFFF 10 = 00000001 10 -45 067 00000001 7FFFFFFF 11 = 00000001 11 +45 065 00000001 7FFFFFFF 01 = 00000001 00 +45 066 00000001 7FFFFFFF 10 = 00000001 00 +45 067 00000001 7FFFFFFF 11 = 00000001 00 45 068 00000002 7FFFFFFF 00 = 00000002 00 -45 069 00000002 7FFFFFFF 01 = 00000002 01 -45 06A 00000002 7FFFFFFF 10 = 00000002 10 -45 06B 00000002 7FFFFFFF 11 = 00000002 11 +45 069 00000002 7FFFFFFF 01 = 00000002 00 +45 06A 00000002 7FFFFFFF 10 = 00000002 00 +45 06B 00000002 7FFFFFFF 11 = 00000002 00 45 06C 7FFFFFFF 7FFFFFFF 00 = 7FFFFFFF 00 -45 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 01 -45 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 10 -45 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 11 -45 070 80000000 7FFFFFFF 00 = 80000000 00 -45 071 80000000 7FFFFFFF 01 = 80000000 01 +45 06D 7FFFFFFF 7FFFFFFF 01 = 7FFFFFFF 00 +45 06E 7FFFFFFF 7FFFFFFF 10 = 7FFFFFFF 00 +45 06F 7FFFFFFF 7FFFFFFF 11 = 7FFFFFFF 00 +45 070 80000000 7FFFFFFF 00 = 80000000 10 +45 071 80000000 7FFFFFFF 01 = 80000000 10 45 072 80000000 7FFFFFFF 10 = 80000000 10 -45 073 80000000 7FFFFFFF 11 = 80000000 11 -45 074 80000001 7FFFFFFF 00 = 80000001 00 -45 075 80000001 7FFFFFFF 01 = 80000001 01 +45 073 80000000 7FFFFFFF 11 = 80000000 10 +45 074 80000001 7FFFFFFF 00 = 80000001 10 +45 075 80000001 7FFFFFFF 01 = 80000001 10 45 076 80000001 7FFFFFFF 10 = 80000001 10 -45 077 80000001 7FFFFFFF 11 = 80000001 11 -45 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 00 -45 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 01 +45 077 80000001 7FFFFFFF 11 = 80000001 10 +45 078 FFFFFFFE 7FFFFFFF 00 = FFFFFFFE 10 +45 079 FFFFFFFE 7FFFFFFF 01 = FFFFFFFE 10 45 07A FFFFFFFE 7FFFFFFF 10 = FFFFFFFE 10 -45 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 11 -45 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 00 -45 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 01 +45 07B FFFFFFFE 7FFFFFFF 11 = FFFFFFFE 10 +45 07C FFFFFFFF 7FFFFFFF 00 = FFFFFFFF 10 +45 07D FFFFFFFF 7FFFFFFF 01 = FFFFFFFF 10 45 07E FFFFFFFF 7FFFFFFF 10 = FFFFFFFF 10 -45 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 11 -45 080 00000000 80000000 00 = 00000000 00 +45 07F FFFFFFFF 7FFFFFFF 11 = FFFFFFFF 10 +45 080 00000000 80000000 00 = 00000000 01 45 081 00000000 80000000 01 = 00000000 01 -45 082 00000000 80000000 10 = 00000000 10 -45 083 00000000 80000000 11 = 00000000 11 -45 084 00000001 80000000 00 = FFFFFFFF 00 -45 085 00000001 80000000 01 = FFFFFFFF 01 +45 082 00000000 80000000 10 = 00000000 01 +45 083 00000000 80000000 11 = 00000000 01 +45 084 00000001 80000000 00 = FFFFFFFF 10 +45 085 00000001 80000000 01 = FFFFFFFF 10 45 086 00000001 80000000 10 = FFFFFFFF 10 -45 087 00000001 80000000 11 = FFFFFFFF 11 -45 088 00000002 80000000 00 = 00000000 00 +45 087 00000001 80000000 11 = FFFFFFFF 10 +45 088 00000002 80000000 00 = 00000000 01 45 089 00000002 80000000 01 = 00000000 01 -45 08A 00000002 80000000 10 = 00000000 10 -45 08B 00000002 80000000 11 = 00000000 11 -45 08C 7FFFFFFF 80000000 00 = FFFFFFFF 00 -45 08D 7FFFFFFF 80000000 01 = FFFFFFFF 01 +45 08A 00000002 80000000 10 = 00000000 01 +45 08B 00000002 80000000 11 = 00000000 01 +45 08C 7FFFFFFF 80000000 00 = FFFFFFFF 10 +45 08D 7FFFFFFF 80000000 01 = FFFFFFFF 10 45 08E 7FFFFFFF 80000000 10 = FFFFFFFF 10 -45 08F 7FFFFFFF 80000000 11 = FFFFFFFF 11 -45 090 80000000 80000000 00 = 00000000 00 +45 08F 7FFFFFFF 80000000 11 = FFFFFFFF 10 +45 090 80000000 80000000 00 = 00000000 01 45 091 80000000 80000000 01 = 00000000 01 -45 092 80000000 80000000 10 = 00000000 10 -45 093 80000000 80000000 11 = 00000000 11 -45 094 80000001 80000000 00 = FFFFFFFF 00 -45 095 80000001 80000000 01 = FFFFFFFF 01 +45 092 80000000 80000000 10 = 00000000 01 +45 093 80000000 80000000 11 = 00000000 01 +45 094 80000001 80000000 00 = FFFFFFFF 10 +45 095 80000001 80000000 01 = FFFFFFFF 10 45 096 80000001 80000000 10 = FFFFFFFF 10 -45 097 80000001 80000000 11 = FFFFFFFF 11 -45 098 FFFFFFFE 80000000 00 = 00000000 00 +45 097 80000001 80000000 11 = FFFFFFFF 10 +45 098 FFFFFFFE 80000000 00 = 00000000 01 45 099 FFFFFFFE 80000000 01 = 00000000 01 -45 09A FFFFFFFE 80000000 10 = 00000000 10 -45 09B FFFFFFFE 80000000 11 = 00000000 11 -45 09C FFFFFFFF 80000000 00 = FFFFFFFF 00 -45 09D FFFFFFFF 80000000 01 = FFFFFFFF 01 +45 09A FFFFFFFE 80000000 10 = 00000000 01 +45 09B FFFFFFFE 80000000 11 = 00000000 01 +45 09C FFFFFFFF 80000000 00 = FFFFFFFF 10 +45 09D FFFFFFFF 80000000 01 = FFFFFFFF 10 45 09E FFFFFFFF 80000000 10 = FFFFFFFF 10 -45 09F FFFFFFFF 80000000 11 = FFFFFFFF 11 -45 0A0 00000000 80000001 00 = 00000000 00 +45 09F FFFFFFFF 80000000 11 = FFFFFFFF 10 +45 0A0 00000000 80000001 00 = 00000000 01 45 0A1 00000000 80000001 01 = 00000000 01 -45 0A2 00000000 80000001 10 = 00000000 10 -45 0A3 00000000 80000001 11 = 00000000 11 +45 0A2 00000000 80000001 10 = 00000000 01 +45 0A3 00000000 80000001 11 = 00000000 01 45 0A4 00000001 80000001 00 = 00000001 00 -45 0A5 00000001 80000001 01 = 00000001 01 -45 0A6 00000001 80000001 10 = 00000001 10 -45 0A7 00000001 80000001 11 = 00000001 11 -45 0A8 00000002 80000001 00 = FFFFFFFE 00 -45 0A9 00000002 80000001 01 = FFFFFFFE 01 +45 0A5 00000001 80000001 01 = 00000001 00 +45 0A6 00000001 80000001 10 = 00000001 00 +45 0A7 00000001 80000001 11 = 00000001 00 +45 0A8 00000002 80000001 00 = FFFFFFFE 10 +45 0A9 00000002 80000001 01 = FFFFFFFE 10 45 0AA 00000002 80000001 10 = FFFFFFFE 10 -45 0AB 00000002 80000001 11 = FFFFFFFE 11 -45 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 00 -45 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 01 +45 0AB 00000002 80000001 11 = FFFFFFFE 10 +45 0AC 7FFFFFFF 80000001 00 = FFFFFFFF 10 +45 0AD 7FFFFFFF 80000001 01 = FFFFFFFF 10 45 0AE 7FFFFFFF 80000001 10 = FFFFFFFF 10 -45 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 11 -45 0B0 80000000 80000001 00 = 00000000 00 +45 0AF 7FFFFFFF 80000001 11 = FFFFFFFF 10 +45 0B0 80000000 80000001 00 = 00000000 01 45 0B1 80000000 80000001 01 = 00000000 01 -45 0B2 80000000 80000001 10 = 00000000 10 -45 0B3 80000000 80000001 11 = 00000000 11 +45 0B2 80000000 80000001 10 = 00000000 01 +45 0B3 80000000 80000001 11 = 00000000 01 45 0B4 80000001 80000001 00 = 00000001 00 -45 0B5 80000001 80000001 01 = 00000001 01 -45 0B6 80000001 80000001 10 = 00000001 10 -45 0B7 80000001 80000001 11 = 00000001 11 -45 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 00 -45 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 01 +45 0B5 80000001 80000001 01 = 00000001 00 +45 0B6 80000001 80000001 10 = 00000001 00 +45 0B7 80000001 80000001 11 = 00000001 00 +45 0B8 FFFFFFFE 80000001 00 = FFFFFFFE 10 +45 0B9 FFFFFFFE 80000001 01 = FFFFFFFE 10 45 0BA FFFFFFFE 80000001 10 = FFFFFFFE 10 -45 0BB FFFFFFFE 80000001 11 = FFFFFFFE 11 -45 0BC FFFFFFFF 80000001 00 = FFFFFFFF 00 -45 0BD FFFFFFFF 80000001 01 = FFFFFFFF 01 +45 0BB FFFFFFFE 80000001 11 = FFFFFFFE 10 +45 0BC FFFFFFFF 80000001 00 = FFFFFFFF 10 +45 0BD FFFFFFFF 80000001 01 = FFFFFFFF 10 45 0BE FFFFFFFF 80000001 10 = FFFFFFFF 10 -45 0BF FFFFFFFF 80000001 11 = FFFFFFFF 11 -45 0C0 00000000 FFFFFFFE 00 = 00000000 00 +45 0BF FFFFFFFF 80000001 11 = FFFFFFFF 10 +45 0C0 00000000 FFFFFFFE 00 = 00000000 01 45 0C1 00000000 FFFFFFFE 01 = 00000000 01 -45 0C2 00000000 FFFFFFFE 10 = 00000000 10 -45 0C3 00000000 FFFFFFFE 11 = 00000000 11 +45 0C2 00000000 FFFFFFFE 10 = 00000000 01 +45 0C3 00000000 FFFFFFFE 11 = 00000000 01 45 0C4 00000001 FFFFFFFE 00 = 00000001 00 -45 0C5 00000001 FFFFFFFE 01 = 00000001 01 -45 0C6 00000001 FFFFFFFE 10 = 00000001 10 -45 0C7 00000001 FFFFFFFE 11 = 00000001 11 +45 0C5 00000001 FFFFFFFE 01 = 00000001 00 +45 0C6 00000001 FFFFFFFE 10 = 00000001 00 +45 0C7 00000001 FFFFFFFE 11 = 00000001 00 45 0C8 00000002 FFFFFFFE 00 = 00000002 00 -45 0C9 00000002 FFFFFFFE 01 = 00000002 01 -45 0CA 00000002 FFFFFFFE 10 = 00000002 10 -45 0CB 00000002 FFFFFFFE 11 = 00000002 11 -45 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 00 -45 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +45 0C9 00000002 FFFFFFFE 01 = 00000002 00 +45 0CA 00000002 FFFFFFFE 10 = 00000002 00 +45 0CB 00000002 FFFFFFFE 11 = 00000002 00 +45 0CC 7FFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +45 0CD 7FFFFFFF FFFFFFFE 01 = FFFFFFFF 10 45 0CE 7FFFFFFF FFFFFFFE 10 = FFFFFFFF 10 -45 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 11 -45 0D0 80000000 FFFFFFFE 00 = 00000000 00 +45 0CF 7FFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +45 0D0 80000000 FFFFFFFE 00 = 00000000 01 45 0D1 80000000 FFFFFFFE 01 = 00000000 01 -45 0D2 80000000 FFFFFFFE 10 = 00000000 10 -45 0D3 80000000 FFFFFFFE 11 = 00000000 11 +45 0D2 80000000 FFFFFFFE 10 = 00000000 01 +45 0D3 80000000 FFFFFFFE 11 = 00000000 01 45 0D4 80000001 FFFFFFFE 00 = 00000001 00 -45 0D5 80000001 FFFFFFFE 01 = 00000001 01 -45 0D6 80000001 FFFFFFFE 10 = 00000001 10 -45 0D7 80000001 FFFFFFFE 11 = 00000001 11 -45 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 00 -45 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 01 +45 0D5 80000001 FFFFFFFE 01 = 00000001 00 +45 0D6 80000001 FFFFFFFE 10 = 00000001 00 +45 0D7 80000001 FFFFFFFE 11 = 00000001 00 +45 0D8 FFFFFFFE FFFFFFFE 00 = FFFFFFFE 10 +45 0D9 FFFFFFFE FFFFFFFE 01 = FFFFFFFE 10 45 0DA FFFFFFFE FFFFFFFE 10 = FFFFFFFE 10 -45 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 11 -45 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 00 -45 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 01 +45 0DB FFFFFFFE FFFFFFFE 11 = FFFFFFFE 10 +45 0DC FFFFFFFF FFFFFFFE 00 = FFFFFFFF 10 +45 0DD FFFFFFFF FFFFFFFE 01 = FFFFFFFF 10 45 0DE FFFFFFFF FFFFFFFE 10 = FFFFFFFF 10 -45 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 11 -45 0E0 00000000 FFFFFFFF 00 = 00000000 00 +45 0DF FFFFFFFF FFFFFFFE 11 = FFFFFFFF 10 +45 0E0 00000000 FFFFFFFF 00 = 00000000 01 45 0E1 00000000 FFFFFFFF 01 = 00000000 01 -45 0E2 00000000 FFFFFFFF 10 = 00000000 10 -45 0E3 00000000 FFFFFFFF 11 = 00000000 11 +45 0E2 00000000 FFFFFFFF 10 = 00000000 01 +45 0E3 00000000 FFFFFFFF 11 = 00000000 01 45 0E4 00000001 FFFFFFFF 00 = 00000001 00 -45 0E5 00000001 FFFFFFFF 01 = 00000001 01 -45 0E6 00000001 FFFFFFFF 10 = 00000001 10 -45 0E7 00000001 FFFFFFFF 11 = 00000001 11 +45 0E5 00000001 FFFFFFFF 01 = 00000001 00 +45 0E6 00000001 FFFFFFFF 10 = 00000001 00 +45 0E7 00000001 FFFFFFFF 11 = 00000001 00 45 0E8 00000002 FFFFFFFF 00 = 00000002 00 -45 0E9 00000002 FFFFFFFF 01 = 00000002 01 -45 0EA 00000002 FFFFFFFF 10 = 00000002 10 -45 0EB 00000002 FFFFFFFF 11 = 00000002 11 +45 0E9 00000002 FFFFFFFF 01 = 00000002 00 +45 0EA 00000002 FFFFFFFF 10 = 00000002 00 +45 0EB 00000002 FFFFFFFF 11 = 00000002 00 45 0EC 7FFFFFFF FFFFFFFF 00 = 7FFFFFFF 00 -45 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 01 -45 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 10 -45 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 11 -45 0F0 80000000 FFFFFFFF 00 = 80000000 00 -45 0F1 80000000 FFFFFFFF 01 = 80000000 01 +45 0ED 7FFFFFFF FFFFFFFF 01 = 7FFFFFFF 00 +45 0EE 7FFFFFFF FFFFFFFF 10 = 7FFFFFFF 00 +45 0EF 7FFFFFFF FFFFFFFF 11 = 7FFFFFFF 00 +45 0F0 80000000 FFFFFFFF 00 = 80000000 10 +45 0F1 80000000 FFFFFFFF 01 = 80000000 10 45 0F2 80000000 FFFFFFFF 10 = 80000000 10 -45 0F3 80000000 FFFFFFFF 11 = 80000000 11 -45 0F4 80000001 FFFFFFFF 00 = 80000001 00 -45 0F5 80000001 FFFFFFFF 01 = 80000001 01 +45 0F3 80000000 FFFFFFFF 11 = 80000000 10 +45 0F4 80000001 FFFFFFFF 00 = 80000001 10 +45 0F5 80000001 FFFFFFFF 01 = 80000001 10 45 0F6 80000001 FFFFFFFF 10 = 80000001 10 -45 0F7 80000001 FFFFFFFF 11 = 80000001 11 -45 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 00 -45 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 01 +45 0F7 80000001 FFFFFFFF 11 = 80000001 10 +45 0F8 FFFFFFFE FFFFFFFF 00 = FFFFFFFE 10 +45 0F9 FFFFFFFE FFFFFFFF 01 = FFFFFFFE 10 45 0FA FFFFFFFE FFFFFFFF 10 = FFFFFFFE 10 -45 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 11 -45 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 00 -45 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 01 +45 0FB FFFFFFFE FFFFFFFF 11 = FFFFFFFE 10 +45 0FC FFFFFFFF FFFFFFFF 00 = FFFFFFFF 10 +45 0FD FFFFFFFF FFFFFFFF 01 = FFFFFFFF 10 45 0FE FFFFFFFF FFFFFFFF 10 = FFFFFFFF 10 -45 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 11 +45 0FF FFFFFFFF FFFFFFFF 11 = FFFFFFFF 10 movbyts ---D---- ---S---- CZ = ---Q---- CZ 46 000 00000000 00000000 00 = 00000000 00 46 001 00000000 00000000 01 = 00000000 01 diff --git a/verify/testhdwq.txt b/verify/testhdwq.txt index 667709b..84b06f4 100755 --- a/verify/testhdwq.txt +++ b/verify/testhdwq.txt @@ -2194,1028 +2194,1028 @@ mixpix 80000001 FFFFFFFF 00 FFFFFFFF = BFFFFFFE 00 00000000 00000000 mixpix FFFFFFFE FFFFFFFF 00 FFFFFFFF = 00000002 00 00000000 00000000 mixpix FFFFFFFF FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- -sclu 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000001 00000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000002 00000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000000 00000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000001 00000000 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000000 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000000 00000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000001 00000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000002 00000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000000 00000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000001 00000001 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000001 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000000 00000002 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000001 00000002 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000002 00000002 00 00000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000000 00000002 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000001 00000002 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000002 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000002 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000000 80000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000001 80000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000002 80000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000000 80000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000001 80000000 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000000 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000000 80000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000001 80000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000002 80000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000000 80000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000001 80000001 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000001 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000002 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000002 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 80000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -sclu 00000000 00000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000001 00000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000002 00000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000000 00000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000001 00000000 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000000 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000000 00000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000001 00000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000002 00000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000000 00000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000001 00000001 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000001 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000000 00000002 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000001 00000002 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000002 00000002 00 00000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000000 00000002 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000001 00000002 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000002 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000000 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000001 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000002 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000000 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000001 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000000 80000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000001 80000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000002 80000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000000 80000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000001 80000000 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000000 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000000 80000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000001 80000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000002 80000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000000 80000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000001 80000001 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000001 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000001 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000002 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000000 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000001 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000001 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000002 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000000 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 80000001 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 -sclu 00000000 00000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000001 00000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000002 00000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 80000000 00000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 80000001 00000000 00 00000002 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000000 00 00000002 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000000 00000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000001 00000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000002 00000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 80000000 00000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 80000001 00000001 00 00000002 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000001 00 00000002 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000000 00000002 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000001 00000002 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000002 00000002 00 00000002 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 -sclu 80000000 00000002 00 00000002 = 00000000 00 00000000 00000000 -sclu 80000001 00000002 00 00000002 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000002 00 00000002 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000000 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 00000001 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 00000002 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 7FFFFFFF 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 80000000 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 80000001 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu FFFFFFFE 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu FFFFFFFF 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 00000000 80000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000001 80000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000002 80000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 80000000 80000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 80000001 80000000 00 00000002 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000000 00 00000002 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000000 80000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000001 80000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000002 80000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 80000000 80000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 80000001 80000001 00 00000002 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000001 00 00000002 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 -sclu 00000001 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 -sclu 00000002 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 -sclu 80000000 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 -sclu 80000001 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 -sclu 00000000 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 00000001 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 00000002 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 80000000 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 80000001 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 -sclu 00000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000002 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 80000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 80000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000000 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000001 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000002 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 80000000 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 80000001 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000000 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 -sclu 00000001 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 -sclu 00000002 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 -sclu 7FFFFFFF 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 -sclu 80000000 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 -sclu 80000001 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 -sclu FFFFFFFE 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 -sclu FFFFFFFF 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 -sclu 00000000 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000001 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000002 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 7FFFFFFF 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 80000000 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 80000001 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu FFFFFFFE 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu FFFFFFFF 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000002 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 80000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 80000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000000 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000001 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000002 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 80000000 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 80000001 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 00000001 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 00000002 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 80000000 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 80000001 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 00000000 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000001 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000002 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 80000000 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 80000001 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000000 00000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000001 00000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000002 00000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000000 00000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000001 00000000 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000000 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000000 00000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000001 00000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000002 00000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000000 00000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000001 00000001 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000001 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000000 00000002 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000001 00000002 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000002 00000002 00 80000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000000 00000002 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000001 00000002 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000002 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000002 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000000 80000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000001 80000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000002 80000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000000 80000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000001 80000000 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000000 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000000 80000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000001 80000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000002 80000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000000 80000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000001 80000001 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000001 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000002 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000002 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 80000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -sclu 00000000 00000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000001 00000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000002 00000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000000 00000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000001 00000000 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000000 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000000 00000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000001 00000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000002 00000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000000 00000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000001 00000001 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000001 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000000 00000002 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000001 00000002 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000002 00000002 00 80000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000000 00000002 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000001 00000002 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000002 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000000 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000001 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000002 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000000 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000001 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000000 80000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000001 80000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000002 80000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000000 80000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000001 80000000 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000000 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000000 80000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000001 80000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000002 80000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000000 80000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000001 80000001 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000001 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000001 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000002 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000000 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000001 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000001 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000002 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000000 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 80000001 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 -sclu 00000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000002 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 80000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 80000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000000 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000001 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000002 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 80000000 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 80000001 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000000 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 -sclu 00000001 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 -sclu 00000002 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 -sclu 7FFFFFFF 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 -sclu 80000000 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 -sclu 80000001 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 -sclu FFFFFFFE 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 -sclu FFFFFFFF 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 -sclu 00000000 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 00000001 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 00000002 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 7FFFFFFF 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 80000000 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 80000001 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu FFFFFFFE 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu FFFFFFFF 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 00000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000002 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 80000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 80000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000000 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000001 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000002 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 80000000 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 80000001 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 -sclu 00000001 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 -sclu 00000002 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 -sclu 80000000 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 -sclu 80000001 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 -sclu 00000000 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 00000001 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 00000002 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 80000000 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 80000001 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 -sclu 00000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000002 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 80000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 80000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000000 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000001 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000002 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 80000000 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 80000001 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFE 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFF 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000000 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 -sclu 00000001 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 -sclu 00000002 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 -sclu 7FFFFFFF 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 -sclu 80000000 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 -sclu 80000001 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 -sclu FFFFFFFE 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 -sclu FFFFFFFF 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 -sclu 00000000 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000001 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000002 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 7FFFFFFF 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 80000000 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 80000001 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu FFFFFFFE 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu FFFFFFFF 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000002 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 80000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 80000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000000 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000001 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000002 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 7FFFFFFF 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 80000000 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 80000001 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFE 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu FFFFFFFF 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 -sclu 00000000 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 00000001 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 00000002 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 80000000 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 80000001 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 -sclu 00000000 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000001 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 00000002 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 7FFFFFFF FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 80000000 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu 80000001 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu FFFFFFFE FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 -sclu FFFFFFFF FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +sca 00000001 00000000 00 00000000 = 00000000 00 00000000 00000000 +sca 00000002 00000000 00 00000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 +sca 80000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +sca 80000001 00000000 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000000 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 +sca 00000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +sca 00000001 00000001 00 00000000 = 00000000 00 00000000 00000000 +sca 00000002 00000001 00 00000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 +sca 80000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +sca 80000001 00000001 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000001 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 +sca 00000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +sca 00000001 00000002 00 00000000 = 00000000 00 00000000 00000000 +sca 00000002 00000002 00 00000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 +sca 80000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +sca 80000001 00000002 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000002 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 +sca 00000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 00000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 00000002 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 80000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 80000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 00000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +sca 00000001 80000000 00 00000000 = 00000000 00 00000000 00000000 +sca 00000002 80000000 00 00000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 +sca 80000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +sca 80000001 80000000 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000000 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 +sca 00000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +sca 00000001 80000001 00 00000000 = 00000000 00 00000000 00000000 +sca 00000002 80000001 00 00000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 +sca 80000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +sca 80000001 80000001 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000001 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sca 00000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sca 00000002 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sca 80000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sca 80000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 00000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 00000002 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 80000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 80000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +sca 00000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +sca 00000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +sca 00000002 00000000 00 00000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 +sca 80000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +sca 80000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000000 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 +sca 00000000 00000001 00 00000001 = 00000000 00 00000000 00000000 +sca 00000001 00000001 00 00000001 = 00000000 00 00000000 00000000 +sca 00000002 00000001 00 00000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 +sca 80000000 00000001 00 00000001 = 00000000 00 00000000 00000000 +sca 80000001 00000001 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000001 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 +sca 00000000 00000002 00 00000001 = 00000000 00 00000000 00000000 +sca 00000001 00000002 00 00000001 = 00000000 00 00000000 00000000 +sca 00000002 00000002 00 00000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 +sca 80000000 00000002 00 00000001 = 00000000 00 00000000 00000000 +sca 80000001 00000002 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000002 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 +sca 00000000 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 00000001 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 00000002 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 80000000 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 80000001 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 7FFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 00000000 80000000 00 00000001 = 00000000 00 00000000 00000000 +sca 00000001 80000000 00 00000001 = 00000000 00 00000000 00000000 +sca 00000002 80000000 00 00000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 +sca 80000000 80000000 00 00000001 = 00000000 00 00000000 00000000 +sca 80000001 80000000 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000000 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 +sca 00000000 80000001 00 00000001 = 00000000 00 00000000 00000000 +sca 00000001 80000001 00 00000001 = 00000000 00 00000000 00000000 +sca 00000002 80000001 00 00000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 +sca 80000000 80000001 00 00000001 = 00000000 00 00000000 00000000 +sca 80000001 80000001 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000001 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sca 00000001 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sca 00000002 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sca 80000000 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sca 80000001 FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF FFFFFFFE 00 00000001 = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 00000001 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 00000002 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 80000000 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 80000001 FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF FFFFFFFF 00 00000001 = 00000000 00 00000000 00000000 +sca 00000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +sca 00000001 00000000 00 00000002 = 00000000 00 00000000 00000000 +sca 00000002 00000000 00 00000002 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 +sca 80000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +sca 80000001 00000000 00 00000002 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000000 00 00000002 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 +sca 00000000 00000001 00 00000002 = 00000000 00 00000000 00000000 +sca 00000001 00000001 00 00000002 = 00000000 00 00000000 00000000 +sca 00000002 00000001 00 00000002 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 +sca 80000000 00000001 00 00000002 = 00000000 00 00000000 00000000 +sca 80000001 00000001 00 00000002 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000001 00 00000002 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 +sca 00000000 00000002 00 00000002 = 00000000 00 00000000 00000000 +sca 00000001 00000002 00 00000002 = 00000000 00 00000000 00000000 +sca 00000002 00000002 00 00000002 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 +sca 80000000 00000002 00 00000002 = 00000000 00 00000000 00000000 +sca 80000001 00000002 00 00000002 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000002 00 00000002 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 +sca 00000000 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 00000001 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 00000002 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 7FFFFFFF 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 80000000 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 80000001 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca FFFFFFFE 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca FFFFFFFF 7FFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 00000000 80000000 00 00000002 = 00000000 00 00000000 00000000 +sca 00000001 80000000 00 00000002 = 00000000 00 00000000 00000000 +sca 00000002 80000000 00 00000002 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 +sca 80000000 80000000 00 00000002 = 00000000 00 00000000 00000000 +sca 80000001 80000000 00 00000002 = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000000 00 00000002 = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 +sca 00000000 80000001 00 00000002 = 00000000 00 00000000 00000000 +sca 00000001 80000001 00 00000002 = 00000000 00 00000000 00000000 +sca 00000002 80000001 00 00000002 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 +sca 80000000 80000001 00 00000002 = 00000000 00 00000000 00000000 +sca 80000001 80000001 00 00000002 = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000001 00 00000002 = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sca 00000001 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sca 00000002 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sca 80000000 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sca 80000001 FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sca FFFFFFFE FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sca FFFFFFFF FFFFFFFE 00 00000002 = 00000001 00 00000000 00000000 +sca 00000000 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 00000001 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 00000002 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 80000000 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 80000001 FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca FFFFFFFE FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca FFFFFFFF FFFFFFFF 00 00000002 = 00000001 00 00000000 00000000 +sca 00000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000002 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 80000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 80000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000000 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000001 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000002 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 80000000 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 80000001 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000000 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sca 00000001 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sca 00000002 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sca 7FFFFFFF 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sca 80000000 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sca 80000001 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sca FFFFFFFE 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sca FFFFFFFF 00000002 00 7FFFFFFF = 00000001 00 00000000 00000000 +sca 00000000 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000001 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000002 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 7FFFFFFF 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 80000000 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 80000001 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca FFFFFFFE 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca FFFFFFFF 7FFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000002 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 80000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 80000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000000 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000001 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000002 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 80000000 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 80000001 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000001 00 7FFFFFFF = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sca 00000001 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sca 00000002 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sca 80000000 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sca 80000001 FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sca FFFFFFFE FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sca FFFFFFFF FFFFFFFE 00 7FFFFFFF = 0000FFFD 00 00000000 00000000 +sca 00000000 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000001 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000002 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 80000000 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 80000001 FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca FFFFFFFE FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca FFFFFFFF FFFFFFFF 00 7FFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +sca 00000001 00000000 00 80000000 = 00000000 00 00000000 00000000 +sca 00000002 00000000 00 80000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 +sca 80000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +sca 80000001 00000000 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000000 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 +sca 00000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +sca 00000001 00000001 00 80000000 = 00000000 00 00000000 00000000 +sca 00000002 00000001 00 80000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 +sca 80000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +sca 80000001 00000001 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000001 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 +sca 00000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +sca 00000001 00000002 00 80000000 = 00000000 00 00000000 00000000 +sca 00000002 00000002 00 80000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 +sca 80000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +sca 80000001 00000002 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000002 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 +sca 00000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 00000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 00000002 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 80000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 80000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 00000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +sca 00000001 80000000 00 80000000 = 00000000 00 00000000 00000000 +sca 00000002 80000000 00 80000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 +sca 80000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +sca 80000001 80000000 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000000 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 +sca 00000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +sca 00000001 80000001 00 80000000 = 00000000 00 00000000 00000000 +sca 00000002 80000001 00 80000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 +sca 80000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +sca 80000001 80000001 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000001 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sca 00000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sca 00000002 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sca 80000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sca 80000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 00000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 00000002 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 80000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 80000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFE FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca FFFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +sca 00000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +sca 00000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +sca 00000002 00000000 00 80000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 +sca 80000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +sca 80000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000000 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 +sca 00000000 00000001 00 80000001 = 00000000 00 00000000 00000000 +sca 00000001 00000001 00 80000001 = 00000000 00 00000000 00000000 +sca 00000002 00000001 00 80000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 +sca 80000000 00000001 00 80000001 = 00000000 00 00000000 00000000 +sca 80000001 00000001 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000001 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 +sca 00000000 00000002 00 80000001 = 00000000 00 00000000 00000000 +sca 00000001 00000002 00 80000001 = 00000000 00 00000000 00000000 +sca 00000002 00000002 00 80000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 +sca 80000000 00000002 00 80000001 = 00000000 00 00000000 00000000 +sca 80000001 00000002 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000002 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 +sca 00000000 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 00000001 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 00000002 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 80000000 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 80000001 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 7FFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 00000000 80000000 00 80000001 = 00000000 00 00000000 00000000 +sca 00000001 80000000 00 80000001 = 00000000 00 00000000 00000000 +sca 00000002 80000000 00 80000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 +sca 80000000 80000000 00 80000001 = 00000000 00 00000000 00000000 +sca 80000001 80000000 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000000 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 +sca 00000000 80000001 00 80000001 = 00000000 00 00000000 00000000 +sca 00000001 80000001 00 80000001 = 00000000 00 00000000 00000000 +sca 00000002 80000001 00 80000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 +sca 80000000 80000001 00 80000001 = 00000000 00 00000000 00000000 +sca 80000001 80000001 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000001 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sca 00000001 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sca 00000002 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sca 80000000 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sca 80000001 FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF FFFFFFFE 00 80000001 = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 00000001 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 00000002 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 80000000 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 80000001 FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFE FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca FFFFFFFF FFFFFFFF 00 80000001 = 00000000 00 00000000 00000000 +sca 00000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000002 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 80000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 80000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000000 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000001 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000002 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 80000000 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 80000001 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000000 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sca 00000001 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sca 00000002 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sca 7FFFFFFF 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sca 80000000 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sca 80000001 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sca FFFFFFFE 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sca FFFFFFFF 00000002 00 FFFFFFFE = 00000001 00 00000000 00000000 +sca 00000000 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 00000001 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 00000002 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 7FFFFFFF 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 80000000 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 80000001 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca FFFFFFFE 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca FFFFFFFF 7FFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 00000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000002 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 80000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 80000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000000 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000001 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000002 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 80000000 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 80000001 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000001 00 FFFFFFFE = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sca 00000001 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sca 00000002 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sca 80000000 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sca 80000001 FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sca FFFFFFFE FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sca FFFFFFFF FFFFFFFE 00 FFFFFFFE = 0000FFFC 00 00000000 00000000 +sca 00000000 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 00000001 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 00000002 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 80000000 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 80000001 FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca FFFFFFFE FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca FFFFFFFF FFFFFFFF 00 FFFFFFFE = 0000FFFD 00 00000000 00000000 +sca 00000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000002 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 80000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 80000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000000 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000001 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000002 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 7FFFFFFF 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 80000000 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 80000001 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFE 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFF 00000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000000 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sca 00000001 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sca 00000002 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sca 7FFFFFFF 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sca 80000000 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sca 80000001 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sca FFFFFFFE 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sca FFFFFFFF 00000002 00 FFFFFFFF = 00000001 00 00000000 00000000 +sca 00000000 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000001 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000002 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 7FFFFFFF 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 80000000 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 80000001 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca FFFFFFFE 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca FFFFFFFF 7FFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000002 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 80000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 80000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000000 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000001 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000002 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 7FFFFFFF 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 80000000 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 80000001 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFE 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca FFFFFFFF 80000001 00 FFFFFFFF = 00000000 00 00000000 00000000 +sca 00000000 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sca 00000001 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sca 00000002 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sca 80000000 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sca 80000001 FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sca FFFFFFFE FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sca FFFFFFFF FFFFFFFE 00 FFFFFFFF = 0000FFFD 00 00000000 00000000 +sca 00000000 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000001 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 00000002 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 7FFFFFFF FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 80000000 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca 80000001 FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca FFFFFFFE FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 +sca FFFFFFFF FFFFFFFF 00 FFFFFFFF = 0000FFFE 00 00000000 00000000 instr ---D---- ---S---- CZ ---Q---- = ---R---- CZ ---X---- ---Y---- -scl 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 -scl 00000001 00000000 00 00000000 = 00000000 00 00000000 00000000 -scl 00000002 00000000 00 00000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 -scl 80000000 00000000 00 00000000 = 00000000 00 00000000 00000000 -scl 80000001 00000000 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000000 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 -scl 00000000 00000001 00 00000000 = 00000000 00 00000000 00000000 -scl 00000001 00000001 00 00000000 = 00000000 00 00000000 00000000 -scl 00000002 00000001 00 00000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 -scl 80000000 00000001 00 00000000 = 00000000 00 00000000 00000000 -scl 80000001 00000001 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000001 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 -scl 00000000 00000002 00 00000000 = 00000000 00 00000000 00000000 -scl 00000001 00000002 00 00000000 = 00000000 00 00000000 00000000 -scl 00000002 00000002 00 00000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 -scl 80000000 00000002 00 00000000 = 00000000 00 00000000 00000000 -scl 80000001 00000002 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000002 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 -scl 00000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 00000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 00000002 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 80000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 80000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 00000000 80000000 00 00000000 = 00000000 00 00000000 00000000 -scl 00000001 80000000 00 00000000 = 00000000 00 00000000 00000000 -scl 00000002 80000000 00 00000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 -scl 80000000 80000000 00 00000000 = 00000000 00 00000000 00000000 -scl 80000001 80000000 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000000 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 -scl 00000000 80000001 00 00000000 = 00000000 00 00000000 00000000 -scl 00000001 80000001 00 00000000 = 00000000 00 00000000 00000000 -scl 00000002 80000001 00 00000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 -scl 80000000 80000001 00 00000000 = 00000000 00 00000000 00000000 -scl 80000001 80000001 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000001 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 -scl 00000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -scl 00000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -scl 00000002 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -scl 80000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -scl 80000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 -scl 00000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 00000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 00000002 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 80000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 80000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 -scl 00000000 00000000 00 00000001 = 00000000 00 00000000 00000000 -scl 00000001 00000000 00 00000001 = 00000000 00 00000000 00000000 -scl 00000002 00000000 00 00000001 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 -scl 80000000 00000000 00 00000001 = 00000000 00 00000000 00000000 -scl 80000001 00000000 00 00000001 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000000 00 00000001 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 -scl 00000000 00000001 00 00000001 = 00000000 00 00000000 00000000 -scl 00000001 00000001 00 00000001 = 00000000 00 00000000 00000000 -scl 00000002 00000001 00 00000001 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 -scl 80000000 00000001 00 00000001 = 00000000 00 00000000 00000000 -scl 80000001 00000001 00 00000001 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000001 00 00000001 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 -scl 00000000 00000002 00 00000001 = 00000000 00 00000000 00000000 -scl 00000001 00000002 00 00000001 = 00000000 00 00000000 00000000 -scl 00000002 00000002 00 00000001 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 -scl 80000000 00000002 00 00000001 = 00000000 00 00000000 00000000 -scl 80000001 00000002 00 00000001 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000002 00 00000001 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 -scl 00000000 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 00000001 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 00000002 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 80000000 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 80000001 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 00000000 80000000 00 00000001 = 00000000 00 00000000 00000000 -scl 00000001 80000000 00 00000001 = 00000000 00 00000000 00000000 -scl 00000002 80000000 00 00000001 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 -scl 80000000 80000000 00 00000001 = 00000000 00 00000000 00000000 -scl 80000001 80000000 00 00000001 = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000000 00 00000001 = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 -scl 00000000 80000001 00 00000001 = 00000000 00 00000000 00000000 -scl 00000001 80000001 00 00000001 = 00000000 00 00000000 00000000 -scl 00000002 80000001 00 00000001 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 -scl 80000000 80000001 00 00000001 = 00000000 00 00000000 00000000 -scl 80000001 80000001 00 00000001 = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000001 00 00000001 = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 -scl 00000000 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 00000001 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 00000002 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 80000000 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 80000001 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 00000000 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 00000001 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 00000002 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 80000000 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 80000001 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 -scl 00000000 00000000 00 00000002 = 00000000 00 00000000 00000000 -scl 00000001 00000000 00 00000002 = 00000000 00 00000000 00000000 -scl 00000002 00000000 00 00000002 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 -scl 80000000 00000000 00 00000002 = 00000000 00 00000000 00000000 -scl 80000001 00000000 00 00000002 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000000 00 00000002 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 -scl 00000000 00000001 00 00000002 = 00000000 00 00000000 00000000 -scl 00000001 00000001 00 00000002 = 00000000 00 00000000 00000000 -scl 00000002 00000001 00 00000002 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 -scl 80000000 00000001 00 00000002 = 00000000 00 00000000 00000000 -scl 80000001 00000001 00 00000002 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000001 00 00000002 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 -scl 00000000 00000002 00 00000002 = 00000000 00 00000000 00000000 -scl 00000001 00000002 00 00000002 = 00000000 00 00000000 00000000 -scl 00000002 00000002 00 00000002 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 -scl 80000000 00000002 00 00000002 = 00000000 00 00000000 00000000 -scl 80000001 00000002 00 00000002 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000002 00 00000002 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 -scl 00000000 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 00000001 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 00000002 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 80000000 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 80000001 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 00000000 80000000 00 00000002 = 00000000 00 00000000 00000000 -scl 00000001 80000000 00 00000002 = 00000000 00 00000000 00000000 -scl 00000002 80000000 00 00000002 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 -scl 80000000 80000000 00 00000002 = 00000000 00 00000000 00000000 -scl 80000001 80000000 00 00000002 = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000000 00 00000002 = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 -scl 00000000 80000001 00 00000002 = 00000000 00 00000000 00000000 -scl 00000001 80000001 00 00000002 = 00000000 00 00000000 00000000 -scl 00000002 80000001 00 00000002 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 -scl 80000000 80000001 00 00000002 = 00000000 00 00000000 00000000 -scl 80000001 80000001 00 00000002 = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000001 00 00000002 = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 -scl 00000000 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 00000001 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 00000002 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 80000000 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 80000001 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 00000000 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 00000001 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 00000002 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 80000000 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 80000001 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 -scl 00000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000002 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 80000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 80000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000000 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000001 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000002 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000000 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000001 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000000 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000001 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000002 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000000 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000001 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000000 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000001 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000002 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 7FFFFFFF 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 80000000 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 80000001 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFE 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFF 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000002 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 80000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 80000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000000 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000001 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000002 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000000 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000001 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000000 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000001 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000002 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 80000000 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 80000001 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFE FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFF FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000000 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000001 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000002 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 80000000 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 80000001 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFE FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFF FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 -scl 00000000 00000000 00 80000000 = 00000000 00 00000000 00000000 -scl 00000001 00000000 00 80000000 = 00000000 00 00000000 00000000 -scl 00000002 00000000 00 80000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 -scl 80000000 00000000 00 80000000 = 00000000 00 00000000 00000000 -scl 80000001 00000000 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000000 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 -scl 00000000 00000001 00 80000000 = 00000000 00 00000000 00000000 -scl 00000001 00000001 00 80000000 = 00000000 00 00000000 00000000 -scl 00000002 00000001 00 80000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 -scl 80000000 00000001 00 80000000 = 00000000 00 00000000 00000000 -scl 80000001 00000001 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000001 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 -scl 00000000 00000002 00 80000000 = 00000000 00 00000000 00000000 -scl 00000001 00000002 00 80000000 = 00000000 00 00000000 00000000 -scl 00000002 00000002 00 80000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 -scl 80000000 00000002 00 80000000 = 00000000 00 00000000 00000000 -scl 80000001 00000002 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000002 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 -scl 00000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 00000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 00000002 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 80000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 80000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 00000000 80000000 00 80000000 = 00000000 00 00000000 00000000 -scl 00000001 80000000 00 80000000 = 00000000 00 00000000 00000000 -scl 00000002 80000000 00 80000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 -scl 80000000 80000000 00 80000000 = 00000000 00 00000000 00000000 -scl 80000001 80000000 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000000 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 -scl 00000000 80000001 00 80000000 = 00000000 00 00000000 00000000 -scl 00000001 80000001 00 80000000 = 00000000 00 00000000 00000000 -scl 00000002 80000001 00 80000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 -scl 80000000 80000001 00 80000000 = 00000000 00 00000000 00000000 -scl 80000001 80000001 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000001 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 -scl 00000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -scl 00000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -scl 00000002 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -scl 80000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -scl 80000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 -scl 00000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 00000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 00000002 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 80000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 80000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFE FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl FFFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 -scl 00000000 00000000 00 80000001 = 00000000 00 00000000 00000000 -scl 00000001 00000000 00 80000001 = 00000000 00 00000000 00000000 -scl 00000002 00000000 00 80000001 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 -scl 80000000 00000000 00 80000001 = 00000000 00 00000000 00000000 -scl 80000001 00000000 00 80000001 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000000 00 80000001 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 -scl 00000000 00000001 00 80000001 = 00000000 00 00000000 00000000 -scl 00000001 00000001 00 80000001 = 00000000 00 00000000 00000000 -scl 00000002 00000001 00 80000001 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 -scl 80000000 00000001 00 80000001 = 00000000 00 00000000 00000000 -scl 80000001 00000001 00 80000001 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000001 00 80000001 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 -scl 00000000 00000002 00 80000001 = 00000000 00 00000000 00000000 -scl 00000001 00000002 00 80000001 = 00000000 00 00000000 00000000 -scl 00000002 00000002 00 80000001 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 -scl 80000000 00000002 00 80000001 = 00000000 00 00000000 00000000 -scl 80000001 00000002 00 80000001 = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000002 00 80000001 = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 -scl 00000000 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 00000001 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 00000002 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 80000000 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 80000001 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 00000000 80000000 00 80000001 = 00000000 00 00000000 00000000 -scl 00000001 80000000 00 80000001 = 00000000 00 00000000 00000000 -scl 00000002 80000000 00 80000001 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 -scl 80000000 80000000 00 80000001 = 00000000 00 00000000 00000000 -scl 80000001 80000000 00 80000001 = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000000 00 80000001 = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 -scl 00000000 80000001 00 80000001 = 00000000 00 00000000 00000000 -scl 00000001 80000001 00 80000001 = 00000000 00 00000000 00000000 -scl 00000002 80000001 00 80000001 = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 -scl 80000000 80000001 00 80000001 = 00000000 00 00000000 00000000 -scl 80000001 80000001 00 80000001 = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000001 00 80000001 = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 -scl 00000000 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 00000001 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 00000002 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 80000000 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 80000001 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 00000000 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 00000001 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 00000002 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 80000000 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 80000001 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 -scl 00000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000002 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 80000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 80000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000000 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 00000001 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 00000002 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 80000000 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 80000001 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 00000000 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 00000001 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 00000002 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 80000000 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 80000001 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 00000000 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000001 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000002 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 7FFFFFFF 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 80000000 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 80000001 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl FFFFFFFE 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl FFFFFFFF 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000002 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 80000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 80000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000000 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 00000001 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 00000002 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 80000000 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 80000001 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 -scl 00000000 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000001 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000002 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 80000000 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 80000001 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl FFFFFFFE FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl FFFFFFFF FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000000 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000001 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000002 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 80000000 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 80000001 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl FFFFFFFE FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl FFFFFFFF FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 -scl 00000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000002 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 7FFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 80000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 80000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFE 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000000 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000001 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000002 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000000 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000001 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000000 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000001 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000002 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000000 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000001 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000000 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000001 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000002 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 7FFFFFFF 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 80000000 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 80000001 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFE 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFF 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000002 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 7FFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 80000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 80000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFE 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000000 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000001 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000002 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 7FFFFFFF 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000000 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 80000001 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFE 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl FFFFFFFF 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 -scl 00000000 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000001 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000002 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 80000000 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 80000001 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFE FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFF FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000000 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000001 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 00000002 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 7FFFFFFF FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 80000000 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl 80000001 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFE FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 -scl FFFFFFFF FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +scas 00000001 00000000 00 00000000 = 00000000 00 00000000 00000000 +scas 00000002 00000000 00 00000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 +scas 80000000 00000000 00 00000000 = 00000000 00 00000000 00000000 +scas 80000001 00000000 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000000 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000000 00 00000000 = 00000000 00 00000000 00000000 +scas 00000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +scas 00000001 00000001 00 00000000 = 00000000 00 00000000 00000000 +scas 00000002 00000001 00 00000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 +scas 80000000 00000001 00 00000000 = 00000000 00 00000000 00000000 +scas 80000001 00000001 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000001 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000001 00 00000000 = 00000000 00 00000000 00000000 +scas 00000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +scas 00000001 00000002 00 00000000 = 00000000 00 00000000 00000000 +scas 00000002 00000002 00 00000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 +scas 80000000 00000002 00 00000000 = 00000000 00 00000000 00000000 +scas 80000001 00000002 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000002 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000002 00 00000000 = 00000000 00 00000000 00000000 +scas 00000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 00000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 00000002 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 80000000 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 80000001 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 7FFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 00000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +scas 00000001 80000000 00 00000000 = 00000000 00 00000000 00000000 +scas 00000002 80000000 00 00000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 +scas 80000000 80000000 00 00000000 = 00000000 00 00000000 00000000 +scas 80000001 80000000 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000000 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000000 00 00000000 = 00000000 00 00000000 00000000 +scas 00000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +scas 00000001 80000001 00 00000000 = 00000000 00 00000000 00000000 +scas 00000002 80000001 00 00000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 +scas 80000000 80000001 00 00000000 = 00000000 00 00000000 00000000 +scas 80000001 80000001 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000001 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000001 00 00000000 = 00000000 00 00000000 00000000 +scas 00000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scas 00000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scas 00000002 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scas 80000000 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scas 80000001 FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF FFFFFFFE 00 00000000 = 00000000 00 00000000 00000000 +scas 00000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 00000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 00000002 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 80000000 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 80000001 FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF FFFFFFFF 00 00000000 = 00000000 00 00000000 00000000 +scas 00000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +scas 00000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +scas 00000002 00000000 00 00000001 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 +scas 80000000 00000000 00 00000001 = 00000000 00 00000000 00000000 +scas 80000001 00000000 00 00000001 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000000 00 00000001 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000000 00 00000001 = 00000000 00 00000000 00000000 +scas 00000000 00000001 00 00000001 = 00000000 00 00000000 00000000 +scas 00000001 00000001 00 00000001 = 00000000 00 00000000 00000000 +scas 00000002 00000001 00 00000001 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 +scas 80000000 00000001 00 00000001 = 00000000 00 00000000 00000000 +scas 80000001 00000001 00 00000001 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000001 00 00000001 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000001 00 00000001 = 00000000 00 00000000 00000000 +scas 00000000 00000002 00 00000001 = 00000000 00 00000000 00000000 +scas 00000001 00000002 00 00000001 = 00000000 00 00000000 00000000 +scas 00000002 00000002 00 00000001 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 +scas 80000000 00000002 00 00000001 = 00000000 00 00000000 00000000 +scas 80000001 00000002 00 00000001 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000002 00 00000001 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000002 00 00000001 = 00000000 00 00000000 00000000 +scas 00000000 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 00000001 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 00000002 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 80000000 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 80000001 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 7FFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 00000000 80000000 00 00000001 = 00000000 00 00000000 00000000 +scas 00000001 80000000 00 00000001 = 00000000 00 00000000 00000000 +scas 00000002 80000000 00 00000001 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 +scas 80000000 80000000 00 00000001 = 00000000 00 00000000 00000000 +scas 80000001 80000000 00 00000001 = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000000 00 00000001 = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000000 00 00000001 = 00000000 00 00000000 00000000 +scas 00000000 80000001 00 00000001 = 00000000 00 00000000 00000000 +scas 00000001 80000001 00 00000001 = 00000000 00 00000000 00000000 +scas 00000002 80000001 00 00000001 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 +scas 80000000 80000001 00 00000001 = 00000000 00 00000000 00000000 +scas 80000001 80000001 00 00000001 = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000001 00 00000001 = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000001 00 00000001 = 00000000 00 00000000 00000000 +scas 00000000 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 00000001 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 00000002 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 80000000 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 80000001 FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF FFFFFFFE 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 00000000 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 00000001 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 00000002 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 80000000 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 80000001 FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF FFFFFFFF 00 00000001 = FFFFFFFF 00 00000000 00000000 +scas 00000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +scas 00000001 00000000 00 00000002 = 00000000 00 00000000 00000000 +scas 00000002 00000000 00 00000002 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 +scas 80000000 00000000 00 00000002 = 00000000 00 00000000 00000000 +scas 80000001 00000000 00 00000002 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000000 00 00000002 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000000 00 00000002 = 00000000 00 00000000 00000000 +scas 00000000 00000001 00 00000002 = 00000000 00 00000000 00000000 +scas 00000001 00000001 00 00000002 = 00000000 00 00000000 00000000 +scas 00000002 00000001 00 00000002 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 +scas 80000000 00000001 00 00000002 = 00000000 00 00000000 00000000 +scas 80000001 00000001 00 00000002 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000001 00 00000002 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000001 00 00000002 = 00000000 00 00000000 00000000 +scas 00000000 00000002 00 00000002 = 00000000 00 00000000 00000000 +scas 00000001 00000002 00 00000002 = 00000000 00 00000000 00000000 +scas 00000002 00000002 00 00000002 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 +scas 80000000 00000002 00 00000002 = 00000000 00 00000000 00000000 +scas 80000001 00000002 00 00000002 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000002 00 00000002 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000002 00 00000002 = 00000000 00 00000000 00000000 +scas 00000000 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 00000001 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 00000002 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 80000000 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 80000001 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 7FFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 00000000 80000000 00 00000002 = 00000000 00 00000000 00000000 +scas 00000001 80000000 00 00000002 = 00000000 00 00000000 00000000 +scas 00000002 80000000 00 00000002 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 +scas 80000000 80000000 00 00000002 = 00000000 00 00000000 00000000 +scas 80000001 80000000 00 00000002 = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000000 00 00000002 = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000000 00 00000002 = 00000000 00 00000000 00000000 +scas 00000000 80000001 00 00000002 = 00000000 00 00000000 00000000 +scas 00000001 80000001 00 00000002 = 00000000 00 00000000 00000000 +scas 00000002 80000001 00 00000002 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 +scas 80000000 80000001 00 00000002 = 00000000 00 00000000 00000000 +scas 80000001 80000001 00 00000002 = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000001 00 00000002 = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000001 00 00000002 = 00000000 00 00000000 00000000 +scas 00000000 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 00000001 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 00000002 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 80000000 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 80000001 FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF FFFFFFFE 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 00000000 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 00000001 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 00000002 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 80000000 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 80000001 FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF FFFFFFFF 00 00000002 = FFFFFFFF 00 00000000 00000000 +scas 00000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000002 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 80000000 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 80000001 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000000 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000001 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000002 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000000 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000001 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 00000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000000 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000001 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000002 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000000 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000001 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 00000002 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000000 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000001 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000002 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 7FFFFFFF 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 80000000 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 80000001 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFE 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFF 7FFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000002 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 80000000 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 80000001 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000000 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000000 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000001 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000002 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000000 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000001 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 80000001 00 7FFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000000 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000001 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000002 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 80000000 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 80000001 FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFE FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFF FFFFFFFE 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000000 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000001 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000002 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 80000000 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 80000001 FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFE FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFF FFFFFFFF 00 7FFFFFFF = 00000000 00 00000000 00000000 +scas 00000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +scas 00000001 00000000 00 80000000 = 00000000 00 00000000 00000000 +scas 00000002 00000000 00 80000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 +scas 80000000 00000000 00 80000000 = 00000000 00 00000000 00000000 +scas 80000001 00000000 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000000 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000000 00 80000000 = 00000000 00 00000000 00000000 +scas 00000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +scas 00000001 00000001 00 80000000 = 00000000 00 00000000 00000000 +scas 00000002 00000001 00 80000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 +scas 80000000 00000001 00 80000000 = 00000000 00 00000000 00000000 +scas 80000001 00000001 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000001 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000001 00 80000000 = 00000000 00 00000000 00000000 +scas 00000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +scas 00000001 00000002 00 80000000 = 00000000 00 00000000 00000000 +scas 00000002 00000002 00 80000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 +scas 80000000 00000002 00 80000000 = 00000000 00 00000000 00000000 +scas 80000001 00000002 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000002 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000002 00 80000000 = 00000000 00 00000000 00000000 +scas 00000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 00000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 00000002 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 80000000 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 80000001 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 7FFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 00000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +scas 00000001 80000000 00 80000000 = 00000000 00 00000000 00000000 +scas 00000002 80000000 00 80000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 +scas 80000000 80000000 00 80000000 = 00000000 00 00000000 00000000 +scas 80000001 80000000 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000000 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000000 00 80000000 = 00000000 00 00000000 00000000 +scas 00000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +scas 00000001 80000001 00 80000000 = 00000000 00 00000000 00000000 +scas 00000002 80000001 00 80000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 +scas 80000000 80000001 00 80000000 = 00000000 00 00000000 00000000 +scas 80000001 80000001 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000001 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000001 00 80000000 = 00000000 00 00000000 00000000 +scas 00000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scas 00000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scas 00000002 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scas 80000000 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scas 80000001 FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF FFFFFFFE 00 80000000 = 00000000 00 00000000 00000000 +scas 00000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 00000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 00000002 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 80000000 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 80000001 FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFE FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas FFFFFFFF FFFFFFFF 00 80000000 = 00000000 00 00000000 00000000 +scas 00000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +scas 00000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +scas 00000002 00000000 00 80000001 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 +scas 80000000 00000000 00 80000001 = 00000000 00 00000000 00000000 +scas 80000001 00000000 00 80000001 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000000 00 80000001 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000000 00 80000001 = 00000000 00 00000000 00000000 +scas 00000000 00000001 00 80000001 = 00000000 00 00000000 00000000 +scas 00000001 00000001 00 80000001 = 00000000 00 00000000 00000000 +scas 00000002 00000001 00 80000001 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 +scas 80000000 00000001 00 80000001 = 00000000 00 00000000 00000000 +scas 80000001 00000001 00 80000001 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000001 00 80000001 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000001 00 80000001 = 00000000 00 00000000 00000000 +scas 00000000 00000002 00 80000001 = 00000000 00 00000000 00000000 +scas 00000001 00000002 00 80000001 = 00000000 00 00000000 00000000 +scas 00000002 00000002 00 80000001 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 +scas 80000000 00000002 00 80000001 = 00000000 00 00000000 00000000 +scas 80000001 00000002 00 80000001 = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000002 00 80000001 = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000002 00 80000001 = 00000000 00 00000000 00000000 +scas 00000000 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 00000001 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 00000002 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 80000000 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 80000001 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 7FFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 00000000 80000000 00 80000001 = 00000000 00 00000000 00000000 +scas 00000001 80000000 00 80000001 = 00000000 00 00000000 00000000 +scas 00000002 80000000 00 80000001 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 +scas 80000000 80000000 00 80000001 = 00000000 00 00000000 00000000 +scas 80000001 80000000 00 80000001 = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000000 00 80000001 = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000000 00 80000001 = 00000000 00 00000000 00000000 +scas 00000000 80000001 00 80000001 = 00000000 00 00000000 00000000 +scas 00000001 80000001 00 80000001 = 00000000 00 00000000 00000000 +scas 00000002 80000001 00 80000001 = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 +scas 80000000 80000001 00 80000001 = 00000000 00 00000000 00000000 +scas 80000001 80000001 00 80000001 = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000001 00 80000001 = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000001 00 80000001 = 00000000 00 00000000 00000000 +scas 00000000 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 00000001 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 00000002 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 80000000 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 80000001 FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF FFFFFFFE 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 00000000 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 00000001 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 00000002 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 80000000 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 80000001 FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF FFFFFFFF 00 80000001 = FFFFFFFF 00 00000000 00000000 +scas 00000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000002 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 80000000 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 80000001 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000000 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 00000001 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 00000002 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 80000000 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 80000001 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 00000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 00000000 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 00000001 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 00000002 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 80000000 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 80000001 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 00000002 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 00000000 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000001 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000002 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 7FFFFFFF 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 80000000 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 80000001 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas FFFFFFFE 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas FFFFFFFF 7FFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000002 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 80000000 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 80000001 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000000 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000000 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 00000001 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 00000002 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 80000000 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 80000001 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 80000001 00 FFFFFFFE = FFFFFFFF 00 00000000 00000000 +scas 00000000 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000001 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000002 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 80000000 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 80000001 FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas FFFFFFFE FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas FFFFFFFF FFFFFFFE 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000000 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000001 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000002 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 80000000 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 80000001 FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas FFFFFFFE FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas FFFFFFFF FFFFFFFF 00 FFFFFFFE = 00000000 00 00000000 00000000 +scas 00000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000002 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 7FFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 80000000 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 80000001 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFE 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFF 00000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000000 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000001 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000002 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000000 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000001 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 00000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000000 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000001 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000002 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000000 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000001 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 00000002 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000000 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000001 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000002 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 7FFFFFFF 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 80000000 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 80000001 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFE 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFF 7FFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000002 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 7FFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 80000000 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 80000001 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFE 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFF 80000000 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000000 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000001 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000002 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 7FFFFFFF 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000000 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 80000001 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFE 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas FFFFFFFF 80000001 00 FFFFFFFF = FFFFFFFF 00 00000000 00000000 +scas 00000000 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000001 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000002 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 80000000 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 80000001 FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFE FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFF FFFFFFFE 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000000 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000001 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 00000002 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 7FFFFFFF FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 80000000 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas 80000001 FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFE FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 +scas FFFFFFFF FFFFFFFF 00 FFFFFFFF = 00000000 00 00000000 00000000 diff --git a/verify/testops.c b/verify/testops.c index 0c101ca..3bbf026 100755 --- a/verify/testops.c +++ b/verify/testops.c @@ -24,7 +24,7 @@ char *opcodeName[] = { "bitl", "bith", "bitc", "bitnc", "bitz", "bitnz", "bitnot", "andn", "and", "or", "xor", "muxc", "muxnc", "muxz", "muxnz", "mov", "not", "abs", "neg", "negc", "negnc", "negz", "negnz", - "incmod", "decmod", "encod", "testn", "test", "anyb", "setnib", "getnib", + "incmod", "decmod", "encod", "testn", "test", "ones", "setnib", "getnib", "rolnib", "setbyte", "getbyte", "rolbyte", "getword", "sets", "signx", "movbyts", "muls"}; @@ -34,10 +34,10 @@ int instruct[] = { 0x02000000, 0x02200000, 0x02400000, 0x02600000, 0x02800000, 0x02a00000, 0x02c00000, 0x02e00000, 0x03000000, 0x03200000, 0x03400000, 0x03600000, 0x03800000, 0x03a00000, 0x03c00000, 0x03e00000, 0x04000000, 0x04200000, 0x04400000, 0x04600000, 0x04800000, 0x04a00000, 0x04e00000, - 0x05000000, 0x05200000, 0x05400000, 0x05600000, 0x05800000, 0x05a00000, 0x05c00000, 0x05e00000, + 0x05200000, 0x05000000, 0x05400000, 0x05600000, 0x05800000, 0x05a00000, 0x05c00000, 0x05e00000, 0x06000000, 0x06200000, 0x06400000, 0x06600000, 0x06800000, 0x06a00000, 0x06c00000, 0x06e00000, - 0x07000000, 0x07200000, 0x07400000, 0x07800000, 0x07a00000, 0x07c00000, 0x08000000, 0x08400000, - 0x08800000, 0x08c00000, 0x08e00000, 0x09000000, 0x09300000, 0x09b80000, 0x09d80000, 0x09f80000, + 0x07000000, 0x07200000, 0x07800000, 0x07e00000, 0x07c00000, 0x07a00000, 0x08000000, 0x08400000, + 0x08800000, 0x08c00000, 0x08e00000, 0x09000000, 0x09300000, 0x09b80000, 0x07600000, 0x09f80000, 0x0a100000}; int test_values[] = { 0, 1, 2, 0x7fffffff, 0x80000000, 0x80000001, 0xfffffffe, 0xffffffff }; diff --git a/verify/testops1.c b/verify/testops1.c index 42260a0..9214cd8 100755 --- a/verify/testops1.c +++ b/verify/testops1.c @@ -33,8 +33,8 @@ int instruct[] = { 0x08800000, 0x08880000, 0x08900000, 0x08980000, 0x08a00000, 0x08a80000, 0x08b00000, 0x08b80000, 0x08c00000, 0x08c80000, 0x08d00000, 0x08d80000, 0x08e00000, 0x08e80000, 0x08f00000, 0x08f80000, 0x09000000, 0x09080000, 0x09100000, 0x09180000, 0x09200000, 0x09280000, 0x09300000, 0x09380000, - 0x09400000, 0x09480000, 0x09a80000, 0x09b00000, 0x09b80000, 0x09c00000, 0x09c80000, 0x09d00000, - 0x09d80000, 0x09e00000, 0x09e80000, 0x09f80000, 0x0a080000, 0x0a180000, 0x0a400000, 0x0a480000}; + 0x09400000, 0x09480000, 0x09a80000, 0x09b00000, 0x09b80000, 0x09c00000, 0x09c80000, 0x07400000, + 0x07600000, 0x09e00000, 0x09e80000, 0x09f80000, 0x0a080000, 0x0a180000, 0x0a400000, 0x0a480000}; int test_values[] = { 0, 1, 2, 0x7fffffff, 0x80000000, 0x80000001, 0xfffffffe, 0xffffffff }; diff --git a/verify/testopsq.c b/verify/testopsq.c index e77c24d..0f39c9e 100755 --- a/verify/testopsq.c +++ b/verify/testopsq.c @@ -13,17 +13,17 @@ #define MAX_NEG1 0x80000001 #define WZ_BIT 0x00080000 #define WC_BIT 0x00100000 -#define SETQ_INSTR 0xfd601016 -#define SETPIX_INS 0xfd60103d -#define SETPIV_INS 0xfd60103e -#define SCLU_INSTR 0xfa20100a -#define SCL_INSTR 0xfa30100a +#define SETQ_INSTR 0xfd601028 +#define SETPIV_INS 0xfd60103d +#define SETPIX_INS 0xfd60103e +#define SCA_INSTR 0xfa20100a +#define SCAS_INSTR 0xfa30100a void testit(int *); char *opcodeName[] = { "qmul", "qdiv", "qfrac", "qsqrt", "qrotate", "qvector", "qlog", "qexp", - "muxq", "blnpix", "mixpix", "sclu", "scl" + "muxq", "blnpix", "mixpix", "sca", "scas" }; int instruct[] = { @@ -38,7 +38,7 @@ int dnum[] = { NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_V int qnum[] = { 1, NUM_VALUES, NUM_VALUES, NUM_VALUES, 1, NUM_VALUES, 1, 1, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES, NUM_VALUES}; int inst[] = { SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, SETQ_INSTR, - SETQ_INSTR, SETPIV_INS, SETPIX_INS, SCLU_INSTR, SCL_INSTR}; + SETQ_INSTR, SETPIV_INS, SETPIX_INS, SCA_INSTR, SCAS_INSTR}; int test_values[38] = { 0, 1, 2, 0x7fffffff, 0x80000000, 0x80000001, 0xfffffffe, 0xffffffff, 4, 8, 0x10, 0x20, 0x40, 0x80, 0x100, 0x200, 0x400, 0x800, 0x1000, 0x2000, 0x4000, 0x8000,