diff --git a/infra/control/main/targets.bzl b/infra/control/main/targets.bzl index ac19ae5d0..b52dae664 100644 --- a/infra/control/main/targets.bzl +++ b/infra/control/main/targets.bzl @@ -1,7 +1,7 @@ load("//infra/bazel:build.bzl", "foreign_go_binary") def gen_targets(matrix): - pkg = "v2ray.com/core/infra/control/main" + pkg = "./infra/control/main" output = "v2ctl" for (os, arch, ver) in matrix: diff --git a/main/targets.bzl b/main/targets.bzl index b60d96d72..dcf2bee25 100644 --- a/main/targets.bzl +++ b/main/targets.bzl @@ -1,7 +1,7 @@ load("//infra/bazel:build.bzl", "foreign_go_binary") def gen_targets(matrix): - pkg = "v2ray.com/core/main" + pkg = "./main" output = "v2ray" for (os, arch, ver) in matrix: