From d359e50cd04627ca7eb4f5fd7eb0aa180da30bfe Mon Sep 17 00:00:00 2001 From: rsadowski Date: Sat, 14 Mar 2020 06:42:05 +0000 Subject: [PATCH] Update opensta to 2.0.18.20200308 "This is a trivial update port-wise, but a big jump in terms of features/bug-fixing." Notable port changes: - updated maintainer email address; - ApiChanges.txt added to ${PREFIX}/share/doc/opensta; - new enabled regression suite Diff from maintainer Alessandro De Laurenzis --- cad/opensta/Makefile | 16 +++++++++------- cad/opensta/distinfo | 4 ++-- cad/opensta/pkg/PLIST | 15 +++++++++------ 3 files changed, 20 insertions(+), 15 deletions(-) diff --git a/cad/opensta/Makefile b/cad/opensta/Makefile index 2153506c85f..8225d743b27 100644 --- a/cad/opensta/Makefile +++ b/cad/opensta/Makefile @@ -1,18 +1,17 @@ -# $OpenBSD: Makefile,v 1.6 2019/12/18 07:42:27 bentley Exp $ +# $OpenBSD: Makefile,v 1.7 2020/03/14 06:42:05 rsadowski Exp $ COMMENT = Parallax Static Timing Analyzer # use version number from git log -DISTNAME = opensta-2.0.12.20190329 -REVISION = 0 +DISTNAME = opensta-2.0.18.20200308 CATEGORIES = cad GH_ACCOUNT = abk-openroad GH_PROJECT = OpenSTA -GH_COMMIT = ed3ad4fb3012feb53328a80df6ad01efd477f891 +GH_COMMIT = d615f62fe412b0f12166e6d5559eacec43713e02 -MAINTAINER = Alessandro De Laurenzis +MAINTAINER = Alessandro De Laurenzis # GPLv3 PERMIT_PACKAGE = Yes @@ -37,15 +36,18 @@ BUILD_DEPENDS = devel/bison \ CONFIGURE_ARGS = -DTCL_HEADER=${MODTCL_INCDIR}/tcl.h \ -DCUDD=${LOCALBASE} -NO_TEST = Yes - pre-configure: cd ${WRKSRC}/etc && ${MODTCL_TCLSH_ADJ} TclEncode.tcl SwigCleanup.tcl + cd ${WRKSRC}/test && ${MODTCL_TCLSH_ADJ} regression post-install: ${INSTALL_DATA_DIR} ${PREFIX}/share/doc/opensta ${INSTALL_DATA} ${WRKSRC}/doc/OpenSTA.pdf ${PREFIX}/share/doc/opensta ${INSTALL_DATA} ${WRKSRC}/doc/ChangeLog.txt ${PREFIX}/share/doc/opensta ${INSTALL_DATA} ${WRKSRC}/doc/StaApi.txt ${PREFIX}/share/doc/opensta + ${INSTALL_DATA} ${WRKSRC}/doc/ApiChanges.txt ${PREFIX}/share/doc/opensta + +do-test: + cd ${WRKDIST} && test/regression all .include diff --git a/cad/opensta/distinfo b/cad/opensta/distinfo index 9553fdafbef..0ef9fb8506a 100644 --- a/cad/opensta/distinfo +++ b/cad/opensta/distinfo @@ -1,2 +1,2 @@ -SHA256 (opensta-2.0.12.20190329-ed3ad4fb.tar.gz) = fRJ9YJuTPng7bgIxD1aODNL63xOiR9FIbmI4PMcdi8w= -SIZE (opensta-2.0.12.20190329-ed3ad4fb.tar.gz) = 911049 +SHA256 (opensta-2.0.18.20200308-d615f62f.tar.gz) = +039qKdPjmM4LqUv5qbo9L3akiS9f98gzulJgXyNJT4= +SIZE (opensta-2.0.18.20200308-d615f62f.tar.gz) = 4859083 diff --git a/cad/opensta/pkg/PLIST b/cad/opensta/pkg/PLIST index 111718e1746..0c3a5dcbaa7 100644 --- a/cad/opensta/pkg/PLIST +++ b/cad/opensta/pkg/PLIST @@ -1,4 +1,4 @@ -@comment $OpenBSD: PLIST,v 1.2 2019/03/30 11:50:06 bentley Exp $ +@comment $OpenBSD: PLIST,v 1.3 2020/03/14 06:42:05 rsadowski Exp $ @bin bin/sta include/ArcDelayCalc.hh include/Arnoldi.hh @@ -35,6 +35,7 @@ include/DelayNormal2.hh include/DeratingFactors.hh include/DisabledPorts.hh include/DisallowCopyAssign.hh +include/DispatchQueue.hh include/DmpCeff.hh include/DmpDelayCalc.hh include/EnumNameMap.hh @@ -64,6 +65,7 @@ include/Levelize.hh include/Liberty.hh include/LibertyBuilder.hh include/LibertyClass.hh +include/LibertyExpr.hh include/LibertyParser.hh include/LibertyReader.hh include/LibertyReaderPvt.hh @@ -81,7 +83,8 @@ include/Network.hh include/NetworkClass.hh include/NetworkCmp.hh include/NullParasitics.hh -include/ObjectIndex.hh +include/ObjectId.hh +include/ObjectTable.hh include/Parasitics.hh include/ParasiticsClass.hh include/ParseBus.hh @@ -97,7 +100,6 @@ include/PathVertex.hh include/PathVertexRep.hh include/PatternMatch.hh include/PinPair.hh -include/Pool.hh include/PortDelay.hh include/PortDirection.hh include/PortExtCap.hh @@ -139,7 +141,6 @@ include/StringUtil.hh include/TableModel.hh include/Tag.hh include/TagGroup.hh -include/ThreadForEach.hh include/TimingArc.hh include/TimingModel.hh include/TimingRole.hh @@ -150,9 +151,10 @@ include/Units.hh include/UnorderedMap.hh include/UnorderedSet.hh include/Vector.hh -include/Verilog.hh include/VerilogNamespace.hh include/VerilogReader.hh +include/VerilogReaderPvt.hh +include/VerilogWriter.hh include/VertexVisitor.hh include/VisitPathEnds.hh include/VisitPathGroupVertices.hh @@ -162,8 +164,9 @@ include/WritePathSpice.hh include/WriteSdc.hh include/WriteSdcPvt.hh include/Zlib.hh -lib/libOpenSTA.a +@static-lib lib/libOpenSTA.a share/doc/opensta/ +share/doc/opensta/ApiChanges.txt share/doc/opensta/ChangeLog.txt share/doc/opensta/OpenSTA.pdf share/doc/opensta/StaApi.txt