1
0
mirror of https://github.com/irssi/irssi.git synced 2024-09-01 04:14:16 -04:00

Delete commented out CYGWIN define

This commit is contained in:
Will Storey 2017-10-09 13:14:59 -07:00
parent cb5f3cba1f
commit 3b3939b146

View File

@ -48,9 +48,6 @@ GIOChannel *g_io_channel_new(int handle)
return chan;
}
/* Cygwin need this, don't know others.. */
/*#define BLOCKING_SOCKETS 1*/
IPADDR ip4_any = {
AF_INET,
#if defined(IN6ADDR_ANY_INIT)